Projects/MCL86/Core/Lattice_XO2_Small_Example/biu_ram.v

172 lines
14 KiB
Verilog

/* Verilog netlist generated by SCUBA Diamond (64-bit) 3.6.0.83.4 */
/* Module Version: 7.5 */
/* C:\lscc\diamond\3.6_x64\ispfpga\bin\nt64\scuba.exe -w -n biu_ram -lang verilog -synth synplify -bus_exp 7 -bb -arch xo2c00 -type bram -wp 11 -rp 1010 -data_width 8 -rdata_width 8 -num_rows 2048 -cascade -1 -memfile c:/mcl/mcl86/lattice_test_board/user_code.mem -memformat hex -writemodeA NORMAL -writemodeB NORMAL */
/* Fri Mar 04 15:22:02 2016 */
`timescale 1 ns / 1 ps
module biu_ram (DataInA, DataInB, AddressA, AddressB, ClockA, ClockB,
ClockEnA, ClockEnB, WrA, WrB, ResetA, ResetB, QA, QB)/* synthesis NGD_DRC_MASK=1 */;
input wire [7:0] DataInA;
input wire [7:0] DataInB;
input wire [10:0] AddressA;
input wire [10:0] AddressB;
input wire ClockA;
input wire ClockB;
input wire ClockEnA;
input wire ClockEnB;
input wire WrA;
input wire WrB;
input wire ResetA;
input wire ResetB;
output wire [7:0] QA;
output wire [7:0] QB;
wire scuba_vhi;
wire scuba_vlo;
VHI scuba_vhi_inst (.Z(scuba_vhi));
defparam biu_ram_0_0_1.INIT_DATA = "STATIC" ;
defparam biu_ram_0_0_1.ASYNC_RESET_RELEASE = "SYNC" ;
defparam biu_ram_0_0_1.INITVAL_1F = "0x0000000000000000000A000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_0_1.INITVAL_0A = "0x000000000000000000000000000000000000000000000000000000000000000000000415A000000A" ;
defparam biu_ram_0_0_1.INITVAL_09 = "0x1B631040451F03500A20198970069516025108F019810000000000A1BC5504630106520CA2012800" ;
defparam biu_ram_0_0_1.INITVAL_08 = "0x00000000AD06454062211060912631008E9040090600000000014D308AC00CCF01C4540122000000" ;
defparam biu_ram_0_0_1.INITVAL_07 = "0x0000A1A645180EF01C2508091000000000015AA306A20014D00000015A000000A1BC4203E2008AFB" ;
defparam biu_ram_0_0_1.INITVAL_06 = "0x02A22004F800A390881C00A8401CF00FC9E1CA200A4F300C8C07A051080312039108AD0000A1BADD" ;
defparam biu_ram_0_0_1.INITVAL_05 = "0x1BADD1BADD1BADD1BADD1BADD1BADD1BAAD022100641C00A2F07E2313A0D1E4601F8C5114DA1B4D0" ;
defparam biu_ram_0_0_1.INITVAL_04 = "0x1FEFF180FF1FECF1FEFF1F8FF1FEFC19EC0018FC15AC013E801809F100CF1FEC019EFF1F80C01800" ;
defparam biu_ram_0_0_1.INITVAL_03 = "0x180CA1A0C01EE0C180F01C0000180C00000180C019ECC018AD000FF01EC013E8018000180C00000C" ;
defparam biu_ram_0_0_1.INITVAL_02 = "0x0180F000C0194D001E0F000C01E0F00000C018FF1FE0F1800F1800C15A001FE00000FF1E0000000F" ;
defparam biu_ram_0_0_1.INITVAL_01 = "0x01EFF1FE001FE001FE0A1B0BB144BB064631545208249160281460711A39046E00100C122311666F" ;
defparam biu_ram_0_0_1.INITVAL_00 = "0x00EB30C00D172441603407602084B00281B000A811404148140284B0128814E441988E118601808E" ;
defparam biu_ram_0_0_1.CSDECODE_B = "0b000" ;
defparam biu_ram_0_0_1.CSDECODE_A = "0b000" ;
defparam biu_ram_0_0_1.WRITEMODE_B = "NORMAL" ;
defparam biu_ram_0_0_1.WRITEMODE_A = "NORMAL" ;
defparam biu_ram_0_0_1.GSR = "ENABLED" ;
defparam biu_ram_0_0_1.RESETMODE = "ASYNC" ;
defparam biu_ram_0_0_1.REGMODE_B = "NOREG" ;
defparam biu_ram_0_0_1.REGMODE_A = "NOREG" ;
defparam biu_ram_0_0_1.DATA_WIDTH_B = 4 ;
defparam biu_ram_0_0_1.DATA_WIDTH_A = 4 ;
DP8KC biu_ram_0_0_1 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
.DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(DataInA[3]), .DIA2(DataInA[2]),
.DIA1(DataInA[1]), .DIA0(DataInA[0]), .ADA12(AddressA[10]), .ADA11(AddressA[9]),
.ADA10(AddressA[8]), .ADA9(AddressA[7]), .ADA8(AddressA[6]), .ADA7(AddressA[5]),
.ADA6(AddressA[4]), .ADA5(AddressA[3]), .ADA4(AddressA[2]), .ADA3(AddressA[1]),
.ADA2(AddressA[0]), .ADA1(scuba_vlo), .ADA0(scuba_vlo), .CEA(ClockEnA),
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
.DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(DataInB[3]),
.DIB2(DataInB[2]), .DIB1(DataInB[1]), .DIB0(DataInB[0]), .ADB12(AddressB[10]),
.ADB11(AddressB[9]), .ADB10(AddressB[8]), .ADB9(AddressB[7]), .ADB8(AddressB[6]),
.ADB7(AddressB[5]), .ADB6(AddressB[4]), .ADB5(AddressB[3]), .ADB4(AddressB[2]),
.ADB3(AddressB[1]), .ADB2(AddressB[0]), .ADB1(scuba_vlo), .ADB0(scuba_vlo),
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
.DOA6(), .DOA5(), .DOA4(), .DOA3(QA[3]), .DOA2(QA[2]), .DOA1(QA[1]),
.DOA0(QA[0]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(QB[3]),
.DOB2(QB[2]), .DOB1(QB[1]), .DOB0(QB[0]))
/* synthesis MEM_LPC_FILE="biu_ram.lpc" */
/* synthesis MEM_INIT_FILE="user_code.mem" */;
VLO scuba_vlo_inst (.Z(scuba_vlo));
defparam biu_ram_0_1_0.INIT_DATA = "STATIC" ;
defparam biu_ram_0_1_0.ASYNC_RESET_RELEASE = "SYNC" ;
defparam biu_ram_0_1_0.INITVAL_1F = "0x00000000000000F0000E000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_1E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_1D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_1C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_1B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_1A = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_19 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_18 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_17 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_16 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_15 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_14 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_13 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_12 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_11 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_10 = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_0F = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_0E = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_0D = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_0C = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_0B = "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000" ;
defparam biu_ram_0_1_0.INITVAL_0A = "0x00000000000000000000000000000000000000000000000000000000000000000000020002204420" ;
defparam biu_ram_0_1_0.INITVAL_09 = "0x00C660C4660CC6604C620CC6704E760C4760CE620CC42044220442000C660EC720CE670CC5204622" ;
defparam biu_ram_0_1_0.INITVAL_08 = "0x04422044000EE670CC760CC240885404E660EA22064220442204007088420CC620CE750443204422" ;
defparam biu_ram_0_1_0.INITVAL_07 = "0x0442000E440846604C770A4230442204422000370EC7504002044220002204420004670CC420EE66" ;
defparam biu_ram_0_1_0.INITVAL_06 = "0x0CC740464504C660EE6404C6704C620CC660CE720CE6604634088260CE270C4760CA000442000422" ;
defparam biu_ram_0_1_0.INITVAL_05 = "0x04422044220442204422044220442204400044220EC6404C7608C760C8260CE620CC660800000002" ;
defparam biu_ram_0_1_0.INITVAL_04 = "0x04A550A4250AA550AA550AE550AA550EA7204E570007204A220E425044750AA720EA550AE2704E22" ;
defparam biu_ram_0_1_0.INITVAL_03 = "0x0E470004520A427064520642204E27044220E4720E45704E00044520447204A220E4220E47204427" ;
defparam biu_ram_0_1_0.INITVAL_02 = "0x04E22044520E00204422044520A4220442704E550AA220E4220A427000220AA22044550A42204425" ;
defparam biu_ram_0_1_0.INITVAL_01 = "0x04A550AA220AA220AA200085108651180EC11E70040EB1C00E016001C0BF1D8F003C05164BD1C0EF" ;
defparam biu_ram_0_1_0.INITVAL_00 = "0x17AE01C0BD1D8731F01707E810E6F802E3F1003E1B00E1EE0201CFE008ED10072074D8190001606B" ;
defparam biu_ram_0_1_0.CSDECODE_B = "0b000" ;
defparam biu_ram_0_1_0.CSDECODE_A = "0b000" ;
defparam biu_ram_0_1_0.WRITEMODE_B = "NORMAL" ;
defparam biu_ram_0_1_0.WRITEMODE_A = "NORMAL" ;
defparam biu_ram_0_1_0.GSR = "ENABLED" ;
defparam biu_ram_0_1_0.RESETMODE = "ASYNC" ;
defparam biu_ram_0_1_0.REGMODE_B = "NOREG" ;
defparam biu_ram_0_1_0.REGMODE_A = "NOREG" ;
defparam biu_ram_0_1_0.DATA_WIDTH_B = 4 ;
defparam biu_ram_0_1_0.DATA_WIDTH_A = 4 ;
DP8KC biu_ram_0_1_0 (.DIA8(scuba_vlo), .DIA7(scuba_vlo), .DIA6(scuba_vlo),
.DIA5(scuba_vlo), .DIA4(scuba_vlo), .DIA3(DataInA[7]), .DIA2(DataInA[6]),
.DIA1(DataInA[5]), .DIA0(DataInA[4]), .ADA12(AddressA[10]), .ADA11(AddressA[9]),
.ADA10(AddressA[8]), .ADA9(AddressA[7]), .ADA8(AddressA[6]), .ADA7(AddressA[5]),
.ADA6(AddressA[4]), .ADA5(AddressA[3]), .ADA4(AddressA[2]), .ADA3(AddressA[1]),
.ADA2(AddressA[0]), .ADA1(scuba_vlo), .ADA0(scuba_vlo), .CEA(ClockEnA),
.OCEA(ClockEnA), .CLKA(ClockA), .WEA(WrA), .CSA2(scuba_vlo), .CSA1(scuba_vlo),
.CSA0(scuba_vlo), .RSTA(ResetA), .DIB8(scuba_vlo), .DIB7(scuba_vlo),
.DIB6(scuba_vlo), .DIB5(scuba_vlo), .DIB4(scuba_vlo), .DIB3(DataInB[7]),
.DIB2(DataInB[6]), .DIB1(DataInB[5]), .DIB0(DataInB[4]), .ADB12(AddressB[10]),
.ADB11(AddressB[9]), .ADB10(AddressB[8]), .ADB9(AddressB[7]), .ADB8(AddressB[6]),
.ADB7(AddressB[5]), .ADB6(AddressB[4]), .ADB5(AddressB[3]), .ADB4(AddressB[2]),
.ADB3(AddressB[1]), .ADB2(AddressB[0]), .ADB1(scuba_vlo), .ADB0(scuba_vlo),
.CEB(ClockEnB), .OCEB(ClockEnB), .CLKB(ClockB), .WEB(WrB), .CSB2(scuba_vlo),
.CSB1(scuba_vlo), .CSB0(scuba_vlo), .RSTB(ResetB), .DOA8(), .DOA7(),
.DOA6(), .DOA5(), .DOA4(), .DOA3(QA[7]), .DOA2(QA[6]), .DOA1(QA[5]),
.DOA0(QA[4]), .DOB8(), .DOB7(), .DOB6(), .DOB5(), .DOB4(), .DOB3(QB[7]),
.DOB2(QB[6]), .DOB1(QB[5]), .DOB0(QB[4]))
/* synthesis MEM_LPC_FILE="biu_ram.lpc" */
/* synthesis MEM_INIT_FILE="user_code.mem" */;
// exemplar begin
// exemplar attribute biu_ram_0_0_1 MEM_LPC_FILE biu_ram.lpc
// exemplar attribute biu_ram_0_0_1 MEM_INIT_FILE user_code.mem
// exemplar attribute biu_ram_0_1_0 MEM_LPC_FILE biu_ram.lpc
// exemplar attribute biu_ram_0_1_0 MEM_INIT_FILE user_code.mem
// exemplar end
endmodule