Added KiCad sources for v1.3

This commit is contained in:
Kris Sekula 2021-01-02 15:55:52 -08:00 committed by GitHub
parent 5af9d8b3a2
commit 6df26b06f3
No known key found for this signature in database
GPG Key ID: 4AEE18F83AFDEB23
5 changed files with 16427 additions and 0 deletions

2626
KiCad/v1.3/fp-info-cache Normal file

File diff suppressed because it is too large Load Diff

784
KiCad/v1.3/mgh80-cache.lib Normal file
View File

@ -0,0 +1,784 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# 74xx_74HC00
#
DEF 74xx_74HC00 U 0 40 Y Y 5 L N
F0 "U" 0 50 50 H V C CNN
F1 "74xx_74HC00" 0 -50 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 74LS37 7400 74HCT00 74HC00
$FPLIST
DIP*W7.62mm*
SO14*
$ENDFPLIST
DRAW
A 0 0 150 -899 899 1 1 10 f 0 -150 0 150
A 0 0 150 -899 899 2 1 10 f 0 -150 0 150
A 0 0 150 -899 899 3 1 10 f 0 -150 0 150
A 0 0 150 -899 899 4 1 10 f 0 -150 0 150
A -360 0 258 354 -354 1 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 1 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 1 2 10 f 150 0 -24 -150
A -360 0 258 354 -354 2 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 2 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 2 2 10 f 150 0 -24 -150
A -360 0 258 354 -354 3 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 3 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 3 2 10 f 150 0 -24 -150
A -360 0 258 354 -354 4 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 4 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 4 2 10 f 150 0 -24 -150
S -200 300 200 -300 5 1 10 f
P 4 1 1 10 0 150 -150 150 -150 -150 0 -150 f
P 4 2 1 10 0 150 -150 150 -150 -150 0 -150 f
P 4 3 1 10 0 150 -150 150 -150 -150 0 -150 f
P 4 4 1 10 0 150 -150 150 -150 -150 0 -150 f
P 2 1 2 10 -150 -150 -25 -150 f
P 2 1 2 10 -150 150 -25 150 f
P 12 1 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 2 2 10 -150 -150 -25 -150 f
P 2 2 2 10 -150 150 -25 150 f
P 12 2 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 3 2 10 -150 -150 -25 -150 f
P 2 3 2 10 -150 150 -25 150 f
P 12 3 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 4 2 10 -150 -150 -25 -150 f
P 2 4 2 10 -150 150 -25 150 f
P 12 4 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
X VCC 14 0 500 200 D 50 50 5 0 W
X GND 7 0 -500 200 U 50 50 5 0 W
X ~ 1 -300 100 150 R 50 50 1 1 I
X ~ 2 -300 -100 150 R 50 50 1 1 I
X ~ 3 300 0 150 L 50 50 1 1 O I
X ~ 4 -300 100 150 R 50 50 2 1 I
X ~ 5 -300 -100 150 R 50 50 2 1 I
X ~ 6 300 0 150 L 50 50 2 1 O I
X ~ 10 -300 -100 150 R 50 50 3 1 I
X ~ 8 300 0 150 L 50 50 3 1 O I
X ~ 9 -300 100 150 R 50 50 3 1 I
X ~ 11 300 0 150 L 50 50 4 1 O I
X ~ 12 -300 100 150 R 50 50 4 1 I
X ~ 13 -300 -100 150 R 50 50 4 1 I
X ~ 1 -300 100 170 R 50 50 1 2 I I
X ~ 2 -300 -100 170 R 50 50 1 2 I I
X ~ 3 300 0 150 L 50 50 1 2 O
X ~ 4 -300 100 170 R 50 50 2 2 I I
X ~ 5 -300 -100 170 R 50 50 2 2 I I
X ~ 6 300 0 150 L 50 50 2 2 O
X ~ 10 -300 -100 170 R 50 50 3 2 I I
X ~ 8 300 0 150 L 50 50 3 2 O
X ~ 9 -300 100 170 R 50 50 3 2 I I
X ~ 11 300 0 150 L 50 50 4 2 O
X ~ 12 -300 100 170 R 50 50 4 2 I I
X ~ 13 -300 -100 170 R 50 50 4 2 I I
ENDDRAW
ENDDEF
#
# CPU_Z80CPU
#
DEF CPU_Z80CPU U 0 40 Y Y 1 F N
F0 "U" -550 1400 50 H V L CNN
F1 "CPU_Z80CPU" 250 1400 50 H V L CNN
F2 "" 0 400 50 H I C CNN
F3 "" 0 400 50 H I C CNN
$FPLIST
DIP*
PDIP*
$ENDFPLIST
DRAW
S -550 1350 550 -1350 0 1 10 f
X A11 1 700 100 150 L 50 50 1 1 O
X D6 10 700 -1100 150 L 50 50 1 1 B
X VCC 11 0 1500 150 D 50 50 1 1 W
X D2 12 700 -700 150 L 50 50 1 1 B
X D7 13 700 -1200 150 L 50 50 1 1 B
X D0 14 700 -500 150 L 50 50 1 1 B
X D1 15 700 -600 150 L 50 50 1 1 B
X ~INT~ 16 -700 500 150 R 50 50 1 1 I
X ~NMI~ 17 -700 600 150 R 50 50 1 1 I
X ~HALT~ 18 -700 -100 150 R 50 50 1 1 O
X ~MREQ~ 19 -700 -700 150 R 50 50 1 1 O
X A12 2 700 0 150 L 50 50 1 1 O
X ~IORQ~ 20 -700 -800 150 R 50 50 1 1 O
X ~RD~ 21 -700 -500 150 R 50 50 1 1 O
X ~WR~ 22 -700 -600 150 R 50 50 1 1 O
X ~BUSACK~ 23 -700 -1200 150 R 50 50 1 1 O
X ~WAIT~ 24 -700 0 150 R 50 50 1 1 I
X ~BUSRQ~ 25 -700 -1100 150 R 50 50 1 1 I
X ~RESET~ 26 -700 1200 150 R 50 50 1 1 I
X ~M1~ 27 -700 200 150 R 50 50 1 1 O
X ~RFSH~ 28 -700 100 150 R 50 50 1 1 O
X GND 29 0 -1500 150 U 50 50 1 1 W
X A13 3 700 -100 150 L 50 50 1 1 O
X A0 30 700 1200 150 L 50 50 1 1 O
X A1 31 700 1100 150 L 50 50 1 1 O
X A2 32 700 1000 150 L 50 50 1 1 O
X A3 33 700 900 150 L 50 50 1 1 O
X A4 34 700 800 150 L 50 50 1 1 O
X A5 35 700 700 150 L 50 50 1 1 O
X A6 36 700 600 150 L 50 50 1 1 O
X A7 37 700 500 150 L 50 50 1 1 O
X A8 38 700 400 150 L 50 50 1 1 O
X A9 39 700 300 150 L 50 50 1 1 O
X A14 4 700 -200 150 L 50 50 1 1 O
X A10 40 700 200 150 L 50 50 1 1 O
X A15 5 700 -300 150 L 50 50 1 1 O
X ~CLK~ 6 -700 900 150 R 50 50 1 1 I C
X D4 7 700 -900 150 L 50 50 1 1 B
X D3 8 700 -800 150 L 50 50 1 1 B
X D5 9 700 -1000 150 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_01x05
#
DEF Connector_Generic_Conn_01x05 J 0 40 Y N 1 F N
F0 "J" 0 300 50 H V C CNN
F1 "Connector_Generic_Conn_01x05" 0 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 50 -250 1 1 10 f
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_2 2 -200 100 150 R 50 50 1 1 P
X Pin_3 3 -200 0 150 R 50 50 1 1 P
X Pin_4 4 -200 -100 150 R 50 50 1 1 P
X Pin_5 5 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x17_Odd_Even
#
DEF Connector_Generic_Conn_02x17_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 900 50 H V C CNN
F1 "Connector_Generic_Conn_02x17_Odd_Even" 50 -900 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 850 150 -850 1 1 10 f
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
X Pin_1 1 -200 800 150 R 50 50 1 1 P
X Pin_10 10 300 400 150 L 50 50 1 1 P
X Pin_11 11 -200 300 150 R 50 50 1 1 P
X Pin_12 12 300 300 150 L 50 50 1 1 P
X Pin_13 13 -200 200 150 R 50 50 1 1 P
X Pin_14 14 300 200 150 L 50 50 1 1 P
X Pin_15 15 -200 100 150 R 50 50 1 1 P
X Pin_16 16 300 100 150 L 50 50 1 1 P
X Pin_17 17 -200 0 150 R 50 50 1 1 P
X Pin_18 18 300 0 150 L 50 50 1 1 P
X Pin_19 19 -200 -100 150 R 50 50 1 1 P
X Pin_2 2 300 800 150 L 50 50 1 1 P
X Pin_20 20 300 -100 150 L 50 50 1 1 P
X Pin_21 21 -200 -200 150 R 50 50 1 1 P
X Pin_22 22 300 -200 150 L 50 50 1 1 P
X Pin_23 23 -200 -300 150 R 50 50 1 1 P
X Pin_24 24 300 -300 150 L 50 50 1 1 P
X Pin_25 25 -200 -400 150 R 50 50 1 1 P
X Pin_26 26 300 -400 150 L 50 50 1 1 P
X Pin_27 27 -200 -500 150 R 50 50 1 1 P
X Pin_28 28 300 -500 150 L 50 50 1 1 P
X Pin_29 29 -200 -600 150 R 50 50 1 1 P
X Pin_3 3 -200 700 150 R 50 50 1 1 P
X Pin_30 30 300 -600 150 L 50 50 1 1 P
X Pin_31 31 -200 -700 150 R 50 50 1 1 P
X Pin_32 32 300 -700 150 L 50 50 1 1 P
X Pin_33 33 -200 -800 150 R 50 50 1 1 P
X Pin_34 34 300 -800 150 L 50 50 1 1 P
X Pin_4 4 300 700 150 L 50 50 1 1 P
X Pin_5 5 -200 600 150 R 50 50 1 1 P
X Pin_6 6 300 600 150 L 50 50 1 1 P
X Pin_7 7 -200 500 150 R 50 50 1 1 P
X Pin_8 8 300 500 150 L 50 50 1 1 P
X Pin_9 9 -200 400 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Screw_Terminal_01x02
#
DEF Connector_Screw_Terminal_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Screw_Terminal_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
TerminalBlock*:*
$ENDFPLIST
DRAW
C 0 -100 25 1 1 6 N
C 0 0 25 1 1 6 N
S -50 50 50 -150 1 1 10 f
P 2 1 1 6 -21 -87 13 -120 N
P 2 1 1 6 -21 13 13 -20 N
P 2 1 1 6 -14 -80 20 -113 N
P 2 1 1 6 -14 20 20 -13 N
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_TestPoint
#
DEF Connector_TestPoint TP 0 30 N N 1 F N
F0 "TP" 0 270 50 H V C CNN
F1 "Connector_TestPoint" 0 200 50 H V C CNN
F2 "" 200 0 50 H I C CNN
F3 "" 200 0 50 H I C CNN
$FPLIST
Pin*
Test*
$ENDFPLIST
DRAW
C 0 130 30 0 1 0 N
X 1 1 0 0 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C
#
DEF Device_C C 0 10 N Y 1 F N
F0 "C" 25 100 50 H V L CNN
F1 "Device_C" 25 -100 50 H V L CNN
F2 "" 38 -150 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 20 -80 -30 80 -30 N
P 2 0 1 20 -80 30 80 30 N
X ~ 1 0 150 110 D 50 50 1 1 P
X ~ 2 0 -150 110 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_CP_Small
#
DEF Device_CP_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_CP_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
CP_*
$ENDFPLIST
DRAW
S -60 -12 60 -27 0 1 0 F
S -60 27 60 12 0 1 0 N
P 2 0 1 0 -50 60 -30 60 N
P 2 0 1 0 -40 50 -40 70 N
X ~ 1 0 100 73 D 50 50 1 1 P
X ~ 2 0 -100 73 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Crystal
#
DEF Device_Crystal Y 0 40 N N 1 F N
F0 "Y" 0 150 50 H V C CNN
F1 "Device_Crystal" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Crystal*
$ENDFPLIST
DRAW
S -45 100 45 -100 0 1 12 N
P 2 0 1 0 -100 0 -75 0 N
P 2 0 1 20 -75 -50 -75 50 N
P 2 0 1 20 75 -50 75 50 N
P 2 0 1 0 100 0 75 0 N
X 1 1 -150 0 50 R 50 50 1 1 P
X 2 2 150 0 50 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_D_Small_ALT
#
DEF Device_D_Small_ALT D 0 10 N N 1 F N
F0 "D" -50 80 50 H V L CNN
F1 "Device_D_Small_ALT" -150 -80 50 H V L CNN
F2 "" 0 0 50 V I C CNN
F3 "" 0 0 50 V I C CNN
$FPLIST
TO-???*
*_Diode_*
*SingleDiode*
D_*
$ENDFPLIST
DRAW
P 2 0 1 0 -30 -40 -30 40 N
P 2 0 1 0 -30 0 30 0 N
P 4 0 1 0 30 -40 -30 0 30 40 30 -40 F
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Jumper_NC_Small
#
DEF Device_Jumper_NC_Small JP 0 30 N N 1 F N
F0 "JP" 0 80 50 H V C CNN
F1 "Device_Jumper_NC_Small" 10 -60 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SolderJumper*Bridged*
$ENDFPLIST
DRAW
A 0 -10 57 450 1350 0 1 0 N 40 30 -40 30
C -40 0 20 0 1 0 N
C 40 0 20 0 1 0 N
X 1 1 -100 0 40 R 50 50 0 1 P
X 2 2 100 0 40 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# Device_LED
#
DEF Device_LED D 0 40 N N 1 F N
F0 "D" 0 100 50 H V C CNN
F1 "Device_LED" 0 -100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
LED*
LED_SMD:*
LED_THT:*
$ENDFPLIST
DRAW
P 2 0 1 8 -50 -50 -50 50 N
P 2 0 1 0 -50 0 50 0 N
P 4 0 1 8 50 -50 50 50 -50 0 50 -50 N
P 5 0 1 0 -120 -30 -180 -90 -150 -90 -180 -90 -180 -60 N
P 5 0 1 0 -70 -30 -130 -90 -100 -90 -130 -90 -130 -60 N
X K 1 -150 0 100 R 50 50 1 1 P
X A 2 150 0 100 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R
#
DEF Device_R R 0 0 N Y 1 F N
F0 "R" 80 0 50 V V C CNN
F1 "Device_R" 0 0 50 V V C CNN
F2 "" -70 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -40 -100 40 100 0 1 10 N
X ~ 1 0 150 50 D 50 50 1 1 P
X ~ 2 0 -150 50 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Network06
#
DEF Device_R_Network06 RN 0 0 Y N 1 F N
F0 "RN" -400 0 50 V V C CNN
F1 "Device_R_Network06" 300 0 50 V V C CNN
F2 "Resistor_THT:R_Array_SIP7" 375 0 50 V I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R?Array?SIP*
$ENDFPLIST
DRAW
C -300 90 10 0 1 0 F
C -200 90 10 0 1 0 F
C -100 90 10 0 1 0 F
C 0 90 10 0 1 0 F
C 100 90 10 0 1 0 F
S -350 -125 250 125 0 1 10 f
S -330 60 -270 -100 0 1 10 N
S -230 60 -170 -100 0 1 10 N
S -130 60 -70 -100 0 1 10 N
S -30 60 30 -100 0 1 10 N
S 70 60 130 -100 0 1 10 N
S 170 60 230 -100 0 1 10 N
P 2 0 1 0 -300 100 -300 60 N
P 4 0 1 0 -300 60 -300 90 -200 90 -200 60 N
P 4 0 1 0 -200 60 -200 90 -100 90 -100 60 N
P 4 0 1 0 -100 60 -100 90 0 90 0 60 N
P 4 0 1 0 0 60 0 90 100 90 100 60 N
P 4 0 1 0 100 60 100 90 200 90 200 60 N
X common 1 -300 200 100 D 50 50 1 1 P
X R1 2 -300 -200 100 U 50 50 1 1 P
X R2 3 -200 -200 100 U 50 50 1 1 P
X R3 4 -100 -200 100 U 50 50 1 1 P
X R4 5 0 -200 100 U 50 50 1 1 P
X R5 6 100 -200 100 U 50 50 1 1 P
X R6 7 200 -200 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Interface_8255A
#
DEF Interface_8255A U 0 40 Y Y 1 F N
F0 "U" -550 1500 50 H V L CNN
F1 "Interface_8255A" 350 1500 50 H V L CNN
F2 "Package_DIP:DIP-40_W15.24mm" 0 300 50 H I C CNN
F3 "" 0 300 50 H I C CNN
ALIAS 8255A 82C55A
$FPLIST
DIP*W15.24mm*
PDIP*W15.24mm*
$ENDFPLIST
DRAW
S -550 -1450 550 1450 1 1 10 f
X PA3 1 700 1000 150 L 50 50 1 1 B
X PC7 10 700 -1200 150 L 50 50 1 1 B
X PC6 11 700 -1100 150 L 50 50 1 1 B
X PC5 12 700 -1000 150 L 50 50 1 1 B
X PC4 13 700 -900 150 L 50 50 1 1 B
X PC0 14 700 -500 150 L 50 50 1 1 B
X PC1 15 700 -600 150 L 50 50 1 1 B
X PC2 16 700 -700 150 L 50 50 1 1 B
X PC3 17 700 -800 150 L 50 50 1 1 B
X PB0 18 700 400 150 L 50 50 1 1 B
X PB1 19 700 300 150 L 50 50 1 1 B
X PA2 2 700 1100 150 L 50 50 1 1 B
X PB2 20 700 200 150 L 50 50 1 1 B
X PB3 21 700 100 150 L 50 50 1 1 B
X PB4 22 700 0 150 L 50 50 1 1 B
X PB5 23 700 -100 150 L 50 50 1 1 B
X PB6 24 700 -200 150 L 50 50 1 1 B
X PB7 25 700 -300 150 L 50 50 1 1 B
X VCC 26 0 1600 150 D 50 50 1 1 W
X D7 27 -700 -600 150 R 50 50 1 1 B
X D6 28 -700 -500 150 R 50 50 1 1 B
X D5 29 -700 -400 150 R 50 50 1 1 B
X PA1 3 700 1200 150 L 50 50 1 1 B
X D4 30 -700 -300 150 R 50 50 1 1 B
X D3 31 -700 -200 150 R 50 50 1 1 B
X D2 32 -700 -100 150 R 50 50 1 1 B
X D1 33 -700 0 150 R 50 50 1 1 B
X D0 34 -700 100 150 R 50 50 1 1 B
X RESET 35 -700 1300 150 R 50 50 1 1 I
X ~WR~ 36 -700 800 150 R 50 50 1 1 I
X PA7 37 700 600 150 L 50 50 1 1 B
X PA6 38 700 700 150 L 50 50 1 1 B
X PA5 39 700 800 150 L 50 50 1 1 B
X PA0 4 700 1300 150 L 50 50 1 1 B
X PA4 40 700 900 150 L 50 50 1 1 B
X ~RD~ 5 -700 900 150 R 50 50 1 1 I
X ~CS~ 6 -700 1000 150 R 50 50 1 1 I
X GND 7 0 -1600 150 U 50 50 1 1 W
X A1 8 -700 400 150 R 50 50 1 1 I
X A0 9 -700 500 150 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Memory_EPROM_27C256
#
DEF Memory_EPROM_27C256 U 0 20 Y Y 1 F N
F0 "U" -300 1050 50 H V C CNN
F1 "Memory_EPROM_27C256" 100 -1050 50 H V L CNN
F2 "Package_DIP:DIP-28_W15.24mm" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 27256
$FPLIST
DIP*W15.24mm*
$ENDFPLIST
DRAW
S -300 1000 300 -1000 1 1 10 f
X VPP 1 -400 -700 100 R 50 50 1 1 I
X A0 10 -400 900 100 R 50 50 1 1 I
X D0 11 400 900 100 L 50 50 1 1 T
X D1 12 400 800 100 L 50 50 1 1 T
X D2 13 400 700 100 L 50 50 1 1 T
X GND 14 0 -1100 100 U 50 50 1 1 W
X D3 15 400 600 100 L 50 50 1 1 T
X D4 16 400 500 100 L 50 50 1 1 T
X D5 17 400 400 100 L 50 50 1 1 T
X D6 18 400 300 100 L 50 50 1 1 T
X D7 19 400 200 100 L 50 50 1 1 T
X A12 2 -400 -300 100 R 50 50 1 1 I
X ~CE 20 -400 -800 100 R 50 50 1 1 I
X A10 21 -400 -100 100 R 50 50 1 1 I
X ~OE 22 -400 -900 100 R 50 50 1 1 I
X A11 23 -400 -200 100 R 50 50 1 1 I
X A9 24 -400 0 100 R 50 50 1 1 I
X A8 25 -400 100 100 R 50 50 1 1 I
X A13 26 -400 -400 100 R 50 50 1 1 I
X A14 27 -400 -500 100 R 50 50 1 1 I
X VCC 28 0 1100 100 D 50 50 1 1 W
X A7 3 -400 200 100 R 50 50 1 1 I
X A6 4 -400 300 100 R 50 50 1 1 I
X A5 5 -400 400 100 R 50 50 1 1 I
X A4 6 -400 500 100 R 50 50 1 1 I
X A3 7 -400 600 100 R 50 50 1 1 I
X A2 8 -400 700 100 R 50 50 1 1 I
X A1 9 -400 800 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Oscillator_CXO_DIP14
#
DEF Oscillator_CXO_DIP14 X 0 10 Y Y 1 F N
F0 "X" -200 250 50 H V L CNN
F1 "Oscillator_CXO_DIP14" 50 -250 50 H V L CNN
F2 "Oscillator:Oscillator_DIP-14" 450 -350 50 H I C CNN
F3 "" -100 0 50 H I C CNN
ALIAS TFT680 GTXO-14T
$FPLIST
Oscillator*DIP*14*
$ENDFPLIST
DRAW
S -200 200 200 -200 0 1 10 f
P 9 0 1 0 -75 -25 -50 -25 -50 25 -25 25 -25 -25 0 -25 0 25 25 25 25 -25 N
X EN 1 -300 0 100 R 50 50 1 1 I
X Vcc 14 0 300 100 D 50 50 1 1 W
X GND 7 0 -300 100 U 50 50 1 1 W
X OUT 8 300 0 100 L 50 50 1 1 O
ENDDRAW
ENDDEF
#
# Oscillator_CXO_DIP8
#
DEF Oscillator_CXO_DIP8 X 0 10 Y Y 1 F N
F0 "X" -200 250 50 H V L CNN
F1 "Oscillator_CXO_DIP8" 50 -250 50 H V L CNN
F2 "Oscillator:Oscillator_DIP-8" 450 -350 50 H I C CNN
F3 "" -100 0 50 H I C CNN
ALIAS TFT660
$FPLIST
Oscillator*DIP*8*
$ENDFPLIST
DRAW
S -200 200 200 -200 0 1 10 f
P 9 0 1 0 -75 -25 -50 -25 -50 25 -25 25 -25 -25 0 -25 0 25 25 25 25 -25 N
X EN 1 -300 0 100 R 50 50 1 1 I
X GND 4 0 -300 100 U 50 50 1 1 W
X OUT 5 300 0 100 L 50 50 1 1 O
X Vcc 8 0 300 100 D 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Switch_SW_Push
#
DEF Switch_SW_Push SW 0 40 N N 1 F N
F0 "SW" 50 100 50 H V L CNN
F1 "Switch_SW_Push" 0 -60 50 H V C CNN
F2 "" 0 200 50 H I C CNN
F3 "" 0 200 50 H I C CNN
DRAW
C -80 0 20 0 1 0 N
C 80 0 20 0 1 0 N
P 2 0 1 0 0 50 0 120 N
P 2 0 1 0 100 50 -100 50 N
X 1 1 -200 0 100 R 50 50 0 1 P
X 2 2 200 0 100 L 50 50 0 1 P
ENDDRAW
ENDDEF
#
# mgh-symbols_HM62256B
#
DEF mgh-symbols_HM62256B U 0 40 Y Y 1 F N
F0 "U" -150 950 50 H V C CNN
F1 "mgh-symbols_HM62256B" -50 850 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
DIP?28*
$ENDFPLIST
DRAW
S 400 800 -250 -800 0 1 0 f
X A14 1 -350 700 100 R 50 50 1 1 I
X A0 10 -350 -700 100 R 50 50 1 1 I
X D0 11 500 -550 100 L 50 50 1 1 B
X D1 12 500 -450 100 L 50 50 1 1 B
X D2 13 500 -350 100 L 50 50 1 1 B
X VSS 14 500 -700 100 L 50 50 1 1 W
X D3 15 500 -250 100 L 50 50 1 1 B
X D4 16 500 -150 100 L 50 50 1 1 B
X D5 17 500 -50 100 L 50 50 1 1 B
X D6 18 500 50 100 L 50 50 1 1 B
X D7 19 500 150 100 L 50 50 1 1 B
X A12 2 -350 500 100 R 50 50 1 1 I
X ~CS 20 500 450 100 L 50 50 1 1 I
X A10 21 -350 300 100 R 50 50 1 1 I
X ~OE 22 500 350 100 L 50 50 1 1 I
X A11 23 -350 400 100 R 50 50 1 1 I
X A9 24 -350 200 100 R 50 50 1 1 I
X A8 25 -350 100 100 R 50 50 1 1 I
X A13 26 -350 600 100 R 50 50 1 1 I
X ~WE 27 500 550 100 L 50 50 1 1 I
X VCC 28 500 700 100 L 50 50 1 1 W
X A7 3 -350 0 100 R 50 50 1 1 I
X A6 4 -350 -100 100 R 50 50 1 1 I
X A5 5 -350 -200 100 R 50 50 1 1 I
X A4 6 -350 -300 100 R 50 50 1 1 I
X A3 7 -350 -400 100 R 50 50 1 1 I
X A2 8 -350 -500 100 R 50 50 1 1 I
X A1 9 -350 -600 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# mgh-symbols_Z80Bus
#
DEF mgh-symbols_Z80Bus J 0 40 Y Y 1 F N
F0 "J" -250 -1300 50 H V C CNN
F1 "mgh-symbols_Z80Bus" -150 -1400 50 H V C CNN
F2 "Connector_PinHeader_2.54mm:PinHeader_2x25_P2.54mm_Horizontal" -500 -450 50 H I C CNN
F3 "" -300 -450 50 H I C CNN
DRAW
T 900 50 50 100 0 0 0 Z50Bus Italic 1 C C
S -300 -1250 400 1250 0 1 0 f
X A15 1 -450 1200 150 R 50 50 1 1 B
X A6 10 550 800 150 L 50 50 1 1 B
X A5 11 -450 700 150 R 50 50 1 1 B
X A4 12 550 700 150 L 50 50 1 1 B
X A3 13 -450 600 150 R 50 50 1 1 B
X A2 14 550 600 150 L 50 50 1 1 B
X A1 15 -450 500 150 R 50 50 1 1 B
X A0 16 550 500 150 L 50 50 1 1 B
X GND 17 -450 400 150 R 50 50 1 1 P
X GND 18 550 400 150 L 50 50 1 1 P
X 5V 19 -450 300 150 R 50 50 1 1 P
X A14 2 550 1200 150 L 50 50 1 1 B
X 5V 20 550 300 150 L 50 50 1 1 P
X ~M1 21 -450 200 150 R 50 50 1 1 B
X ~Rst 22 550 200 150 L 50 50 1 1 B
X Clock 23 -450 100 150 R 50 50 1 1 B
X ~INT 24 550 100 150 L 50 50 1 1 B
X ~Mreq 25 -450 0 150 R 50 50 1 1 B
X ~WR 26 550 0 150 L 50 50 1 1 B
X IOreq 27 -450 -100 150 R 50 50 1 1 B
X ~RD 28 550 -100 150 L 50 50 1 1 B
X D1 29 -450 -200 150 R 50 50 1 1 B
X A13 3 -450 1100 150 R 50 50 1 1 B
X D0 30 550 -200 150 L 50 50 1 1 B
X D3 31 -450 -300 150 R 50 50 1 1 B
X D2 32 550 -300 150 L 50 50 1 1 B
X D5 33 -450 -400 150 R 50 50 1 1 B
X D4 34 550 -400 150 L 50 50 1 1 B
X D7 35 -450 -500 150 R 50 50 1 1 B
X D6 36 550 -500 150 L 50 50 1 1 B
X Tx 37 -450 -600 150 R 50 50 1 1 B
X Rx 38 550 -600 150 L 50 50 1 1 B
X Usr1 39 -450 -700 150 R 50 50 1 1 B
X A12 4 550 1100 150 L 50 50 1 1 B
X Usr0 40 550 -700 150 L 50 50 1 1 B
X Usr3 41 -450 -800 150 R 50 50 1 1 B
X Usr2 42 550 -800 150 L 50 50 1 1 B
X ~NMI 43 -450 -900 150 R 50 50 1 1 B
X ~Refresh 44 550 -900 150 L 50 50 1 1 B
X ~Wait 45 -450 -1000 150 R 50 50 1 1 B
X ~Halt 46 550 -1000 150 L 50 50 1 1 B
X ~BusRQ 47 -450 -1100 150 R 50 50 1 1 B
X ~BusACK 48 550 -1100 150 L 50 50 1 1 B
X IEO 49 -450 -1200 150 R 50 50 1 1 B
X A11 5 -450 1000 150 R 50 50 1 1 B
X IEI 50 550 -1200 150 L 50 50 1 1 B
X A10 6 550 1000 150 L 50 50 1 1 B
X A9 7 -450 900 150 R 50 50 1 1 B
X A8 8 550 900 150 L 50 50 1 1 B
X A7 9 -450 800 150 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_PWR_FLAG
#
DEF power_PWR_FLAG #FLG 0 0 N N 1 F P
F0 "#FLG" 0 75 50 H I C CNN
F1 "power_PWR_FLAG" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 -40 75 0 100 40 75 0 50 N
X pwr 1 0 0 0 U 50 50 0 0 w
ENDDRAW
ENDDEF
#
# power_VCC
#
DEF power_VCC #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_VCC" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
C 0 75 25 0 1 0 N
P 2 0 1 0 0 0 0 50 N
X VCC 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

10632
KiCad/v1.3/mgh80.kicad_pcb Normal file

File diff suppressed because it is too large Load Diff

259
KiCad/v1.3/mgh80.pro Normal file
View File

@ -0,0 +1,259 @@
update=10/20/2020 11:09:44 PM
version=1
last_client=eeschema
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=mgh80.net
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.254
ViaDiameter1=0.762
ViaDrill1=0.381
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.12
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.05
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.051
SolderMaskMinWidth=0.25
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.254
TrackWidth=0.254
ViaDiameter=0.762
ViaDrill=0.381
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[pcbnew/Netclasses/1]
Name=Power
Clearance=0.254
TrackWidth=0.762
ViaDiameter=1.016
ViaDrill=0.762
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1

2126
KiCad/v1.3/mgh80.sch Normal file

File diff suppressed because it is too large Load Diff