orchard/src/circuit/gadget/ecc
therealyingtong cc9dd20536 chip::mul.rs: Implement variable-base scalar mul instruction.
This uses the complete addition instruction internally. The module
is split up into mul::incomplete.rs and mul::complete.rs, where
mul::incomplete handles the incomplete additions used in the
starting rounds of the variable-base scalar mul algorithm, and
mul::complete handles the complete additions in the final rounds.

Incomplete additions are broken into "hi" and "lo" halves and
processed on the same rows across different columns. This is an
optimization to make full use of the advice columns in this
instruction.
2021-07-07 23:10:59 +08:00
..
chip chip::mul.rs: Implement variable-base scalar mul instruction. 2021-07-07 23:10:59 +08:00
chip.rs chip::mul.rs: Implement variable-base scalar mul instruction. 2021-07-07 23:10:59 +08:00