synthesis bug fix for bls12_381 module

This commit is contained in:
bsdevlin 2019-06-24 21:39:33 +08:00
parent adf8630be3
commit af5f552a51
1 changed files with 0 additions and 2 deletions

View File

@ -656,7 +656,6 @@ always_ff @ (posedge i_clk) begin
case (interrupt_state)
WAIT_FIFO: begin
idx_out_if.rdy <= 1;
interrupt_out_if.rdy <= 0;
if (idx_out_if.val) begin
idx_out_if.rdy <= 0;
interrupt_state <= SEND_HDR;
@ -676,7 +675,6 @@ always_ff @ (posedge i_clk) begin
end
end
SEND_DATA: begin
interrupt_out_if.rdy <= 1;
if (~tx_if.val || (tx_if.val && tx_if.rdy)) begin
tx_if.sop <= 0;
tx_if.val <= interrupt_out_if.val;