This commit is contained in:
bsdevlin 2019-07-01 21:32:30 +08:00
parent 707a11cc68
commit b579746b79
1 changed files with 3 additions and 3 deletions

View File

@ -132,7 +132,7 @@ int main(int argc, char **argv) {
timeout = 0;
read_len = 0;
memset(reply, 0, 512);
while ((read_len = read_stream(reply, 256)) == 0) {
while ((read_len = zcash_fpga.read_stream(reply, 256)) == 0) {
usleep(1);
timeout++;
if (timeout > 1000) {
@ -150,7 +150,7 @@ int main(int argc, char **argv) {
memset(reply, 0, 512);
timeout = 0;
read_len = 0;
while ((read_len = read_stream(reply, 256)) == 0) {
while ((read_len = zcash_fpga.read_stream(reply, 256)) == 0) {
usleep(1);
timeout++;
if (timeout > 1000) {
@ -165,7 +165,7 @@ int main(int argc, char **argv) {
printf("\n");
// Read current instruction
rc = bls12_381_get_curr_inst_slot(slot_id);
rc = zcash_fpga.bls12_381_get_curr_inst_slot(slot_id);
fail_on(rc, out, "ERROR: Unable to write to FPGA!\n");
printf("Data slot is now %d\n", slot_id);