zcash-fpga/aws/cl_zcash/ip/run_cl_sde_ip_flow

27 lines
1.1 KiB
Plaintext
Executable File

mkdir axi_fifo_mm_s_0
mkdir axi_fifo_mm_s_lite
mkdir axis_dwidth_converter_64_to_8
mkdir axis_dwidth_converter_8_to_64
mkdir axis_dwidth_converter_48_to_8
mkdir axis_dwidth_converter_4_to_8
mkdir axis_dwidth_converter_8_to_4
mkdir ila_2
mkdir fifo_generator_0
cp axi_fifo_mm_s_0.xci axi_fifo_mm_s_0/axi_fifo_mm_s_0.xci
cp axi_fifo_mm_s_lite.xci axi_fifo_mm_s_lite/axi_fifo_mm_s_lite.xci
cp axis_dwidth_converter_64_to_8.xci axis_dwidth_converter_64_to_8/axis_dwidth_converter_64_to_8.xci
cp axis_dwidth_converter_8_to_64.xci axis_dwidth_converter_8_to_64/axis_dwidth_converter_8_to_64.xci
cp axis_dwidth_converter_48_to_8.xci axis_dwidth_converter_48_to_8/axis_dwidth_converter_48_to_8.xci
cp axis_dwidth_converter_4_to_8.xci axis_dwidth_converter_4_to_8/axis_dwidth_converter_4_to_8.xci
cp axis_dwidth_converter_8_to_4.xci axis_dwidth_converter_8_to_4/axis_dwidth_converter_8_to_4.xci
cp ila_2.xci ila_2/ila_2.xci
cp fifo_generator_0.xci fifo_generator_0/fifo_generator_0.xci
vivado -mode batch -source cl_sde_ip_setup.tcl
rm -rf .Xil/
rm -rf cl_sde_ip/
rm -rf vivado.jou
rm -rf vivado.log