From 92fb8bd81fb5e71116f648e6cc149ad27357f2a7 Mon Sep 17 00:00:00 2001 From: Jonas Schnelli Date: Thu, 22 Jun 2017 21:40:48 +0200 Subject: [PATCH] Slightly overhaul NSI pixmaps --- share/pixmaps/nsis-header.bmp | Bin 25818 -> 25820 bytes share/pixmaps/nsis-wizard.bmp | Bin 154542 -> 154544 bytes 2 files changed, 0 insertions(+), 0 deletions(-) diff --git a/share/pixmaps/nsis-header.bmp b/share/pixmaps/nsis-header.bmp index 9ab0ce2591d21cce2408983986b7c97c2d1c476f..f54e249a0c1e77003e93d9f9a0f1325caa859e42 100644 GIT binary patch literal 25820 zcmeI31!F9c`{C}g_gm_|+TJ(w z9`GJA2{XwzTT@+C-Ch6g>Z*Qh{!$kk1pEAC;hloNiTq{fFLK>Myp^7om+ z>reM_J>Yu4^?>Vv06pNw(f}Rk0Cg8b2Y?XZ5L^rcbf5#&T?`!nLV!bXF$~aw4p4V7 zbN~ne4#CARKnFTN-Nn!WAOtuB7sCJ@=m2#WLkEBm;1FC419YGR)V~hH3l}adUc7kd z(4k$rbm`f%=cGxKwrtt*^yyOvOu!w&pFS~s|Ni}~S+fciDik(s*r1=P{{C<5*s&Wm zYP5UzZr6>!)&t%#oI7`JqC|=QhRi!vv0}xWH*fyhdHl}3d%>_)tyIM$g;V)$yS89hv}jRVv_ggqnIuV)$dM!4wkp%zjX!@W z{S!knPentCs#UAjsZ%F>`0$L5ou+(SB1DJ~w{PF}F%M48e;d!dXum8nKNg`jT<*$z<^b&Rw+}6XV0F!eEAak z)2B})*dIQ8@DOJ>5`O#Q_2{Q2|8j2Sa$&YTk`PAK0>oIH7Q(V|5NTa!OJ{qN`J z-Me>IML4LSxOC~#^XJdo3JBA{@WzcBwy@x1WNF&GdGmt@4)pF|*|KG`Wy^N$+BKDjXx6M*jvP5UcI?=(;I7!Q}Jc!GqSb299Z5w60vaQlv-`(@V*cB?Az(dG6e~ ze|>(e@UC9H8evnndiCneT6Imrz_3As|NpBvQxzKbph5CEbLLElyLa!tc<}--*R5L@ zFJ3$kVfnO9NcvlUFXU`rrf|sI4kB)GdFk!lP?>=zgKo*hcE?Kff#7>_+Eu8J!x2qz= z#*G_=j}wS8ct(yeRx#C{DJgUihD^ny2xNs6C{TcP>@8AZ;L@c_j9of3-+0K{6&%pW znl-C!6HG1;WW7KaE?juovSlJUW5$ez4I8Q!p^3@qrxKjxB1SI>6DCx?5-nS{WXs8C zixw?LjvNUM?8F5oqGCdf8a1kB&6*~YFd=g!FEAG=A)UZP6KnAG>(`=EsZu341Re8a zA$Gt7RZa@Th)QB`*REY=M{(lBfnTFW4P`!h^hg39kSbG&APjMs*wc5oaN*22O{8T` zsZ*z>v@ck&piWxGrCLHD^YPA z^;3zRJ9o;~Vg<1rl&=Jq=^cN-@dHD`JF_D%xO3-@+7yCiE)(a3gv0SpUI2%HY)W$Y zu`}Q=Sg-)TErzTJ1Q0V7h306ze*LHf>}S=9`ek+LiN==x#Rk>eWk(5yVWs z(sXF;%{PX`XO_4vhP7+gR%?ukFs`3gf-`pBym?kW98ON+ImEYOsL28csjX!RcdT(* z*`?wKYq~?gr-|r_80Vpg?c29cZBCs!6($>K{P^(`CQRVa<{>DM@Uv1pcC2UxVaUA2 zp7pJ9Od~o3kYa$)kv@HTs|p;B4jq>g1pe&Vv+Jh^!No%U{P~6Hu@6lQiHF;^ZS&AI zKLMF|YjTt(Gg!NJEuV@ZhY0c>`49ql&WvW{hcZnriq)D)EMLC7M~@yL0F#4JgssOc zrvOdu+Ow>C>lMdpmXN6v-ibo>P=JPxR z^R{nEhcS;2M4*2C`e29+YceDPYu2o>_D0%4RzaOZeNBPFBlLj-2Pnomb?T%l#08Cq zL{40;O_byb5+snQrOdZ)-^Pj+YxeBfa2Ocp5<5p*A@1M5&mPdcUNK}zX3m_MV(iwf zTc$kY96NTba>!0(UWX1HQl<}r;#TBIuz;Q36+ntrQ4|a#MdE*A`YTnUjvY2^7){Iq z4qK5$ha&YB+Yb&C^tksBJ3$z>Z{J?}w0*a3-5PP0m#hY4ICLewWUt$*Q2a;_=9}}- zM{U6tWz-@M2%In=%*rDlMhgPmw9~k8<5I=5f;Mg1#GXl$CJpxh+84()8yo+T3J}n^ zs$t|5X(~YN$MMA{HDtMR<=BUu3y2|%3U?0dWb^U{=wzClS8Bv0=#dplpiw4(SUp3~ zktrZ{{P=MZAOllL$pvw^a)h8-;>1zoCJ}_8S-pB_^O-ntVir1jBvgEI{XnP|GwdI$ zJhi{siPFCFQc^yxAs7#7DhUTnJPJf)$gEU#0CgRp@dGB8H-K!-RM}uu$0TG;n>NKP z4%T5nfR*eh<|TzFXAY)V7a&hZrm)aBftgC?X>FCfl=IeX!b@%2wk3_DJZB6V1w@7t zq<{bZ(ihNi{Bp6RMH^WtzGdQU!r{Y*+wMTI6NDivo@2p^hH%*FQkJr{34o%?smP>l zRdAa*6{US+2o!_^6;=ZGd&}rm<|hy!Ad{sI^XQKGN)!LW!K3LXdorIWsI#n_bA@R$PX5% z5Cgd)kcV)`Ct)N-iWFuVM5;!SD|XN#A@F9?KR!XPdGqFe=u!jO&YB!sFWUSt8;FX5 zA!d9Rn2a46@;xpF$*FLIbv9hOnm5fJLnC4n+Q|utjpjoLqRA9qxpL*#WbvlTH_>EQ zdZd4oBEsY%lp18toO0Bb#Hp=Yw|=XU?W<^FhF_dokw*y>b$Zwn;0Fp^|=+MEunfRe2b&Y%Tz?U!-J$}>Muwest z$z+w>d2u&%`0!!5FZE{F<^MDfd>w{AZ8&a6et5ve&=03MOvS~}VSxBC92Y}BoaQhU z7ej{u;>U1Y4E=DL!&F=h9R`RW!*Mb6!)XpvaWQllAbt$T#n2C@IZVaH&|!f1F&q~| jKb+<;6&FK?0piDSTnznin!{9F3>^lDAH(_UcisO16eDzp literal 25818 zcmeI2_m5TA5y#0tke{PCP9i6-3kY-=?14Z6gezu;emph#-v={7pDzk`*&1DYAyyEHXW$?l z4j(ykWbWL#{rdH5+O%oas#Pl$|7z5z(V;_!?Ck85Cr=g?6?sQEaNxi<>bGgrCNCx$ zjc(t*edy4k&6_v>s`%HqapN96dgSEfFli(bFNfR)FfBg7y z|Ni}@)evl#Wy_Wo78VK~V)W?I8ph6&RS?vRb9Bv@1bzDSY3T?t zc<^8i7(RTsZha*h5BbZPDYvN=m6?7&D>U_RVNr=B+{`0JjL_U=rl(DtCVmxtiW76a zR+rjG@6Ld9O#=oDkiqeaFU32+ph1H~QI{`Y*1alMuFM-Z zCK%(7Fk_hxf=AuAL4yW*J8NVHdg_a|br)klo%Vk#P}Do&;CDRCs#U8rcX+cED^_gT zvIVYt_Ux&f9KC$`a$$wDNCQTV8YNAaE?v@1(2P88+_>D_+}X2d4;eB9`xhhW+_|#> z*Q!-(?AWoKLO9qlW5zUV)@Zm%u=^PU%Pfq14=`e_|m+4MZOmJURLP6siEfUqy2V9MP0tcPqIS)KKH_@ z;s6bII7!D5zH8sUeRb>B)qrZ%s-YhT;8@U2#4EBp&z(D`o1jh5gG8NgB>uo8G?*E2 zZdxh~`|#mI_l9`F;BngGW^diP)w*?SJsNxG&Ye51FMCHfaYD*0#FlshndK%XvT~gZXBG5 z?u-AFO=mnUFxjfEZ|~l{bzj_sm$y>9nSH#_*R%%iPP)h1D@`N`pK|Z1J zBtznp1&jyQ7{V6}iPke`&gl2hH*cn@Cn(dbMIZ0tlqd=*uO^L~F;jqWw3&lgJUh?7Cj`QMOO752lB1JV}`%361kah`c!P5;@0hM>kH^ z;Z$&gg=pS=xg#9Wn7)1cy19&rqBjX#@iw{(0g&$!4Ml<55*8?5|nTIlkllr1p^ zRySZ*;b-&11U(<;gqNI7>G96D@t;rk@u*dnhuzHhA}So3{cYQ}8KzA5BA$f(tvf=C zMAxlbr<)ozY9wL49-Q5*VbIz(G}6&{X{qA4j#P}iFcZFze>#kDiKto>AE&u`$EsvSEMRg&!UMBOKNc1l5wY0dR)g| zy?SNljyD8A8+dG+d5-36LAu1WvJZc(pB8YiZ~(1^Ti*RC4xD@(k( z#)ua=Pw89m2IKnM|FU%4HF@5lOmu+*EWp;(WC& z5;9JYWKxV@FeXr=l;N75K7C3lO|NCgjvdmAN`h{Zq|^b-ytr2BSUN%k?&)C_2vCiJE!CCAsB4ySEuNNf`s!ITtq6S0~emSyT;&EXGH z#w;D-Rh_({h=#6Y81z{XNjR{b5zd=LLAEvHQ}oyC z1}WDDX5&%>Ab!$6DVY*m=s}>l>e#t+Cy|6(7kcB7OBDBLgbkkk;;s{L5cB5EGoxv* zNTEo#;u2-k!JBR(ttHV#jQ~h}i2`&hD|O72`tOJ7eJN_mJ(Y@JaHp8%N7wD?kZG`kaKu|}Ms@rP~^I_%&I!31pR+%3z*kXita#;rLm;o?x57l6I- zo^;2Zx}4ii-YAMYDT7C-h}p|9ePvt0n8{99CQEP(lct#CouyH;!K%$JW@;o>YVA4T z-xjBJiRh%==G2j>Gl@gDQ?Bx#8(+jaqCg$fFa>vdMkMJT5vm-9jyEn9G?-gQ_nyq! zB+eS79izFzKF!c|^uRLNHCr+GjUF7e~ znKK0d(XnGk@lIrty2(ouPRTepL$7t2E@Y~LM~x<;GGHFNbm{W^`E%(4K_ra-)JQI# z1z?g74byb@?%mBsmJ!ku-W*>P=!o0jCRG-VQ4L5|P2EV3!wI4sgLIFQ<2xkY#<&Z! zSy=%~$31#^G?zylt#XcWouHTQrBQ+&kZ@%4=FO&Vt$Tsjg&FXix+a2gc$PypAe`t~ zOqQU%BKruJ)T&i0J*lP<3yF*GuzCtF9m-T|tGOaVMlV4Oy&XL#FZRhS9}7f>IMVA+ zQl8e$hUJ($9bC}<{rkE4Wlc+$E+w7UL7L_fL6WNLA8#ChPad=YpovQ~QZ5zrfJ}tX zq_Dt^CDAN0qi)Sr5jls{fixbI;Hv;knrwi(Dnd;v43hY1q@&S*=D`I+8pbRbIn@Jr zAn;~(etfaQ{>m_{9w7}qD^1ONOmV5365D~BN#(7+5No_PDZ-Ka{A=XsP}jU=HnTf% z7t-{~1b)nz_ntj_UU{^4zawQ8zI5_BMh?TjFO9rEEyOLw{pax`canFEkHX1kN$a$k)8z3|Dz~ z{qKN9S7d{?3gVPfo34xgd7+QO(eujlsYJx^h?g*aPk;Pnz~&JTsiSgE7)jDiKGwEv zThq5(9;t%syJQm7H?79x?N{oT`CE*N|4Msj==5y9#V8py`F~C!6Ri`GXg~&A5AEFD<=Y+#CF` zv0;+sbl|t)J=GeW>Eq$0VpQhrp-H~g?_d0_K4ns8cwF(9@>_kwFRCCq!5Ya4xeVZ5 zo($Sx(mm3bWS`(*!h{J_eRxcVd`sRFbyF`RpCmfv0{AWADqtg!Kp=rY0)Yeq2?P=d rBoIg-kU$`TKmvgT0to~X2qX|lAdo;Hfj|O*1Of>J5(p$v1`_xm?S9s8 diff --git a/share/pixmaps/nsis-wizard.bmp b/share/pixmaps/nsis-wizard.bmp index 71255c6850b00ef1efea82fefd05ff736c90f816..14349528853f1198bfdbf7f9f180a5618820ef76 100644 GIT binary patch literal 154544 zcmeHQ3se@>7N#;a5+%(96m^mIQhO1(M9UJ97NxcdqgH`LTBey#l#JBMj0mlymya@0 z6irq|l#yu}6lJ7|vMewYE5WFIn?iN(cQ5NsbN|Qu^Pf3q=FC5vwRD|-<~;WKzB6af zoU_l~Lx#V7_nATe`MVbXF2TQ5_!oOdP|z7cVMql9&BFbT=LY@v-+wRQzkksmAwUQa z0)zk|KnM^5ga9Ex2oM5<03kpK5CVh%AwUQa0)zk|KnM^5ga9Ex2oM5<03kpK5CVh% zAwUQa0)zk|KnM^5ga9Ex2oM5<03kpK5CVh%AwUQa0)zk|KnM^5ga9Ex2si+N`uh4W zzW8F@x^=m^xie?Z96NSwQc_Y}T-?=HU){53&kq0lJL3$TQ2yudoO8~>ors8t9zA;W z>C%jvO;)OlD^0f&~j!ty;Bd)21JO_~Fk#|8xL^GIsIMp+n{6<*&T*%EXBi z!Dg>sy;`?!{SSWvQmtCGii(OFI&|p$_ur4PR9afv(9j?wH1?ONv9YnZxERk`LP7$b zK>=j4RC&P#7sSWMXJlj)6cp6e)!83rYkKha-+%AevE${JUrtF$>D{|G3lpZ7>DH}V za&q#EFTS{a`*xc1eU6)7e)$C|GBq_dEUd-lhv|fIYA`f3^o~33SiXEY-XeVV+EE!Q zD=TNsnswDxSGl}6aRT1rYOcKUN@$;oiV93tj-plREIN+vznJuk8v-FAA#>);fo8Pu89#pf_+yVfMl&`yQZ*~W z-fK=y4&Hc8W*np9ZBckf=9-`Ez9 zz!!YPh!H>j_@hC_VP66BD*B$1f4Jbg3}!scTjYE8?1_zymA{)Lp@KkSVj_dl#&p-d2ciz!CpX1dIwo*3g9f|6W0BoC&9XqDY_?KUP3Cnr+vUJ6E2wZyU zrPbBd-spyM7j0B;JH%`)FtqU6wQF7IK6&yaEU|6fFB0Ax0@!74YHDg>eE2;dyV z?YG~amzM_@5N5VZZJC1rtR>;z8P4Q)?%b&yXREEPHK%oIMKl5mzq@zucCmg}ev->I z(JTn99|0in{A%#45RC*mg%HYx=*kh0Ma`ymdg;=oqUE9bg(84x0@@TScS&UnC4#wf z=vKU64IDVoXAN(@`KH3A&jhJ#pLm*+0YpHhVy49d*uok-75i*@!h{JvDONI&%Jzw; zxD4+6D!d?pbA>*eCY9|IA`3GhvX#s8tLE1w4Ij%sMJ1K(6C$fJ+;`u7E`)0Q96frp zPdQ0t`-I4<3>RK_p$54wx8Ot1r<|m+eL`em2K~O*5W3W-oTRdSLS$hEykNULzZySD zWn0LU@uo>-8^_QtHIT}-3sYR=B$dqtMq5-uD%%z`aS@VKHWwIeQ3%XRW`y9 zfA`&Yh;yN_WXF69Q5ELTpN|eYkXCP9=Bu*%_3OvXj);P=Sbp!l_b8M`3;U~9t09jZO|}S6^SR6Zw|C zY&;rQTyX_Hg-S|Fd`2+QS+kkh@Y?3scQ$;d=!Y5siGKa{SN-|r%=z=rKVNdmB`$N6 z6Mp)ovgPECK`MNJbno8X3H+-2=$Fc7Kvmiz-+c3p0|VaaSJ~1M$0k@oL4kVM2XGp% zD2NaTeSQ4+aWg7805D~|3wYIp^M`kdJa}-&jvXI<_~Gi+s~0a`40E!U zzt>)S4XU%OtW3WV1xEy6lqD6{h=&fKICfBURZxYnHNqL~*|TTgcH3>(BWu^LogPR# zbm%Z_*s$E(To{sx7P6)OO`A695zNdybLY-Ar|-tEiKJw>n3Nw{AtNYs2X8d7@FK`rMXU>I-NXu zQY{RxG)9rqiad##GiUPZAX`0nF|!a2zYa3!?;ySwE$o!HreW$VXl(05=J4UeR;g@Y zf)koL<@75X-t)ZyZtZm}F#6RI?wKuA+2P^g+`EGcp&6~^)LZL)`}S30YPfeCFRNR( zZp9)qLaRLW)Klf<HXt;*iMeY>`J40jJ5I;0Jtg?F*|?C**_b`lvt@K(e^l`Z1AmR4W0jWIm_ z-iTwkZk5W$CxD2NDrdoGpM91iKIW_Jv(G;Jr=Nb}*af933HLq>4xyWG zzFFy=@r3y*d&-n4#>IB80p?N$ft9d$`}XZQ`kOLYWk*FtvAIEWC)ef5tXZ=pB+Ix+ zUw!qJOHY}9nyIpbgM+b{z$~gpL2NF-zRNhU64qcxm5r66($Z25zKq<0-LixqveF4IsrjmG7>aY8bNcu1zhT1$5#*}tgcV94`Owh7 zpRda1a$+6Zc8Q6JAAInEnh`;15RC)Q8u$d*EsiQ1$GD7~?!mV$^z!%Le=mqxmufMb zFw_H#P3`XRRoSr8*KW@JF21B-l8y7Z9M|z&Xm$As(+*fM@Po8N>!s_u83hzA77MNMmARCQqJ>xI70A9Po&|$rn$ZI<q8 zQgKw-R?)}M?)&e*9}y8D8e7@w=c}?AV7ICW)_-og=_c9e5Gs#zRJQw|f)a-xb)oP| zRk;IIwwiPtdU6!q&Q#e7<9NC;xk>c^iWA1MDqErZ_uqf-)~%a3gypH($tqi+8wZ@_ zVMLrH4p-R<-O0(x;y{+CW~)>-K70@k8xe&Nv>Hc9?!EWkTW`G;YaRFl@>p)o&dx^2 zKX}hm7!jAKt*v#!cBg478&QPdc^H9f;Z)Wy^Ly;1!21*E5XUuY4tSeVOfMrwj1Y$* z_AwDF3VU8Y<7oSw=&+idl9D3sF^5k`pEB4z1N<|TOfD6P7xz&ow!jz32aB=}+#y`6-Uxq_?^AAR&ucW?si3eGgChqu8rgZY`icp^xL z2)Dy{SM*mB+vUfruR(s*#rax{djk_Mcy%EIsx(LM`A0N+G zNC|7>sIpCSy)MGTw)xH?%pVMVRd$~~eN5;ABFMm~jLYn;RI*~l3KJx^wh6u}d%}bX z=5&XnXQvjrp%=`N+`?9Ds)95|56ysfST69q>+Wv>(;I3&6~$DHjR4fMjEeq0|pFm z4#i4O^YZdspfK{&_+B=S2GrEl7?HteSp+Xry6>n2TvYi4M}G$9z3f)4T7hNJPH52) zEWSZ=If`PXv#`PD`02`EeujgSFyH0A{^@ai!pIpxNR_@jFtKyzPLIeiT-2|!;SzT2 z*s+KL!1ru|w#@12=?>&q-DT5MHhjY4OD8KU3rB3sq_|}O%$_}4-D_v2g{o{E+`vmO z4)GNi7sC!j`?(Wz7fLE4Bg2{Gy7Zd6%EqcD;yA;!V9S;*2$Lj;L7mkiTsTeLYQ{OL zY@HOClMPWCo%t!PCf6k`V#m-NHZ@u)DJg;9W0#)NPfWHNpxN#g49Rc|oM`okz`=tD zZAYj_f$@5e@Yars@!^qBZx<0y$0KgGyNIuK_`CMjO}Y*Q;AP!*w7Lk4J#L3Di0K*0 z4?p~{3vM?0i5VN8?bly_y`G*rIS;lD5$uNJVz`-u1aDu97A?ByqKll&pq{>mhlfv` zI8lUS3ej|9WPbYTr+CMPZIYgzIx`Q}qNAguu~rF_4D;8bjgJ8Y7C}h*o;`aylRlrl zZquesY-}uC!yyC)0@E7@+`<}g_}XWG9hd=w|LLcn#`7yQ%CO12JHeF=!&rqOkjX@t z(_BJA0s|TWUj#M-=HPFz6~A`DFj)mWaSR=VEs#ML#1+R|7UHJiLjYF6!NI}$6%DCu zk0Sv#e&WdTw`P1O!86H{B}-CMQ{hI~MPMv!>a37dwhMGEKP6GwTeoh-(Gu;j@_u>7 zAeHTrZW&ZIT+@4$O??sT*gBtUWFwWWhE7S#pt513i@+0#NFEbd1R#~|5gGj#AA0B^ z{m+ZeoY0tfRQ)lji?hI z#ZzA-m90jDAq_9?%r?51R5xtcfRHQL`gcE&jw=`d+9O1{ODdazJBA{DSqy?-%%yn5 z@UjFQs@)u(bcIdr1gUIoBzfJ1BX?~g{Nh(F6;5Dj*Q~xvDq9VmptMJo&CIV_NWVN# zk;+y>Cn&9`Y%ct&g~Z976DLlnA6|{L9+e#z7pGB!%PpM5R|`Yw76k6R^G-ebmjN!m zDjRktIE>@MskfhHWn~NkQxRqaxT|b1=#5;L>lhVegTzc6LqYB;`^hJtbm3IvC+2(x znW+dH0@kW*3=B50sT3Omq_Wv?vtubz*>+@&O=b&KHohWZ0?j5im101^WR=Z*m4Knk zPA(!^Wn;w#O+Z=T(3a0tdM6WMLf=KGY=vLw2uvL&^n#kug8+Ax4cB(WuO8&h&%<41 zo8JX$Q9lBFRkr?iDW~}e_*B_2Vks>xMK(D^$Pb2z2e*wX(9Zp`n2SQdrJ~Pyw)aUteF} za;mhcsR`c3gf>T&TZI6ete!e`%H{a*8*jV;x4Kq|MD21%pl#c>OP4NnVOjaPYuB#6 zefx56lqxhIfnL3ORaI3f%z8|~J38XIn=c!+$Pt0$<1)-S}6BEsmkXqq_zzsLtP*+!{O{=%NaF`Eo`5_@8TqL1N#36v= zzzY^EFdo-z-@bjrh7A)ZC)I2afgwYN)YQ~?dte!^uUWGuEG*2RR8*WV0v$VcT)up{ zh>)WQjrZV#55ln|UxBGMHUv^qQbfnmfcH+EhGP?tN^wCTCME^}wHYR7h9a0W5W$M# zgmNLyuSyU>7@t%kCT;Cu0JlHc+1VXBbnr`B%FKoUzJ}l@Uw^yT!Zq_ne%Zh=>S}y`YQQ2z2SvW&Zs6(2QzGB~Qa&IyS_wz4lt| zuF+iu0mPre!U*1YC1+McJbaI*rKORde1%h&1eUT#j~lVVUz3{>d{qW|jpf-XQ>H*& z4j(@Jy6dj%*RLN21D5FV=h2@(7I`pN^ytw8Ib&mEQ7Sz>9c$6Kxw$aADlaeJyLWGM zbFYPj&Ts-}i2K z_uY5jZTAlQ*_WHyuj~2Of`5JacjK3uHTzPt^SN?f=bh24nf>pJS6W_zfFK|U2m*qD zARq_`0)l`bAP5Kof`A|(2nYg#fFK|U2m*qDARq_`0)l`bAP5Kof`A|(2nYg#fFK|U z2m*qDARq_`0)l`bAP5Kof`A|(2nYg#fFK|U2m*qDARq{2A)uS7LuzW>erwuRbLbkg z^CIJ|S^5hT^~XnR50B7p@2|G(r(WArxuUAr&p&rp{-d9L=R?D^UyjoF_?t8J4hxKd ztIR2z%{6F?qAO<&afx2>X6Aj@UwO?FO^$JmcwF?UugkWu1fK)YE9W zw6k(!AN9#G+Pib~(d*2uhb$5N5{QT2wfn5TD~#8s>URxPFYgiwa*K}ys7LT$oTSri z8jt=dq2rbFk)20VBkD}}MJ=N?i(?z*ztZ?y`>HQb(Fd(IcN`JYPYhwxO0C*sRV_9i z8>!(wMVZ0U+Sa^_@<@%=aiOttk40xIM{+YgrPnL=SncK+_YRJ?2)iEu7j;zVEM2DK z6+-&P1GW_ZaIi|>-2;`RJLx#x2LzzV4E`it9Me<7*P3{(5%Hp zlT=!l zo3p)4vojX%pB=Adf4f|l8G#ErD9=ySHysR+@p?T)IG0uXGIveBt{?(e_fYVZSpW51 zt24*HbATxA$c^*+hNz$J@J+yX9ZkJ5MK3tC)hum`l^=`|4A#ia7fn8_DO$-**sjA|9R?pS8_oocP-ed0Kf8xObrCG!08An;7BhF@Kfab{IF^cKAx12@e5 zP$7^$@3UNe-fgky?L@Jh84u|5-pfwtb5aXlnk3WbWD=cWoCpcaWDm#^M%*S=83sLF z{A9RzVhNXMPvb#u76b$VK|l}?1Ox#=KoAfF1OY)n5D)|e0YN|z5CjAPK|l}?1Ox#= zKoAfF1OY)n5D)|e0lyIVW@RLw_yt$&p7YK2%HOqD&TFTf)mk~Xt#W>QNkK^z0ye)N zC|_->oY|_L|Jl^Q2A1DVru?tHljUpe64lw*Nng_&d%V#`znc!G^xXV+o9-cNEbbJ;-E`q9{}Zsk7k5%#o@~o+ zL;Pm%aM^S)eMx6q!6wi?VSM^cUe&^D||unC#?p%~--@x1K8|yCS$gr;YMxjqX;7r_*AxgI2b| zJ>NFj-Nj^Agj}C6*;^uKvI)AV`N?-5ujj>zx`-DD41ZT-#r zHY4BaV&|GZK3eF(6dqv>OMnsrq-FU?FJP|{0wrTVWj83XqWwoi;j z-uZ#_hyWg9GeS4npC3*4TxQPPX6-zBGS}1%vyI-v%IW$8sou-YhlXniJE|Vl5hB!~ ze|6|4yHUz~xnapJi@LAPt5g`TWEuC@WP>Dl_FL(}-}8k{HxF62KlR*1gW=7RZs<}L zN&}j1vK`Or+&1)v}+)fTY=>03PC(mS(c?nVnrcc)Zv_ z9N-6rX)K&g*ktWj>=d;qzYZt}xNiGw6=uOpFxhy^r@d>-?>&PwJl@(3)~;jeXwzF@ z=zTEXU|z;h4^hy{|J7bi_Ka;7{w-N*5trWr1fTj=pXDYFMn%!>)nuOvSiFDhy4bYs zQN`G9uO>U*?B=!p-8qK6wNf-huYM@I&S1zAAp{(p~Oikuheq!KQCNlDejcN|w8iAcy!Uo8J#ktQKAHp}nT? z>^QyGQgiw4l>qVKzea?5B140dY8op$9l4+Ag>$* zj%)Jz5arS9S3COI;TQS6u9wYk`vu1A?babRr*rb_DF`gyWwA;U@c>IczfaYQ{GP(2 z%U_U%~6Jtnr<`wcl5K!uO}+q-2AICIuzMgDW~2+2%<@j1=TERH z^`?(iG^ZQU3;G^Aq}ff~eJpk>OKE65s;4P!$I@7rMFwkR*q{f7YTvC^nJ+Z2ve!oW zxuQzBzPCy#0472IJI!F7W#yj2)zUl@>Fxt>&N8B-#?Nk3&&El24G;M)$X&S8UKfM4 z`-f_Trzly;k~i+IlQK$#79m1y@@D3TUx{q6#7zDJ|1%Z(Znf5azOngWIY#*W&r{e+ zyx*Y3*3I+5;nu!trto9Pbmo0MTLPzSvD(cu9v`h=+e7__4{g?97FtnCc)6Zn1a>|` zml+=9jFAy>L<^R2Y|1TtqoT&~9O(P#^$`;7!&-XQcB{hz#xpyf6>kW`|EPt{Pq*Q+1vGw{Ce$0$@il%aOZX$ z2}+r7JDg_MNp#|+XtUo<3V#h_a7d+t>Hd>rgR|5-xAY>0M#5#ny2TzO%O0yBLV(w6~mGS+9=e1RC z?4yRh7#)_^iWB&)Duqp9LA=N^WvUnv_l%K4OK8uqwIPdkSfzvMJ|=bKxhxCio(i=UM=ATSQ@2_qmj*g{^vX4j z;b+c{0xpVTq)8_usqB9y=ywdTx1tkszN5d^XNAv8C*hjx-%bd`WE1*}8rO^sT$JC8 zKha;}dn_*WTVek9C;GqlQArbJD#{JS*_>!II-l7J;r;9}-1O_POg7yiQrGnM=RYBu zTr=fIV0$eyU!QJJoq)v!BG2&4l!TB=zIVZ!41k(-1yn(PmtDRSmdU0g>@RcKNKdy# zlWRm9Z3DlApz&1-@|$BCfau=Ajazy5;DlweDYx?K)IfCj;MFD>nX=pz(Lkjn^q<712vYo}gAed%~isyqt42vCqzZcK^2ZPi`C+6;H zFSE&Z;^EVRiH9%WlVS=JsmXOy*vDjF-X+E+8@-g7X}TYfF7IqN-$;xCXOP6&WRtEy zw&%Y3AoO>d7!(RTG21pBg%DGd&4lsVp6aT-e#Qr~<$Xk5y!UJt)b?>S*{Ig*K2lc( zMl>0lw^geID+(eO-#6oBvS}?u|6q_tS)Ob$^!J)+wOvxfr)0?JjE%UMY}!fO8?LEL z8|Y&FvfU}pi=^m8bU5;{CDAw8t$w`RbblgbX)2xI$zvn+WCTSU8ekDL*+f1Gh!L}5Uk|bFLqX+=o*Wbq%~YK) zO`e&~<~=$ln^EU1#j+^#i&6U6^%jY{Wq6^w*^88Tq8-vhG}(+aq!<&4u4aQC1x`CG zG}iAA(9O;nJazk1-yf)car`n+B>o~GlbyXtgrk+=7i?KpAV2E42|88fnJkx=VVh+(2R%R0U{yD}k%=do z4hCVeUz((o;Yo&@lP!blATx?ocCz4{0(z7$2<1gGEe*J)r+pqoVwSNyjZ)uDHUdlZ zi7YrWo&ImS!Pz=%_N7=;2=_(e#`fR7Z*SqROs;)5*}IOVCT_AmKN_tXOBaP?Go_NZ{u_@j`l;q z8SweYB=Q~KO?K!cx^DpMm~YKCSn|L#Pb9fHo$}peyN5a=cTx=G(#|S4`Eap$+E;5*nF*e9xq&{5xP^P!+r`@)?m8j#e*kswU=l3f`&$@dsOM z8C34cEy%dT0<~ZMrI-3?q0&H2*X@i?Y8un#h8hs}_kB0ny_OkY{%bvo*B5^C;U&?f zc^8$l1DZ~5{u4M^6|<86rO9~5cau$)!bP2et=WsieCI&zsO~@AQ@5Jv@T977-%WNI zY7}64D9ze+iT?!*_tq!b8xO){Q5 z*QgHCR;je+?<>kh?V7F=9Fy&Dt>!YAY(gvF?yem1yJBTzi&ZwAXy*xMykGy9nSSPT zm%(HcOe2I&blu-q;<+Y`_Q%8goUfL_WWzPP52%su?zAKWSeul|@aA<5yHd=^vv<(M*7whz2W<~4pCLgtT=27u7*~Iz+DjHAC zoW$?PkCDkn1>MkF-K+R7)KpWsrbm*K3^Sq~3zJPm_wp|4{LlQ4gk!I3H$>>b+zc;4 zTtwew)56O-tL)A3-6eA#_+OtSIpr*S%tYB_V`5k*<=pLn5|H3}>!B2ZI6%n8B&pYt zHQD4PBGH_&zU{F8Haeps{y3vjgxVRvQ8U?O4kOOj_f`k3_A}0t;Wu-^^bI#4!TI6& z3MwKdo5&SQmgEUj!l>0;V_yI+cZOx>;#XtrVhj0zB>0_hO*TdZ$wH862I;$GlZiItt3#46&&2WluL8!2{lHJRjB_fTJ$Xm9Z-PkB;EU%1nf@vI z_6v-;JFHL&-%-1gLe|ONo>_d*24S*q=%b$A$}U_Ht*+bV@AFnV;Rtc*xY0fa1y~E-hs7jXT%t*jwzvcJw=s9SI_-oH) z=0zP7curG>K2I0SdT@qAq$8lO-hMds>v1~MtIG7c3yuL+hg;9}KjkAJ23SIs5>rj@3&BY;0esKT^pQLF zkJ@-J_3{*h6Vh?RM4WTR2D4zeuBnT?P{Z=;(~ag;w$i2yOHMZ{{8xrOQ53XX)#7+t zYU+ePKT&6!04!I?VzkSldmy(_NQNj-*Z&7$z)UEv?1 zlueT_*UR^$IOt83cE%Xti&Ez^xN?8KuvXebsD6pELVIUATj3Y16yvn8`Moqe%lRn^ zVlXDDGwl9Lsa{**>ykOco3#vf$hrrxoOdFdR4ye=l+edLgEcIUr%?Py%gn@Yzsg~= z_^(7rT2`y#Mpe`xLiL6_cIAH6|NKhpdT&V6(ybwD;<5ehU@5 z!>Q(H$`%jag_!Idx=A&8b!sF6-SFrTn$yC}uQb(@$?hd4`&6mH6cS?cxAGhD$=%1& z6E|Bdm$**^ML(mZ(bXApb1u;Bk9slL)OaUYB(v-cjDDGH96Xi_hpsXIG{gAO5Us`W zGcs5pBNpe@#HQ0wS;TqvYO={!yslTV!IJ@@LM||l_`0Q;Y^J-E!>ZkAF)g~izg_Sj z>+c-sK%fSJVuo9_*n@>dMWd)2Lf+Bvo=o;rwH3D;t0DB9_dS{H48I&^^uRFW*Io-g zt93oLAlq$rp3JT{&~`7^zME`DnnYBx*>BWj7vz`HQY2nF@|*p%>}#|xTGV~+x0vi~ z^n$o9XtGP@H@k&sUA!ZFB=@2%COaEI->+?x-BuZPJeSO|zuEfPjr=K}h-A6Fl7j{%w zi4c<=JMKzoFyRqa7~OL%v+NQ;j>t!F&sc_V&$Z05BSKsW&A?=n5yT>+%a`x7?3H^{ zq)-y-DWUQ55l;x%Ci}zCOg8xsGq+isoh@8@Vy)zx%WSgYmo*o%pr5MMuj^$Wt6g%- z<%3=k@M^LfJ*zZ-Ejx!_XGagSd$!M`SiCk^?k^buuO|EWS*68x*r6y$KVz@fqMaw@ zSNJWNARj;I)ns#4DF;sw+i9o`xNx(LfN80G~<%Z}W;KpQA#g1Bb>=LDJA$!e)=;+YrsU;1W>}-CiPRRl* z8UTflP{%N!f%vmlC}u~f`A|(2nYg#fFK|U2m*qDARq_`0)l`bAP5Kof`A|(2nYg#fFR%% zfuD}hynaOPk0SyP3}qKg>Xj*a92+lfc8|bIlk{WyiCwF`ml>CLk@TAcBES)`-SW=I z;l!C+`l{}Sirg7}1a9i1PTyL1`{J&nsb^}%d?!S@e=$nidZ>|_e$;preI9+8Ez-h^ zJ1V3=yWEV-e#5&5iaw8}fPc4Iox7tEan2bAN3_(RXNrFwGrIpUP2aD0s1lP+`sB?f zrSglY3;9z-5V-kcb?o}WZdf*<#jhDB2sq^+BGM^oMm_?sP1ScFD^Z;D+5dEhb>9#X z@W>5#wmD2|U{;k+qWgDrGu3smadnT#^*4WQg|Ik2`cB8zHT4!=>M`QLRCmqpZMBCs_nK>Cos@1SKz~88DI~pp~-SX zOWHqm(uA1~k&} zwdRv!G!C^d((8))6HovMu*67Rdm08C57_5jVv@*%swieNou%V+9}s}T6Lc}X8I!bP zkJWCTac{+tPOb=@rOR|Yz81MDlIbXV>(u>^%9yOt3)|5xonLCfh)u}GXk?(I3cSQ>Nt}tGlq~BJp zUK$5?j%>`k2HN}*F=hpd^nS)cAinY z!Q6g0CH(q38VSm6Ib@Lq$6CPa)Ae7D(hz)XVxT%7oqT0CTP;5^Mtft1-gBujW1CGb z`p4hi$fZ*?|Pp#f-4*>KhsTyz2)L)or4}*t>*&_k#4^xbXB0tymR9f~^xtT@z z$46^?{H<9!9wWhC_D!IZS=