Add files via upload

This commit is contained in:
hairetikos 2016-11-19 14:52:05 +00:00 committed by GitHub
parent e55210aa16
commit 5ae1842af5
1 changed files with 3 additions and 6 deletions

View File

@ -81,13 +81,10 @@ public:
/** Number of network connections changed. */
boost::signals2::signal<void (int newNumConnections)> NotifyNumConnectionsChanged;
/**
* New, updated or cancelled alert.
* @note called with lock cs_mapAlerts held.
*/
boost::signals2::signal<void (const uint256 &hash, ChangeType status)> NotifyAlertChanged;
/** Status bar alerts changed. */
boost::signals2::signal<void ()> NotifyAlertChanged;
/** A wallet has been loaded. */
/** A wallet has been loaded. */
boost::signals2::signal<void (CWallet* wallet)> LoadWallet;
/** Show progress e.g. for verifychain */