copay/public/views/create.html

143 lines
6.1 KiB
HTML
Raw Normal View History

2015-05-16 20:34:26 -07:00
<div
class="topbar-container"
2015-05-08 05:35:33 -07:00
ng-include="'views/includes/topbar.html'"
ng-init="titleSection='Create new wallet'; goBackToState = 'add'; noColor = true">
</div>
<div class="content p20v" ng-controller="createController as create" ng-init="create.setTotalCopayers(1)">
2015-04-23 23:08:04 -07:00
2015-07-17 06:53:50 -07:00
<div class="onGoingProcess" ng-show="create.loading && !create.ledger">
<div class="onGoingProcess-content" ng-style="{'background-color':'#222'}">
2015-04-23 23:08:04 -07:00
<div class="spinner">
<div class="rect1"></div>
<div class="rect2"></div>
<div class="rect3"></div>
<div class="rect4"></div>
<div class="rect5"></div>
</div>
<span translate>Creating Wallet...</span>
</div>
2015-04-23 23:08:04 -07:00
</div>
2015-07-17 06:53:50 -07:00
<div class="onGoingProcess" ng-show="create.ledger">
<div class="onGoingProcess-content" ng-style="{'background-color':'#222'}">
<div class="spinner">
<div class="rect1"></div>
<div class="rect2"></div>
<div class="rect3"></div>
<div class="rect4"></div>
<div class="rect5"></div>
</div>
<span translate>Connecting to Ledger Wallet...</span>
</div>
</div>
2015-05-29 12:50:11 -07:00
<div class="create-tab small-only-text-center" ng-hide="create.hideTabs">
<div class="row">
<div class="tab-container small-6 medium-3 large-2">
<a href
2015-05-20 06:36:01 -07:00
ng-class="{'selected': totalCopayers == 1}"
ng-click="create.setTotalCopayers(1)" translate>Personal Wallet</a>
2015-05-20 07:10:03 -07:00
</div>
2015-05-29 12:50:11 -07:00
<div class="tab-container small-6 medium-3 large-2">
<a href
2015-05-20 06:36:01 -07:00
ng-class="{'selected': totalCopayers != 1}"
ng-click="create.setTotalCopayers(3)" translate>Shared Wallet</a>
2015-05-20 07:10:03 -07:00
</div>
2015-05-29 12:50:11 -07:00
</div>
</div>
2015-04-23 23:08:04 -07:00
<form name="setupForm" ng-submit="create.create(setupForm)" novalidate>
2015-03-06 07:00:10 -08:00
<div class="row">
<div class="large-12 columns">
<div class="box-notification" ng-show="create.error">
<span class="text-warning size-14">
{{create.error|translate}}
</span>
</div>
<div class="row">
2015-04-14 12:20:12 -07:00
<div class="large-12 columns" ng-hide="create.hideWalletName">
2015-03-06 07:00:10 -08:00
<label><span translate>Wallet name</span>
<div class="input">
2015-05-20 11:16:39 -07:00
<input type="text" placeholder="{{'Family vacation funds'|translate}}" class="form-control" name="walletName" ng-model="walletName" ng-required="true" ng-focus="create.formFocus('wallet-name')" ng-blur="create.formFocus(false)">
2015-03-06 07:00:10 -08:00
</div>
</label>
2015-04-14 12:20:12 -07:00
</div>
<div class="large-12 columns" ng-show="totalCopayers != 1">
2015-03-06 07:00:10 -08:00
<label><span translate>Your nickname</span>
<div class="input">
2015-04-14 12:20:12 -07:00
<input type="text" placeholder="{{'John'|translate}}" class="form-control" name="myName" ng-model="myName" ng-required="totalCopayers != 1" ng-disabled="totalCopayers == 1" ng-focus="create.formFocus('my-name')" ng-blur="create.formFocus(false)">
2015-03-06 07:00:10 -08:00
</div>
</label>
</div>
</div>
<div class="row" ng-show="totalCopayers != 1">
2015-03-06 07:00:10 -08:00
<div class="large-6 medium-6 columns">
<label><span translate>Select total number of copayers</span>
2015-05-29 12:50:11 -07:00
<select class="m10t" ng-model="totalCopayers"
ng-options="totalCopayers as totalCopayers for totalCopayers in create.TCValues"
ng-change="create.setTotalCopayers(totalCopayers)">
2015-03-06 07:00:10 -08:00
</select>
</label>
</div>
<div class="large-6 medium-6 columns">
2015-05-14 09:48:01 -07:00
<label><span translate>Select required number of signatures</span>
2015-03-06 07:00:10 -08:00
<select class="m10t" ng-model="requiredCopayers" ng-options="requiredCopayers as requiredCopayers for requiredCopayers in create.RCValues" ng-disabled="totalCopayers == 1">
</select>
</label>
</div>
</div>
<div class="m10t oh" ng-init="hideAdv=true">
<a class="button outline light-gray expand tiny" ng-click="hideAdv=!hideAdv">
<i class="fi-widget m3r"></i>
2015-08-05 12:44:58 -07:00
<span translate ng-hide="!hideAdv">Show advanced options</span>
<span translate ng-hide="hideAdv">Hide advanced options</span>
2015-03-06 07:00:10 -08:00
<i ng-if="hideAdv" class="icon-arrow-down4"></i>
<i ng-if="!hideAdv" class="icon-arrow-up4"></i>
</a>
</div>
2015-07-17 10:24:31 -07:00
<div ng-hide="hideAdv" class="row">
2015-03-06 07:00:10 -08:00
<div class="large-12 columns">
2015-07-17 06:53:50 -07:00
<label ng-show="create.isChromeApp()" for="hw-ledger" class="line-b oh">
<span translate>Use Ledger hardware wallet</span>
<switch id="hw-ledger" name="hwLedger" ng-model="hwLedger" ng-change="isTestnet=false" class="green right m5t m10b"></switch>
2015-07-17 06:53:50 -07:00
</label>
2015-07-17 10:24:31 -07:00
<div ng-hide="!hwLedger">
2015-07-17 06:53:50 -07:00
<label class="line-b oh"><span translate>Select slot number for Ledger key</span>
2015-07-17 07:38:52 -07:00
<select class="m10t" ng-model="externalIndex" ng-options="externalIndex as externalIndex for externalIndex in create.externalIndexValues">
2015-07-17 06:53:50 -07:00
</select>
</label>
</div>
<label ng-hide="hwLedger" for="network-name" class="line-b oh">
<span translate>Testnet</span>
<switch id="network-name" name="isTestnet" ng-model="isTestnet" class="green right m5t m10b"></switch>
</label>
2015-03-06 07:00:10 -08:00
<label for="ext-master" class="m10t">
<span translate>Master extended private key</span>
<small translate>If not given, a secure key will be generated</small>
2015-05-16 20:34:26 -07:00
<input id="ext-master"
type="text"
placeholder="{{'BIP32 master extended private key'|translate}}"
2015-03-06 07:00:10 -08:00
name="privateKey" ng-model="privateKey">
</div>
</div>
<button type="submit" class="button round black expand m0" ng-show="totalCopayers != 1" ng-disabled="setupForm.$invalid || create.loading">
2015-06-04 08:37:37 -07:00
<span translate>Create {{requiredCopayers}}-of-{{totalCopayers}} wallet</span>
2015-03-06 07:00:10 -08:00
</button>
<button type="submit" class="button round black expand m0" ng-show="totalCopayers == 1" ng-disabled="setupForm.$invalid || create.loading">
<span translate>Create new wallet</span>
</button>
2015-03-06 07:00:10 -08:00
</div>
</div>
</form>
</div>
<div class="extra-margin-bottom"></div>