From 1c502f53a009f4179ddb287b0e66167c6eb69afb Mon Sep 17 00:00:00 2001 From: Gustavo Maximiliano Cortez Date: Thu, 15 Jan 2015 21:35:31 -0200 Subject: [PATCH] Fixes layout on WP8.1 --- cordova/build.sh | 1 + cordova/config.xml | 2 +- cordova/wp/MainPage.xaml | 19 +++++++++-------- cordova/wp/SplashScreenImage-original.jpg | Bin 0 -> 34426 bytes cordova/wp/SplashScreenImage.jpg | Bin 34426 -> 20495 bytes css/src/mobile.css | 24 +++++++++++++++++++++- index.html | 2 +- views/home.html | 2 +- 8 files changed, 37 insertions(+), 13 deletions(-) create mode 100755 cordova/wp/SplashScreenImage-original.jpg mode change 100644 => 100755 cordova/wp/SplashScreenImage.jpg diff --git a/cordova/build.sh b/cordova/build.sh index ab3e964d0..4dc2ea957 100755 --- a/cordova/build.sh +++ b/cordova/build.sh @@ -173,6 +173,7 @@ if [ $CURRENT_OS == "WP8" ]; then checkOK cp -vf wp/Properties/* $PROJECT/platforms/wp8/Properties/ cp -vf wp/Package.appxmanifest $PROJECT/platforms/wp8/ + cp -vf wp/MainPage.xaml $PROJECT/platforms/wp8/ checkOK cp -vf wp/Assets/* $PROJECT/platforms/wp8/Assets/ cp -vf wp/SplashScreenImage.jpg $PROJECT/platforms/wp8/ diff --git a/cordova/config.xml b/cordova/config.xml index 96b5309d9..d6d244129 100644 --- a/cordova/config.xml +++ b/cordova/config.xml @@ -21,7 +21,7 @@ - + diff --git a/cordova/wp/MainPage.xaml b/cordova/wp/MainPage.xaml index 658393142..10a9fbbd3 100644 --- a/cordova/wp/MainPage.xaml +++ b/cordova/wp/MainPage.xaml @@ -31,15 +31,16 @@ SupportedOrientations="Portrait" Orientation="Portrait" shell:SystemTray.IsVisible="True" d:DesignHeight="768" d:DesignWidth="480" xmlns:my="clr-namespace:WPCordovaClassLib"> - - - - - + + + + + - + diff --git a/cordova/wp/SplashScreenImage-original.jpg b/cordova/wp/SplashScreenImage-original.jpg new file mode 100755 index 0000000000000000000000000000000000000000..4942eaf038c62b1300e3f3751cc881ed9bafa2d4 GIT binary patch literal 34426 zcmeFYcT`i~w=Wt*q*&;^1O)}DN|hQFX(AvXgpPuA1nDg#6zLrV1S!%4q(pir(z{5J z-V%CGr~#62^E>C=`^J4^ynF9?X=V#7+HGQ=Vpn0jHt^yz- zApyK4{sFG$07?MTYuEnuASFJC$8~bD>%@cd200ly73IyFRFqUyw{Fwkxpj-?78TVU z25K5wI(mBgn|BzP80eUY&*}d4BP9R&=Jo3oWMmX{x2SH>{fCdMUjSMPGO26(q$KwM z*Jw#dX-TfS06+kMgp4@be@*!RI!LaOUMIUjPC-d^llXyJ8o)K;xvyO(B_mFo_~`)R z`+)1TWOR2QKf6J%^N#$UD}z{2VlD;G^U8Kc-BC2JxTRY#B^47h3oG0G2Ymbw1tcV; zq@T#hD!ouvQB_lasrN?T0BmSvZ1vvS#@5c>!QI2t%iG7-FXU5bSa?KaR8sQil+?5@ z=^1(X1%=;>ic5Y}RoB$k)i*RYb#!)h_w@Gl4~&gZ{GFVdo|#3WR#w;6H#WDnF^5OT zC#PrF^NWAkMFJrGceDP7W&aPmXo+@RyMF!Omjgg@&6_w#X|I#reSCxNnGX3oSNeNm zK@<$n6LTxuDS5s>XJj1J>_J@pR*zcfbMG(XCV5{RVY&kOvoA0;2kZCbLptD}t86OcTyH^qTZ$sYvX%WLIR z!hcm1z$Qs1t73go=a%nMQZ*kK>V#Lz2Es_qBtVs*o~>LlzE1pW{@pXF&84&c1h#P9 zn_kABvimSpf`2^^X27Vkuw`+FoI5yOON*AtI$_TmzZ^j?@>bv2lhDaDZ{do<4+@17 z!gXr4F+H0BRN2pd=tj>(c9-|@=S95_Wzcy__8%I0%V8Sn`C$ue>eg=~O8`!gJp08f;EaZHxVClaA`;|lPF+HdR%5FBR~e+?&sRYk98e~u6m z8q{W!A~7+v48EuO(Odl-918t43`Nv}LsXK+1R7eH{ncmx1SILUw^lL316nbrkMvI6 ziVHu?%oRR9UIhtPbr0j{0W($ZNJWSa>CcX zG~N8X8tcy71)mM+a>L4XRQFUHZJ`s=q$%ceE%hTrWDG}*ra5r6wHWtbvKHOi*oV4U zXSMu{o`ctw$$AmD$_B|kxetZoS0hR;vQPGM>cG1rn42qCfY_R?a)=Vr;trO+bJ8Jo zuw+S{^=K*Gn!hsTw-oo_9r~E}e}C6^z#U7IsVwMgcR!kw;Cf3AFskaB;pJyKs3Qb}5%k{FE ze}21IhJ54-u$(O$Fx4eGTl5;ERr8|Wi!FdqDnIw}c6Vn)oC4+1Dk!L+kiSQ3e?jEV z!vTTWbKq0Lqy@VoiQN@|J}ewl-7b3th=wTV8ugUi|4|Y~v3Bis=6vsO7W%{N{0wY( z ki??D`stm^IolJAd@Wc9UYP7pJ zUxU7cn`Y1Q5stk!`kpJ)b=4k&aC9RbUQKCT2TVI>I%R2WT!|b^b77FWhJ^ihn_eB@ z&7O>?e2uT=pVsyS+|IM4BP4)9OFt{p-dUkOP*Fz`CtD#r>1)~a#;!b)Lu%?)!UOmE zHD7A;FTHRG89p};JBrpoF-N!PFX!CeyrBM+muWK7OOyQ&Qfeg;h5Y>R*W+Vgw?zh! z8TZbAwMaax+fuPO2w%=ng)Z`VQUG%`22mkjhW*riQ zv#@KX1^!-Si>hh;8(?DBiJC)Pxa&EOz4uyLPxA?^(i&c4tpYgs1%n0@RTc#d_-I11BZMmj{X4?v&fZ31+~cJ+0le{er1;k&AhX}>}<8)m2qS- z%Xiv{w%9$e`EcT^RgLnsTKJG_q$WR4+xcofBHLww*ehwKYV>e?OL?G<+H5-sgHUDJNR+ow$cfs zx&k;H0A_ZW{cPeI&s@CU2!t@g?4YRbKu`*&)EOLS9mlky9>cB4HYwE1W zSmS{UmVT6s#jrXBS6K-Vj~0~0`gF*n$9g_H4(P6>*2~MCw%}t=sAo`z3ND@E^9}@x zi3f*y4WCIRKNa1#{0g{6LGBnx(te#Zc1Z%ff6$G%HiLVGwMDty+)gY=*pYSmBZABk zF>8!_wqL;*-V}wqSN^d!OKqn~tV{QG>Q^Gu3KnnthiLYAWG1Hrf&L2p!vz>)mGApL zRbH6&_$)89WriG&VF#yAZUjSIDj+f#g|vtk`eKbjM`QQLwau~m843eB4R4>383kSU zc<^1lp(ZN2S~JHUy0}CI<%L+{zd0?VK_T+WKejT=qg`ytRt;X&!FlBRR$ooW6O09N zzQ(p3S5z;LbaIlO-ay|e#n7)mEKPht7CSd8Rf{Gb+g z_gm!?o#enLj3aE49B+7cV;2nFWrRnJutMF|^dZK!XC#sy_Y|vKYL_vYf|B#OFLh+GdpKkHX6fc~e$PPE z%)E?MJIB;Us7D(oHhqPf!l<_B6Ap|rqx;)0d?Tw=+v!tw!H-9b1zU>N{LNxKI&O#k zW?Ul}t5aT#YCABrK3fzU3dg!Ft_wz3){gG++1oTMA`SQ7<_p}}<%g2UTQ-egA8d48 z0jO~xY-8E9PX}TAr8b?t<>K%C?PnZNKQ)#Yrs%@Ybz;5(#(lW={oCx^sr3gv( zJ*~a4TW4w1;)#SKI)_+k&4D{&{*jt0FLhKGv&D$)@3r+B+i8Fyk7^U#WE1^#;PSos zsDkHBx|O%+&+0yUZVu=EleyUz*y&^JWdZazjHUJsM!8c1Y33&Nv#G6Ki7!d_Ri|sM z@B7PNf#TtPzaK#z^O6eYO>Oq?gBC!0VL$Ql?br|UW*Axa0M(u`et#3a$h)KMoXpjl z+j!=@GppE3QbHdZ%dA|5jmJ=pct@V7L58`0dt3n$tefL_xsTH)HvYa@2{xpz6&&tD zx$LW2A*i1(9tXF{;;RuKHrwc;<8MF&vlkC~vf)9CHdg(- zXOad{f@AXNJh+`K=M^CGq!pz4=R-luM6Je`J*T~uo-OOd;Jg@2KexO2Nde&(h{IC@ z=>qz6Z#+SY4Rrvnp@k#*c^(6wI#@sH^|s8X)OZg$uqXqewY7u1uK<)#2D2UL!@8sq z6HHm9X>Cn(?cN@b0gYf>ZrnLXT>AtKg=F}pG?C-wYDB|iQ{WM80*l7jQj3~*_se4* z=lnEo*z9_;AWqsR#6Z2DL;|z|=R|guh#2mAzuSD$X3qid)@FHzz5*cOQSz$(_qw@a ziJ%Q$>e^wQ&Y!RQ%e4w#J_$Iv0+6xei-bMxMmlmx7a~{c8;l?to;TNS<_>uU#x)y~ z!&LOma|5wV_3h)Xp-XxkZzc+@{}99e9hv7*{NJwqFNdH>M;qN_i);DZCV_hFpf*-_ z6UA=w#2DtWr?te)6Wy2(vd;br8sH|M=#m~ zr5WFs1cuEH7sY(5*WI(6T>p(OX1&W$%^N=FmOM@04Jkze!wKA&saU6$`^Z+Y^%aY@ zCaHd#0iDDi?}L$fDpDrC{ZN@LwyHHNs z)AjD!LY($b&Oiv& zblRzC{E9Zt07lP~4Z30S%Bs3r<#AiNK&JGN3{A;EQjyTFK$Y9jOsq@C1t`SBM*>c{ z<;AA>)#))~d2CZB+vVQt8v)vSrYV&#sZ$(&XBgT_dgp;SPDH1tQ2U`sPQ5MyFB*O- z69~A zMB1>2@cwxhgN*<|&NAWAbFvkzAJ(sLZ%vSj{YtX74i0hjleTu*# zBdv(9L(R!rwY;Ge);3)g&)Tk!4=_}M8lk+OQMG9Ak1T4h|F zKn#UOpZu?P-v4fA{=dB6R)PZ(Ksc$<$5_e#9P*xKS|E z11-Kdk$<1)yXxuOX~9`ufo`GoY`;u$^0t4ixu?bDE}JbOr*$@9cL z4r+}5Iace)>8%}n1$fa?J0ax!T3%YJTBvfMDAz#u8So_mwxgtpVJgj6!E9b+=UQ=u z3)M|&(^zdkPs~_{ho8osRgS74stqbHb5=&8x$a=!Sx&)d6H=0)u*GT<07 zX+5p(m!inuk?tjfT#BQvU0$a@U{OXUYv$pkGEbh|NVXTOELe;{Zo)^k!-#RJ2B#Fe zPlckePt*STa^U;QKURkMN?RK*%S>Uvm|BxM36v{n#ozZe;%{#=54FB;n5+nLsp!=? zr&>=H%Q%u{V#){I-GomZaKPHd2rQ11Zm7D}V$13&@4A z&0&I$DDrCx_WV>9E?>4Cuaz^cZQUjfsYy(6O>Cs*;VI_MdWgEKr`*WM|C_CPh`5N; zUHo}%mBhX5iz68)2t(8+!z?Ncyf8V?U+`>9*Xx>}-a-v|MV^0dVdAcMAZxWq@_i;JCNXN6rdJyx>HS5Gd=>hz^6t(s5I- zG1(=7)=4Pjp73A9bkqZK;6XL`&(cjOvycDsLGUi*@v`In>hZEPq23!B>C}s<1_VA! z8PL;50MhcZQp-ZV{mtmYuo!S_sp30q^cCPb2CKSqo5=?vS}=m-G#LchB7Qcd>KpV9 zwmsxbNmF<_+k4r85NYFs$V@`%um@mtPV_s&T464j$n(sC;-~KusXeU)I@_q9$b%0! zp>DADH#qv+IgvP8)SzL<;18pD`zfc=m@lm6_X5=E)f6KHU)}e0ck5qVwX|1FOXpd> z;4c@wjrG>Sct=R=(RbEWnQ!}*511I6^vEQsa`T_Ao@~la6@1Ks|FQ^Dyodh=@gxt2 zJP77bp!5`0(SIOFAs)Q9t*xvx2z-_VvQQ+)k)Y#$0dGA>UiK$rru%_Myvb5BU3x-z z2ppH`xW&IK!#jrmN+8(+R!?>MYm&Y+Ecz?LXggU8KuE6rmf=33g$qPayH8XqejlnV zv8T}2Nwxe4fb-Bscygxp7Cw&(Zl3SMgvUs@0I<$i01Hf5u1nz-+j|w0uO)L-AwL0b zL+Swj>q$=m$rfJ`oS@|bf(S+{*S!eG919W7<6+QzZl~+GlR`LUVTb@ey-9uG#i6>_ zc&Vd}8SmA#%VuqM!9K&u$=#3YnKpawdRRN|7niHRoPCqlLL6)Wq)J-P-cWE-avq&N ziIqP6iR~=d?k}FhsbJnz=kFixd){dHbjf1zIB~BIp$d9$#;l4>bGZWC9J_oLuZHoC z_P`V^mFbWLt8=GJniStq=kn?cvCWM*>E}D_M8X44fKglue_9?QPlivM{ZdEk<|965 zw#0y%B3MVNGVsG_mruu6fNMV5YUqP-)S<@$-ETpS_Xm{>{JdvYG0F2u4z6*J)rDsa z^&iT$#%AD%Nnr3-h^Y%bL~~sJ=J(-dZFEXQ_KALjQ4jm&7Wsz?sdlmpvI9k&?+@7rb9*9KOp8wdbfC-4zeo zC9@3<2%~sj#1~@R) z4>%(NzY=R#&lVot5)g0)+83F>wXgEjtE)P3^LfOL0M62;nse?|*RgPkF-0625q5lV z1M98%r$x>wHNz&+k+Zx&aFj=xDt~_2%|A0^8DtNS?nf&vBO)N$?B6DmfK^-k)8_~G z&#jhEZVr8dd9t-?A*6_Laq$vET*(UXHugq`)0|yuukHk+_6?L%*~`wqR%C6-;FRZF zV|lNROSO}jRXfw9=@v~jT)ladwdK-M)mf_L=##p#)p<80IT^q;zI+ozolP5X1?Vul zR55l)qdllWr{*Yr>kc2Vez)4A*!a2O3Q*yBF=FvAmkebkIvwC&d6NK24Frn=OVAg$ z55i~ntab#oPe>eh{SF`Ntrz3s^=@++LyLxqeDGQ{LiHD{+PU7vQ8dLLRe~DhZr^yH z?%QzusQ2C#;8!U0Ie2~v{pNrRkLcy1K?k&?$E%L7PB`mp-!NLTB;7Bi1gQ%mGxj@_ zQnM~IebU|E922wMCasVE1(iP1J!R*SNMJsk7tJxzzosu+}fM4PJ8h z76W1;9FO-~REffXjUp4_H-YhI2JM~PQj;ryqXSTcWzaR8B>YG3S`qGAYg3DpvX)RZM> zuwPAPb;*TBB0OP?YhRn2xy(kqEY*t@S#Z*$inLgu1{xl+7uxoUfTnhG zkamrj>4rPk`^a^qQQ!U++orLTc-a5Zwe!}R9(;fj2$>p^oC)t#R?X1RORc+GZ?_xZ ziWVhMabn0j+QfcFqk77TDY2+C4RzkL3a1xaM@8BmE-K<{HK(@Aw<_i7&`ys#j>GKX)O?`` zJ5YqN2SDgCHhA0cv&WlMrF+E}j?8-M%;R_ z_F;0k%e!7cR=%1#opPs64Dg%M$)=4M)dxG_Jcu5`5ytG}(tGhc7v2_Ecjm?H_i0al zJBitdw!qRTOrN6v$)G=YJvQKyND}#f3O*>I9#n0=(CM_YWw#2m5tjo51Q+3t1)Ex* zs6tCioqW&P?geUjme{1h)Nx&G18+AllEqI#(^r&Felkw_p+(HwPwun=IYoH(xL*%Q!VN?UlarkeQ%3Xc0E?)~Y3hRX72xgg*Lp5#I&pu*+sb?^>VS zb~}}`^Xb#B{@cH50oO zUJ;d(|J;t5ijieRuyz+L+ME{M{~Y5e+ugzp@cPssmecWQ16?83RzTb>EpLFp`$*407P?NM_yDyo%+K{&?&0sozT z&zp16rQby*hsuRww};%c*Bz+NP>s5((R|*-Na|q(F*OGK_aS(E`LA4&nLv{RFoitB zkkt)ab@$P0(TNV<$S&fJ*Ou%#@KhV|!@^FDu*|NQOVoP$x2l&-_j5088b79Unf;(` zRPwSV3j4y@QI4k>Jp)UcRrS^5$hgJH$@@_hR%WGa{2f1n{r~{oA6Eos+<2;QY8|8} zPZ65}>dAX-urg@AH^~}bx+{EA5LGo}>MZ=%H*{!HUW}?7<7|+d!615Qa3qcr* zbV2$X)ld6~wOhU?=h@Q>5+af|#8+_l_0$8l9EBHg8Aod&ipr(NrazzkFzSai@8vhB zq`PMIu{Z-$9m*MZvvu&K?GoNJy~53c-S@&`)AAp(UiY^wfTx1q0cS0=u$AZyZFFj@ zKZm&(y7kALyttb5(wxd;j;(CNP!fxh>(26z;3et74{bK{`J&K8COss zk416xIY`dlctsf{lw)!HsdVr?XWLQ7(*WKgR~7xUTmeY;b*j9Y}4HNbP_Nga_d36(0j*fLyhaPJCjU+3bAk z<5G3{sSgyLLMoRYx>0*lZkdyr$Fb$y2T6SdmyCdT883*j2T?%qTO`M4dhG1<#dv26 zw|v!4c(nS$l>-zMci;62U?zCDgVgT4T#7=_wkRNlxgRx5xvbQSl;%8;UstC%esO1G zMiaVa=O` z>DoP(eUiaM{DcEeJD8p5r5trSozrCFN`9DKfARW#D$1T6aA$X@7xN&W?6&EQPvag# zfDF+_G*$q5A7ZCXyFE#;315DjR%Qe>5@pG$E?QPnzXCY*mP0GS5zt}St(u$}I5PfI z3$Rq%1sDm@bmvX;*V6oZ9<-jw5Le3aI)(f@4OhAOk|{PS^9Z5jK(iX!lMfL*z%u^x0hW91?Q6|Dgy<%r2eW~z-0Z)TF?Dfo0H)evTF0jzEFW;d~Z zLfC5lB)zE#m6)<2^Dobw?mZx`3ng*RNGy*da*x>nJGfF9H#vLL7ddL(z+(9JDRkUB z`OjO4w-=NF%8;2$e!@^w(H`?kF)~p^2zS3wt;*3jsp3)V3)mXOamHg$-&+0eV{%)~?c$iyQxxW=PyA^s_pE51J-jtv8Y65rOGZIlM`vURkDKK4@GH~`P8WbrOp4X=LQ zmWcm|Plhs0LkB@OJWAp0D#9(`m0{fh_J%$#hhbOlxY-HVl%$szXXNKYvH(?!Y#0;B zZW<~Gode!(5&t$HDKEHuz+f_05HX`Mc$&bQbit?>F>(2roZ2EcWqmTHqEL8d>7|A~ zXW|iJ?+O6BAHQ3)LQ5gjl+?rY4kzGpm+y!a7zO-r~`|E9t*D)o0k3?`T5RAdj)yD0qzG{SYNAtiW{JJL%^U=bMNR2{|W8{bxF= zB|CrKFN19;3pMB0x*u%wxSaZ9`F=nk*sSkZ3spL0hrNyMv>%O3UQw#)clVkTe#lkx zpGaPuxuZ1ckZ|4Uoz>o#utYeGlapD&3)M;Y*+Js8iZadZ`Z>KI_pnZL^0(Yw*!-_MCL z`z)*wqF~`hoT7k>l+P8Q*PxN;J@e-V=^ywBoYUDa-U>|@1y+fj;UzQDV&VE0 z_3~_l;lGCr|N1XrVqyfZ$gQi2Qr{QhHAF^93XREe2o!T9sgp7?2H=TX^8la1hCbuzQ{p|4evHb0ExwnW3+Lcw^cEcxRZcn^f85({#?w*o(4Bz#>lvlk}#0iE?y@?V> zW%++tY!qAm41uIB$Ca}1`Z3w^1Pd+-@^x_ujJ1g3v+%9{xAPsQF!rYc8|w`XpOHK| z6WNrffb8Jf((cSczM+fkGTo>uR^G^4F1$m<5ryermI1QK79lxwI1|2j=slmWUCH9& zj!m`0%L{)E)LB!Yfi#ad1y9dVg~u7Toz%>WCc)MRuHUb$ zW{AD6=0P0+kN@RVu!I(gI9LUSQ+u#HGq zFo8YV92;58Dg7$rR8aEs^RZ;!^d81%me)!j|B`gb`G%e-s1RI1oM)dqwP134<@x{SY}nW{bAFZFAi_X4M`INhb_Jloo&6TaryyY>D93Qt-W=Ja8{(6y^W;zcz^mo9$yS@aca*~2P;1MOSlUWL?1-S>)E@! z3qY}3)JK~@J_l18)=*Kd#ecRMbWKhUyhElv%icQ%5yjqL5v4b-8!Q)pnYhYMCHeB6734J^5D9?X6CRgCTQfq1wUN?u zBUqm-4i%R*K0V+1a&sv3GKboo*$EpN84wkF`T_;Sd%Vzk>wyu>re~@BgIs6=#-0#D30$X^-ZO^(rrTuk^ zj%>vY@O#>cdMfxL5Ym&dlugz=ZflKB4fXW>z8Nud%|dF@ZgDvTr~BB{PvG13ZD`EY zat<-&V>b06?==Tvgd>C%LrUhB?rpFS=?d;$a9CmB3-LFx$=aCsaE}G=&M8Xo?+&Mx z3&ul5;jt5sE;zVUtr87UTZe~pR;H3#j!W)xC~)8|ln+a8j{aVAz?j`?b-ygBhr}+L zI%R^3(x8*t)q=_gL~J5~wB21;Szc%|2GA;-mN$btLxnnf)^c$V_Gef;nU0KzVnyn7w!QAeh*`grW`<&o2 zW&mXtl(VfHP$R&t*)8?FCX3A;= zmV5WC<3)B<6r<|T6Zk89DZ)V*e42m>ZgC{8iNgIEkATNtvwg$|<8GCK$bkGK_gh3- zg%r4yhlOX_&EeuzGr&>{v?h>7wG{f>-uQH^sbN_ExAr#s%cj2{n5|onCtX`G zBJQCHu>)f2%Tt3E^*MV+%pI_sn#=K|i?D86xXE5)2Ge;>sVa+z+GSNkpU%WJi}*B2 zn7uYJQVf$PEn2Wc+}JOd*F*U-=3hco1LCs%T$aXcI8)r(%6rDo6H(MO4(0-ra8DTR z;=F@wcD}f#r{~ADMX+;0vM~}p+-ARPZQi7jDwph|+U3nfjj@S_8v>?`iIMp+cQ%|M zYUiRDzCe_DvH4n<`Y?%dSZ8(l@AR@+RZmBL0V2zcnLtqjke$`WHk`$~x^@9_c} zl~!}rw?Fc?)fg`amqAqR%NEx+xi~7@>;KdY`m^)_eU#b0LyMeYY*Wm3daqS{90#0V zEx>!J=kj|=do60FqTh#Ui%q>qRd}$JxpyfWngCl`d5Cj7>Fiy6RD*>rXZd$ERbCr^ zKEB@a*_OS_&XE6%7ogAwZ{IU{(>Nt*vY@J)Fzj1ws_yD~cl%I|$qJjKsd9tsDABOd zF7FMo8Z!1@)DTlfBo_y4t^{!Qna6pK9fm2~+m`KAHi=X*Ll@x5JHm#@Gme`9I4#(xX zaCX=9pIOIFh&?*x4l&s2PzXWqoW`IvaB|qKl~|IM!~E(=TYu{w(CC_r6c87-W$teA zjaX{aix2Xq?=QsZpz+n}3_1_8;1N@JL-I5F9G5RW+Aa%mC+8UR1=geSSBDOrsUL_T zi*fqD-J`?kt~v_GDgQ9BZ@Qhbm|twJ##3Kg8(NqOR$$bB#)}s`2A&cNT*02b-_>nV z@w3-hDnwpKet?LTaXlG%?fm8A1|k(9s@b(#ZmU~nUt0arV@>+Kuf?v#C4(8xxTPAC z)3sydgkyB!P8$2IEO0SM`irxRb$h9M)IagU3Z+eFN4(4Ep?4`ZY<*nyPmPJ$(aT@F zAA9mi^i`L0pY}ficrYeo1W{?92vk^QVl3)lFsqX8)MiRca`gKbq*94x?JMawjyqa_ z2M9ixgZAuT7qG?)u^KO@jt=-#ShK(ZT2#0`p4d5{>Kt*0+{;Bl#V68iGj0<3T*~CD z1rx&QwjQc}j|}O;tY-TYT_57v?4JeH+}34TSMhyMS{6s2{p+2IYx2d8i!0+oi{5Ns zQl}Vs+zT17t{8yAgJ}2X24#J*-$)nIa7JlC&X7E1|_9oghN63k#8TSst<0&3H4->tx$6k(U7W)s} z#LvExe&2=4nJtXKJSB&Zt4vMj)y6a>VvGvBWNa*bd6Tpp3$b4@(p@0t7V7{MjMGB$ zv;^{t<91~y%Vnhsq9aO{x3PvNGzxjac*Kh|L<9uRxwvF1=&i)IWcW89YtJ zSOmhHv^lUv$jOpZd!d7{n8KvPNg?h@4@H}V&haOcoUR;Js!Sv8~5;&{J}5m zmnH$iKFH~ARqE2TDuZ<>6xvq~*4C;_T<_b;Y2~n!PL2x-_D3O}A#4#dBOOnpe4MZt9g(D%BawcJjahv*`RJW0KOHG{um+hax3n2%%orSq=fCU9oy zbR2`&JuAv$>ygu@!M^W%uPK60hYk(7^-wZ@?w8>XGhJP#(}dua-{i6iK335Z*}QDF zAUevjohbvMY-XZTvNiPyQf=WdX3nkH%=CnqskN1^E=7YlAdZ-3Lo-#BRK05ni~6PH z50sq$YrhDTsQ@NWOPb?WvZ+`nZ_f6L*TqALEn%BbZw-cGYOm)4cU3YK8QyIFHmMTe z&#M*x_i8^}9iQ38)QkDL0uF8A@j2j3E%Y)!Pe8Vf&`DGU`qM=WP+KZLRMhv9sMw7I z69pVpJiTG-Mi@>SzCGz$32vwyx5<{|DHe))Dj6;zW-^2^<>?l3(jT1&)0GXTRnGG<)r-V;|I^N?c?u+ox2_R8_$AB%Nf+x~x@D$Ssl)VGeLjj&NK?15|Bsqo+nk*)y|f)Z(XhCo?wo zQpHYhmvqR^`Hhd_@N)x)U9R7{S)8ow*DAWf{AbTU|Bv*G|; zMdJ@zVqW13HF(Bz@4WQ39e|!Qq$hX0MBke5K7}4+oE56g$A6?2+&3;f{ zta6e7L6$}A@tZ|K1b(b4NeKFNR7?OKx*2g5_3-CU<#YIyPwe3J_{8h7A$xU5>x$c(J&0 zwp(+4U-`_I)FIyODZIFip4bG1b4A(bHepMOXMB{jp4irgrXFI#zcZZMC(c*X;%6_5 zdaeMq(j6}0FLzKDoOXf|R$on633HE*Z#+@@iF*pqP$bsy+I2lN72eljm66e{#w*u_ z2{lW$LE6QUl-@uCCDl5(-g0cnj81sBV2&n)8=pnsB8>RGid`NSSe#sY2XdN(&#QY1 z1f_~T{H4Eg|BV196C6$W148SFl0&35Vg->dGcEQg8Y+CA4E1S1sb5X>P!ayiZ|bvl zRNFOd`=u5{8H?oMi)(pC)WoYYG!rfr^1NANq34{@sXUV{RX{4@9bU7hy6GRwIRG&8#(dDwMA$1IY!EY9Gdlqz*{+n>F- z!pSCe5k*d?ETN^d^45*j(2YWV_01bS+T$}Ke`5KIx(`UFdu>b1?G**a^EpuQm`ZS&SQ+wI#BN9FgMdd|4-KWeo$ zs&FsxKNUqFnn8OlN-M(8XS>^P+ZKja#s+PduaVhu4Qcw!SazlICQpnRgheCwz+GvU zQ=LsVxj#&^6K0HC_F>Bcl%+Z`1{pN-g>Byh821#J@i7DmpXPVtEhJ^L`7o}MwdoIB z1zLTuSCdzOu90b2FOVF{x~(XV4KKkubWHZ=#mP~K6lAaazW*3>gNDzocSHVR$?4D5 z3XrXwdGGI&3t}vB9go(=-9=Q31WAmZdn@uZH2k$;*;!ZY8(Cq{0rntxJYq#QO#hbE zEJ%q9FXp-uTYq4SiPHk{Ls9rGG%Rcn$BtBBemV|YnR+q4Tp1DrNmMCDa@_I^yTf2jECC_J>cnOI^2N|3|?D;&6G>%IOkWt=imFJ52T z*Zqa?erh*uFBf%yRRY;qa4zKUW?X8sc__(OHhP8z5;;|E11*VdSGl3M^DZW}gv^h; z6ESlIAX2ei*Ag}EZrS!^*_<+}bIpWKHeU95<;I+60R1HiVSvr0dvFlJ0a2}C&So2} zXd8J~qz3XXHsin5^9-lMZGG-1&cVePguji^?sfq{gljci7_m8>=A3bpj}ncB{TW1l zkW(duGz7{C{GIGN984A1%`k-89jVU%Gr1UuBx?f!Pf39yoFU@KzL6!3uj>t;1DF`C zL1)D7AoLQBE=Mg?r{&!W{^ef$QoQCk-8}W%{ezr}(PKEVOBl!Czq}MSGp^n`C9iRh zSYV`UeVjt{Z+`A9y$vHP<}xT3tiaS#d^>`=fp9Zc+koJz3M7me9$6MvRThbG$u(zp zBbTrb4%)MrT~1TdB0N#8uo_7l%_R$NRr8R1LNDTmgaX0}>y12@I=+1G;(dNzHUkfq zS0ct#kFc#}&TOxsOn)ZulF`iU=5qY>CPimLx)QAVK>~U(Ef~%+$<9F3#PlbE-}~fBkj- z_xWBy0PtJWB0prv{5WObgeNoqfXr}jWILK_!aXpkh{J?N_geN8qK3@VC6S&q)gZh6&{ViAR6E}*6T=8~U9qCL-%F>gSuf%}!D|->vK74uu3Y!`Q#{xm~)TS<%wrq8YgvidJCGwZI4U z;3@F73wMoXg8kdaUD#_sX=A$O(Q;;5@3~U`(ufKwcFfBOd&)h~%tx3XQX%JhIpHUQ3xRw(C*x@u52wG7cO(1T$Y=Y6#d@(qb{s zT;zbEvn^KX6C<~;_YgB~E8HBAxC9ednTPa2Bqk0a1oGo_?Z%*X_s8sjqV;b7^hDUv z2a8QoHj(CKiF&V+b=v}?o6vR-Va=^Ea0C6-btBc<#%MshtFz>em9$}gobskrWlmzl zI^jK!D>1RY)c{oy#Ed(pl=w5k-~;WpX-!pX4bvL@?7O45IA8PYN-3M*8F2YGh)9Cw zq9eGwNi&fs6Y1#P1G7{N|E2#GY#%i%0Rb+j^4Mc>Yt z$>5w_plDNq2sG@VPg`ml&dbucklqNhhF!#}e)b(z4^0I=Yf{dhhD6~F*vJgjsCr2L zq0tPY$6BCsC%mVtr(pO~45T#O^vAx#$$pb>2CPd7E{^V;()*TRk{10Z{lC*!@XsCu zQ5^38%^}x)lF9`ro6*CJf; z$xQD#k?7PFHJ5{fK2r?NXLZH{2irJfReQ z3KTZ!M*`i>ti==!WUuN)YA!(_Zul4sZC>z@U4b3T(V|#Y?c(+zB8^a9hX-nJ~lHzmT4N|hN)sF73VzJaLN*Lm9 z(w;Ax6J|j*D`?wnZkUSuZb4RM^z}0M#&JTa0Ne@0oZp5ChRJHNKYZQXv~LV%hxPF| zm9sWr0-SD_7hIw|V|U4%lVh1;oD<+|>GbmDZTbCGrB5jOpaxh5C5q*PKdEvw;ACIB z9*T~Uo^ESbYBpa21%8F;-%(jc$LeR4yXCUm@W!AF87R02%-k@}C#>LhE+$6|cDZ0B z+tY6>YaV!e8Z_*Rk;GW9m>aAfY>~&G#AdY-oT>UYUmB44dT^BH_ax#Kv@odLW~X;l zg=RpF4*dEJqMZ8Cr+JG7X2b1tcGl3dQj@Oxg`e(UXvsbNhhz=(yt@ql6LoI#RR-S3 zJ_dHYnP|#0*8*36b!=Y{WiL3{S34Klwa~%~FXN1PSe}#kkg$ZAH`*@oal`c6^~9T9 z=AXS#P8%VAc;fDC+*I~yZ(7u;R3?#eWj&7*hNf4J*s5Jii*gPO3}F`!!7z6l6OKLv z8r;VPrhyB}n|#%3Qf7N6dhYZ5bDVEdJSrkSfB;YV|y^prZC;d>8yY}Z5e!HPHqc$P7_{6PQ+j5 z5#C^@sNBme^eV5SFS^?Xg^;&Z=EwA+WP?hEw{aj~_1H7pt~rJs%?rIvJu3y&w*9o& zi!J630Qi$FItBR6kL?@EJTf5&Nh&blcJ6CzqEB0>%wPjz)F(F=QxY`5DS1aP)BYXv z!isA(_KD_W2IJ-rogs+ckas?5W>+g?P3`lqumc)uZqX9p%wvRgr|+mAqGV65c}CWq zSzkw4%ViBuRFKaBb>KQN0MOuu0;AH`O3OcbY!18miNAgcjZ;I0W4eBax^K%ckZZf_ zD27h4IR23Z{V>b{+qz^};6D`ldT<1fx~V?dcATj7DbURE^fta;9R@Ujk4uT6++u^1 z_0eIHQtOsI-Of4Zz7EDsgSMkM*%!zJUkd}`=%dCmsXJiv3N!EZRAEwo@El7=93e;r zs0-@lwKFT!oSBt&SEc+Y(sE$OAJ31ZP8Q_Y?o7rrQ>6AXCKhnV5MeU)Jz%5_=H{)8 zxf9TRBb9*l7)OZ@d*f(47pFZl+Eq_|O+E%BGcZuE-I`}#HQo`Ic{e>Sk#iC$>nTTm z7}_D6*(Xg)Z%dP{^m#PtAt zlVk*9teVsfU)f;EKyI(Y@qUlOv>YoWYWm>Pod!X`ZarCDp!DXaaAG3HVFGo}*Ds{v z{Y(|raoVQez- zQOF_GLc@*vWtrfxFt@8O>0=Dvm$#KtM8EJ_@20=#xv3jw@ah&(rFwMAjb8{Nji}<2L?FgqjB7L1qPsJnftx_5=YLI zP?fK$y0AV9XQjbBl;O`Gw*S}@j2jJd^8IY*IFM-oM^3qTP zM*00S2j&KFsk&Xbss>cdg@9|{Ad}XK*upIWRuIIN7^KJ%111FMrj??#<=0x|&Y&2P zsXeEyxR=DDHeExHo`*`E(Q*14G9E+QzsM4AXl?+_9N=_LXJ0sCe*A(lgQ1GcbaUmX4W)iIMs5`@e(yz5VZ9;FIwz z?b*Lq{Aca-BZT!Vr8&h4C4~s&3@ZgCE5&IqL^HBv$l)VY#b+c)yY4K zj{ZCsHxIA4grw9(X+@=L$||aA+P8Fc_4Ex4@7*`Iu(YzaadvTi==R9nBOov+I3zSI zJo;HoY+QUoVtU4l%&hE}Ik|6&OG@9Cl~+`LsH<;iY-(<4?e6LA>mT?uI5aUi^>upY z+w9ybc5VIpkB!Z(ZTzo;--m=F;Lq`2xhNo%|EF00OS1omT&$p6XTUX}qWvou#hDPW zQ?gP~Uy!F^yJ1S}=*KRi@boOl&9tK0E;`YxW;jkK|8e^BVv4Kc_`jn456S*(f<^te zB>P{2{a;H7{4+H-&@DBt3Fz^op|1j_m z1OG7a{~ZR36(OcN9RG#>DAc5ft3Vm=ol&$k{%0<>A(E!hQ|RbNu1*Rqc7z(@_9O8S zH6Kkd&m%~X-UgjQVz~+~)Zw*CS6}XFEk?LMp2j7VHKwi&n?H04E-6-s4%3i!YGE?S|WhvvhX|dm=>IJKImx=093k=p;iv zi=oyHIj?Wi7_Pg#NVjxKwhh+H9XHl~uJsCK__*o$$8YIYUkaYSq%UGKz5X~+3i9T^ z+n+bejGsat!e-gAmV2l*B%nv;3)Q=i*IajD$SE?pJZwVy-1{;gt9F5}z*C=9s;MDE z>D}_aCYP{56~%>hqz&mgDf<+%GHokWvxn|ffZu9uZWay9RettdkNy<$VJ4u+jVg$N zp8vP^Mk`Qza_tn-rFaT)%qf|KyRyVI$epu7UB>p?yWO2R6n8v@XnGZ8_TEO$-TL%S z^&!XpBL|1L`@ZI~Vb!9&$#AAr(0#=0fCUFXK@!(v>QYFTx|Jd$kg&dhJyUR)ArYFN*FU}2h zF#qsZfCl^X7S3>Z?TKLJDi4s%28F4L78`v+F=P<{JVaUJP)Uk;H7vaaLM@(}H@jC@ z6qZb*r+kNQFjkjT$;h0!LGymVaQDt}ar*Rv)SJWy9Hu&u|6S+&T}WhITeSv;Pa)JQ zfO3gq#NJ*|PxYwb&%0T7jl#z26zMS*oCnrQN6SRMgVjjeJHQwoh1E(b@!%b;z%8$S z{@v=;ky5;9XI#GUTo#)uMxFo4cH~UC*c!xAOTw1LOdGNwOjH_mG2X|Yg&+XG4UciTmgGLu8iF9`4e$)g_Meb{F6IG zt1nt&p=s?hxMcz&muw;!8a1x4!&!ZBvv%H_DM9jz{*YlK+qFN|jaA_@ME0u$!LJxc z*m*1RgiyUs%1jTGim2F_yQ4D^^hCA+!@gZ$q{r0JQJf|$dTCk3%<3}D=br_rQwUWN zspt?j$F~aGb1ep3wS>m-V^~!Dz5eiP(b!MLdAFyhjmiqJi_RI}pZ7B+zQ(19-S(wq z9lZEUr_!rFeP&~FJxEvD-(lQ(k+h84P(Qg6%0PgfLZY4ld}|WXtH>udV?6?ttIN;i zf==Y(>q2vX9AifxLF8+&^76Dnau<^#Ql5XhIvyLcONWQ*lTetI#AQw`(a@wWHRV(% zAakusj^SN&mEriD$CWNde}P?$y!pdsm7mTV(N;pZyQdHq@@%W+?o1H0f6w_k&a$V? zwkpCFNxRk5`Y<;)X;AKrjQWMvAl);%2X-;^R+LeC_NziqjZeanU0h^lfOZv~(kZaj zdepPbv29bBq=-7VQ}OmQ`>^?6yiTXJ z{5zuop5^&GMC;4a?W7i4@9?o%X!kNW+m!++W2f5Ianl|rZoY4sO-q;voeK041}qGM zm;?uT5LTbJi&*?LQeUQApL?G^Q1s@*9sJB2BUpQ-Y?aaUDP$et3uN>T^e;(FHPpHL zl;wN;@;vbLVe4Z;=l(Fc$a>w}e6jv-j7U2F8bu=&_k0Y0_`RwrjhXWQnlt#nI}Ee) zeV6@U2vEz+(3NyNc@cR|62FhS^c_kM7Y!7-mRzcrD0km&clH!g82an28Ow-azgmmk z_H>HM!KaCQ)jM{zEPz-c@!VRkP?Kv%Axa}m>F61meV@tmdrE6u;`PT@qM_S0fbi(Y ztSxShR`Mi}2LqJBtz$BvuZM%u`=4Q#=;J>*>pIM%TH)-&Y(Ci^~KRCMP*?(_>FFRokBT}u;qa%XnDY0 z9+e98km)t?t;R^ZFvVs1Ej9zLShyDIWneRdpC}-|sGiYl`j^cc(8Y{xi zXr60FgO0hvnfLU7ZD66mSmm-zJt-gKhU7+kUFKFSqyV@K$g}us^%9=8!8yXo)utK~ zS3j+bK;jo_dBpX^VbrO#OSNnm_1D_>LCZR$z6ofkd0&II8|M+vK_ zJB-V15%GKX?G#YO*Gp1M{pL}5NLM6q%@TXmE5|kW8EtiyLb zagno(tm}S16q7Agf6bR7`o3AiWkKEelZWok|Cxh5CG!!XlY~FnY^%<8>+6NN*5A`w z{eKNg@;v1H;aq$7mF6p@mdyvagXETtH+tAp2$6q(^|PezU-PpWlEvC1K(1M7Q%^B| z4xbomQZ^M;K5@A3_s&GcbW`S_JP_?f>O6&b?wmsA>j-stx8AB@>-rOWCzoiW_s^r| zBA-c#MoDyuBE~y#`UhfgzeM~O&xxMC?ibFo6DppAhQ;aI9HzNfZMkDO9wtJhUcWNU zg-8{vyd6}#Mf1aa!B@dA<2v)3TyeAAwoVrn*Pj^EJFm|q()ERh?AD&}z>P@_8a@)y zxcTnzxcGOn`X{d6&Imw_X~p=G;(GnoDPtr99_%qiNxO=31`lvI?xN%+6TP=d4uIz= zgr*#rxi?{9!VP!8^(VoHvzo?#Cmc$BISxzLpzds=4z=8?01?azdJ^eSZ7V!94z9C0 zmgpda+m#Kd>?!^kXEpf%)}fg7tZ{RuiX+$+lHPX1ag5)UNBtt-FoU^FJ^T{lFbGLU zRtI)gu9XFat-yGJwyqG%q1r`*B2O;f0Q<^xbC+NC@Q*D{lrCosxCh6UPa!x17C<<; zuu-{ZUM^voGlz`c*149%@T-kPpwJo=C+oUr=aUQ2@Frv_S4cT9%7rCfZOYJlg)y~9eg z!Vk;8E;?>YMHr(-p&-jR54Xi<*czMkJ4oa8k{YCDoa}dU8~TgY253 zBYHz^kgwifH$=2(?o;HA-N>zKtl~rG7zYE*DuO7PP7_q`QK(P95Pdx|K}hIQ5Yx?_ z-gDxF%vB1FMnCI$wb)dn>6;&NUY=XhcV$hcUFgeHtcj1|cCh6cFID^S0V>$QWMgdh z+aM%F_UlJ(7L9%b$ZzTdjWv~W@rKk@Oc=kn<=gMHoX3NpcoqEB1g(=xD+X(^M zy69qz=X??a$VgZweh%rB_iLDA#k5CiFQst4FeVSCtCk3#f^<#rYaf5$wgn+@x^O+n zh?YLEWm^+DLiE>Nda{z78rL98`BW!7uHb*i>;B(AyRmg$F#1H2{5gDoFZx6mFj>XK zl8ue}xP~e1I2Rhv?_?Kzk2`Wou*WaF8z1B$mm%FwAy1bCNV#MNz~>`^z0OvM1#swA z=hMe2tC$`bT!46|>n{8p$Z~TEQ~Hwt;-!r|kW>^(*|*HERiYV*CmbQ#-_NgZ(Y&Xy&Ob0>)2>twof5i zb%lRdPqP{4cW7_eIixN(LUh&7Q*~8L_<89CRofg4}q=c94*=%j+!?=$g zIvHpVDhWhzxJ&!q)yuFbuDJY=a|ijpX)mUR+;s}6Uy8=d-I#nFlvi^>vaxyc-M0bG z!}jqP>6+Vgy6Xzfw2Vp5d!*s%$rkB}FTz}FV8xRL$!t* zOGRj!aW%^~tctRJ=WO!Y1V;4~;)MpJ2KsyeDcZ!MPT(mzZerI(!F`VARgG84*7^qH z^Pf(?Ao>R`Zg4hbioQ_9+|8D1cSrYoA&DeoX(#C^iwua=mi`?U35+>(B|!+My(5I; zWzNSZt!ZUV0*0G(#H*`GPfUaA_rd~IB);LCQP7Tl0%?{(+yYH+y797nJk!9x7f>d+ zg8m|s_=r?n(>KtAjI-HKP~7X|yC)%|scOZ4g-e-*|I&?57kKhSxg|QIJ3u@KLOmk9 zc;03AXtB5CSVEnXdb3U;k2C_QYXuQ#R5)prRD<-uoI!jPs%zLtZnqW-e;ECyKg-5D z&zbkq%)y?Pab`74I{QH!{gukf5yMJ0=y|d%vTLNUo;Fb<@^aCtrwKIx?Ww)}*{4)1 zpY(d~oaCc|Fz)kCYV}U?o3se}&{xJ@?j>pS_gHRbWq5D&3h^T#q4NZH{O_gnz*F$p z(v1*qx^b}%lYB8ygHAL^T>NI}GM~am@{bl?5`pwqL+LHZBHS@6$y;kXD2C1?Jmsy4 zcZZ)ZFBUoaIabW>bDbZ*%GA$L`YeVv>ch=}AJ_F(G!&}GtI*Qh+(I_H-B^M9f9gF0 zvRQTRd1kx44~E*1Zh=}f14r$ghBml$eHOyT_2oxcO=zfNrO1rJU8FJSA3AYV%cpphQja>1y<~#zU}y|Cbu{JEBxAogbC3L13EfRy##s_ z5Auh0rPUhcRg<1QsNO{N(!3sSI-fH?zotDRIT)wQrZRrt|E4g6xt;#Uh&im74V$QfJSJBLJ6LvS7g2|LV@Pjn#o?l%Xo+4at99~T!Q+!pj zw?7)lxaZw+M+n(i#3lKs+yN~ni6_lB--h~^V+=BZ~iOpON9E18EaNfFmX%us1 za`ytO?Q1i}>XarL0|BjYP<>aCT}}v9#54?dR|U?6XX&*;w5%3#Y=unTaHPrbau-Zf zq}vd^iGTg#-B%Y8OZYnfx(lwsp_H%eC%ShBRU2cgv=9AwqDQ6&ISth^4!M_haxwJX z&zYXN$3mzoCb`~l@_R<>~+olYtOi_#gy_SWx@J+s8 zmZxb?QQu)rFx+Fy-TO;t0Bx*L%G_d}US;S)BWm>&BJb-o=?MRLYNddlGw$^Vz`lTL zxJr12ob@Erk?=4H;ir#7-~vhwrAF!$Zz`{_#%HX#N`ZM^|-y z=CY-U<-PA@H(h7V*Qg5QN($_Q5@rwFh|~}qGVag_))?y66VYz+u6Kx6)vHEOAx%Zo z%zEkUY(!Pl6w*NGJVM+ZN<}^oB=z(DUUvIJlzTf?xvV1O&+Y6kM5!|R^`l_JpES_> zHX%m19N2-YYnUXP%%-eP#deg=c+T_K^y$xqV=n|_Y*vT-h!&rL@G>HQ5&nS8X-Q-s zY?t#<&W^;(*fmbjSM)^YvU&f2{wx$x)Ouql*pMpiFvGe#J&(+J#}gsVzduD32A1)8 zcBEo0d7yBhQ#@m2rX5y3T(RWXbjkR_r-T3^>uam2;QOZEEd9W}M8hNG$i@S8jMq{_Kl-Q+}z} zfp0pB2mjN!F{SCqud{i>DL*oVM1+UcgYT-RN8FF?K!E`b+%IV2aud31jAiUx?$Rlw zZ!Y4_GZ#hE+jUxcC$$Z?ONU;yadICR+#+WqorPw9&JfH96Estk@%n$`^@pHB;)I@2(&C{<@)8^MsZ+Nn^s%w)@)Pj(?tj305 z`CE+Ld?tG1gv{)VhZl%|Iz+j*24f$5fwPy*R|d0)e_kI!l&G-)XVHZp^$J>jrU^c#IYf@n8+QVs zF&H;*Gq3x;i&0-Rm3s6@r}=-P<8Rpj=e93U04CyjK0eapk@^-8(?+9yJbnmi#yyG`*NAA%VWV`>)ph1%*XXn&*ESz51yo4=$p%EYVyxCqoBtF17Q>xIO%G`d84k>G4xYyY`sy?_VtC=%wXk@MIe( z^aMlSeq#;F;ZTE$BVKj9R*F>Rk>T*L+7tLj`qE)^g)+kd9FS^&^pyBFdW9q9U%+{1 zhxycmCSo`CIwigq->r#6e8OB_s^Ir~abG*S=?C;`EtC_S#5l5HVTlWHTUm~3HSS7; zo!8gUMti~8U410xAH|O?M#Q+Q?mz1A_lvPSe5F&|wCQCW>5ww}2YTX+_(~QdHDVr( zvBCB6+6DqYT9l8)Q7;`dB|fV}O>4cp?@&U~GTg%B3`9chgY9L-WYXq)o>L5Ji&$g(T+hy#*#MLTxQqkj@>{rSQ#EMt%Xq_KB^EeJJkk80s8( z=M9+_Gr4OOH>+(vfEBAt1l-ahl%T}zbBQ3gb1 z#yE4lShV^*WHvv=VyYiM_6#{k$+Ahv{1zS`^B+U%#&7uxlfOm&Jo9hBZNgX$z!l^L1r+o-lV~a-hJaqdf+{ z6MbZtp_ZhFtYW%VD9p@<&VAb|Gf_ZuiHl)(<_z|ZS`OW&=driSA0$gRCPOGmh`HSq zi^;x1FwNYAFRGO^3^pH)vm_=dWzd`A)a)V+JJ3u1L12DhoMW>#oysz2bMJu)wS#$zD(q5WDRgBa(m03(5Z{Qi zE=~6=#naRga`=CSiF?k9T^eY)FqIzZzE-v;IwlmOE(g8Rt#dD16e#G7djTSF5)3u0 ze}TF};}9$fL&$DZY;fmG8qtx&(M7VEIy%RXFVfT)p-BFG3aOik$9OEKE^z|^t19rt zh`x|F6I?EG0@V+=var{o-(Q(leOgpF_jHi+Bm@Kmm~rcZc1j@@c)7YGP_Z_=KzVf~AWI{w9)$h?ytIE;wc-TZjYWT^NsK(lEdc7*94N)JLe-h~AIdFijlZE&nA} zYuu_GYxn2L-Y{hoy}#dJOnOp{`?+TO>D z&kcxo@u2QB-plz3`V}XsBY6P>3SH|b=xug_HO?)H%s`ZH0GwCs3umDW*d`ot=n3M7 zA63AIPd)p01{DMJBO3Y*289vcbHLS)7@XJ9u3x36Wo~TRn$Vm&R;kMR+slEUchM>- zwrsM`m>SldILn@k8nIgh~EuzRpOeU;)DA!B(YDHX0@;+Jjm7ZjzFM10C5ES)l2qUI?C{ z_gc7-)MNRkq@abeg@;Ruq7yb`#I>32LZW~HzU(Ao?Wl((?u&j6OKhNRzW0`S-O0}2 zEz?+m#L_C(Jtc(2Oi^}E7OJ8zHGjLlm72rUet`QwO{uUag1M)&;{i-)BB%OFlHKLa z(Kcxc@}#w0rBGL5?w?nO?@kCNKo86A(TOQs75{aZvksRXzZ+!qhE`uRpRr8f6cU0- zJ4(bYNREsxrZQmF!zMig~^1 zZMMo;>>A|DW5r)Z`6YS@BM1_hZRG6q&lR{lQ0wL+mK9tDjcKqp4_Nn+zaovd*-=6A0-g`6#nz05~uRX2J`ws*dJyP~aYhp8=cGFh=ln7mV{1)60&aNjbw>zzFG zIQBtliOo3xZmX9RE`8||_5_{$`3F&KtWU=0-mkv>bctDX^mf7#ipYr}(=V?g0ZC+c zADFkxsmB1;No9Ryv-4I1eBS`U&v(VVL|9(j7Ns1F=4X1hA~7zRm)NU{an!RoQpf$i z&(&D<;Twq-x1Sie%u%yX@H%`~L@EpsPZ^B5AsmI(pc8RYQ_F}_i+&zPgVDmQY_M<{ zzeaYc{a)1KN^{23CO?*yoC|f)f9KXkSfm#!=j;V#cn@n}YD(>-3O!hTTTyP{hfSfi z%kGW$_GZlHB4iiAXZ?HYJ}gx2J1>O}s(Kvrkr@h4ghNpTkT5iO33U+^JUXRtqh(%L zJ|-=>!`8TTK~=}(kmo&@Vl082n};3;7mUq%%g2iK;AMMKs(O{sJjcF>I3jx?LAj08 z4t>l*N7U4ROYUoZxf~k1N@wc+Ry#I7iTOTem_CgdAbBHQRW1(pLAei>RG@|GCi4S6 zYbhqMuv>BuTV;EVJ$l!!)>T&1vn;tA#{ASl43S4*3sF#J? zLG~!V-89hqowb5U%yl|`dCH+aevkOq88N1#{>DO4rx3b#of>dQT-noI>*<|--oCdf ze8PW1EHm+6dR|Z$ZY4*-vsGSJpJMUW+le%=)d6f16r{yos zKW=L#vq*w7_|pdfrU%JYk4X$cP~w9|v#ARch*y0=9x91A30(%ts57Uob*WVih)6$h zH4d{<8&a~jmSxfAG#JV)`2ukr390k5a2J=J>v3X@n)+5U-#0!_y(24Jc$XlzH zBf-{d8_lk+A5~csYyn+lFm$D)5O;T(4g~i#s9OSDuR^wTBVKN5HWbz~M7G^-5{5wN z6`tQZ>(0l`5W^F!qbaw0ghQ=t>{8;)mb?E16m zx*vz-KhkqRDmmb_CpO^l=1^yo*%K^C5Y9b7fl_u}vi;aNZRsGCDC>m%BCO7)zQx_; z$?arx7UnCS=Pa*UnJFG$1sddA(t%&;gN-E@{zMSiNl(OZ<^%DV$LM(lY^2ttNwW{@ z?B;R3y7!?>cUN(1bJO;f6N{xuzMMu^b8~ZYU>&HCYL7x*=*oLRO5k9PCr<}A{S>X| z>gqD;AWNx}@wv&@uL~)SH4t+=dR5DP_jOnqkwb<|55kR1uew(5qhamGoVFS%eNO_l znn&yNerr9d(>Y^gGE@}dk(-Pw0z@&IjCAka4SZqH^hJ8fg?UDgYN&2ilc zQ%d{y#pgr1Hw2i%EC`d|n+;YscN|rjw^|6S(p4nq370yif?7DYi zj^rkV=pO>95VO))SRc1LiR&NkA`9XBItbAv-Lk(o5AzlH{?r369Gz1w`G%xEn)`QM zr?`HXI<#z0{KST6NP4f~EZBjU=~rt?Qeuegp0dkKo$Ir$?4ojXisxtCHGSoh9){N9 zv74%qbO|=P5_dW2UK6OP6!J3SZ)h=*JRVY@FfEc{^kw(UY-q@>gOImrZm(7fQWWDh z(oWJj;N>q#v>^UnFy1B%fO0oN8n}q{avIS4>eCQ_4)tBSEo!XyIZ@@EY}(7c1I{8n zx>xTrKhb(R<3Vyb>u9icQKYIWh*5zlJkxhPAL>%CN3C(I_}-k*6y|SQm0AEWIiU+x z*fGTqBvfM>rWGEyYr2YzRe2~lODE93D%YSC%L5bE@6j7Z1Olmr2-d?;Q<7fGwfkY; zp2)UVG>iv^mdbg(v5pmYy7TlEZcKtA`1jq)PEj9MrkB0)dThrcF=!W3Jk$vc>@P3# zVR6b&i)vH1WDAZ@A*Ps+)M2B>n-p0VIuFI_q@^p!1KH~mG35*vn?dhOhz>$7A=tPLw3Q0RN~xE zsdi_y=%XdBrpr_x9EM85R>L!&DjN=P|KSj{vZR47RqTev~Bd+n-l+iemy-s~cc0b}LH8FNm8<=%x8O~8^+4aj0yAfXez z+YSPF@uu0IrhG2XpKI$WdX3JC23hKM^+>6RieNI9DS&-Dz;;bjxz~a3YXcLl!}tc* zHMN-Je!e7YTD%Oi9#xduIep=%8zePSHgsjPkPqO~ZJN}&6v}0SZ<-yycjBho%wTZfOFoz@2L|5+w{1=oZZ9z;qbTEpi|VuN4_1q^z! zZs<_NmxbHohi9;#8H_oe1a;TD{0{g6$Iy1M@Y{P7I-P0y|{pYQWiGVDX%s1n~s1jEuXipD$or z%U4$o{6B6~*#rr!kG8E?4xqRYBN)!WLcUNgyEfb@Bq7k6!FKUR6we)H9TEQOG!^bg z-#EQB<4M|pEqIK!_EhtUeb9tQcMS{JS|-Sg6OzykFSw|j3+sj%W3EOarvx~)wKXriqu`H4 zkD^mC^+G8a_px{`Eosn6BsBPNdd^tW{5ZDn<`~qvZ<;J7f98&8in(sMD*O%j%|dt9E?9gz@M?#? zVd=dz*|NXT_i0xqSIPGUf(xDXcA_ky3XeD~&*QkBCm_kDjVO^HQ=#mw;Y$F2T_1zNUzT`C=JHlR)`1gJ`q&N2Ee)r6R_Dw zHbKuU{S-?#LQj{4KNfZ=R$S_S;XkIFY5M6d=U$_Ozo)ZqQp zwI+Q)m|V9H3VaLkt^F6HV-*5C^Le6^VlQ$mqTc_Svnpyy|g?`Nj`-L zW**S?u>f+IJrn$uPigQQ4Y-=>k{?X8It|ef0-Juiq5L+#P&k^<^7w`6wI03T^~k{$ zIY3FSEBI_2c(~)%u&&nEIwClv_`EGT{Ft^OdVtmjs}v~-wt$x_p^(k9K&9Xug}V}r zUE56vBi|=Ty9P@V)F6EzUxAx3Y8c=xvDfNrzFuzE3En~(x8YHbo!UnUUzOBnT3XDT zTb_R}%|1$(KXOiYCt02&OBeb^Iwj80?u!o)*^bC`!z)0JlCfu(mzW!)cc-*nb@X;c zc=7LQV@tv%P?@kfxoqWlI3?X73hPi*IO1Tr`eClW_Y3%y9k*_$1(*eZg{2=QBX(qQO(QekX=GZ5VYLHPEJy#>1esfpl(zKO_n%LKURjk@sl)FD! zYMW#1Zz06(c|l0>p9q zy-Q4A8hqHS073Hao%1%2W&Ql9ELnTmR&KSp-M{jo@rz*sg{U_cDhb{KNG$iG=V|-1 zTJ@rd)My<~#iGkf`4$tlOwwHev0;*F*S*^F)8d}vVY%6`5WVo zu@BVuvV4?gwo6#QCH^QjVZ9^xS$sRtyh1l%!|Pb6+J-9;K?7QsGf=0`ay@D@@uInZ z%#8YDRbK2kT$!>%{BmhBGc1y>jScwTo4{L#&mw|F6j4#+<$^2VYXUr^uwM{NU?q6x zJw$uH-n={HuzagQ_W9HI%0u6n#G0wUF;biBzy$Ew$wBD&rHkz|8ah?mxrB!DD-ku? zrmYcGRZ(hOpzk4?2-I4yJg{hni@g2wy}B)Dy0_(>{+8fJ8jwiss@_bL0_!dR8$IeO z_)jYfPKiN}Lbbbjn|kFqw_2H_-!6HoP1gnp_S@e+YMCb7Sd8_HMNjNMg?`xYLC+$4JWGh0 zI9ME9)TNxYKhGu2$d@+ErT>p@a#paD37DgmdFY$^+)|T13_jQX8(Me@nO!EIr93f$ z!>=7Fce2+35hWv(3}avpsr{K7zs|*wSSp+H?M8OTuiNeb&Si|cFj20UPwu2J>C|<5z4=bxjWw>UAXNmV-Ix+3c6LcLJ^&h$Zk@7V?gdWU?L#jP? zicMxG@9z3fSBxoNXx_W?Ch^E6L~Ao)_VkGW#zYJK zqke6#Ae9HqXRE931w=*NAO+@}Nyfe1V-W@~#+gN!uHFe}u(-EJNvD5uQo?&zv zooMB==V}6g3Ji`n3M;hUW_$UY4p(Snzve6XeHF)T`oIl=GAt@Z z>r$Qr9u?oP%;|YA@?_73Y&(eGC=`^J4^ynF9?X=V#7+HGQ=Vpn0jHt^yz- zApyK4{sFG$07?MTYuEnuASFJC$8~bD>%@cd200ly73IyFRFqUyw{Fwkxpj-?78TVU z25K5wI(mBgn|BzP80eUY&*}d4BP9R&=Jo3oWMmX{x2SH>{fCdMUjSMPGO26(q$KwM z*Jw#dX-TfS06+kMgp4@be@*!RI!LaOUMIUjPC-d^llXyJ8o)K;xvyO(B_mFo_~`)R z`+)1TWOR2QKf6J%^N#$UD}z{2VlD;G^U8Kc-BC2JxTRY#B^47h3oG0G2Ymbw1tcV; zq@T#hD!ouvQB_lasrN?T0BmSvZ1vvS#@5c>!QI2t%iG7-FXU5bSa?KaR8sQil+?5@ z=^1(X1%=;>ic5Y}RoB$k)i*RYb#!)h_w@Gl4~&gZ{GFVdo|#3WR#w;6H#WDnF^5OT zC#PrF^NWAkMFJrGceDP7W&aPmXo+@RyMF!Omjgg@&6_w#X|I#reSCxNnGX3oSNeNm zK@<$n6LTxuDS5s>XJj1J>_J@pR*zcfbMG(XCV5{RVY&kOvoA0;2kZCbLptD}t86OcTyH^qTZ$sYvX%WLIR z!hcm1z$Qs1t73go=a%nMQZ*kK>V#Lz2Es_qBtVs*o~>LlzE1pW{@pXF&84&c1h#P9 zn_kABvimSpf`2^^X27Vkuw`+FoI5yOON*AtI$_TmzZ^j?@>bv2lhDaDZ{do<4+@17 z!gXr4F+H0BRN2pd=tj>(c9-|@=S95_Wzcy__8%I0%V8Sn`C$ue>eg=~O8`!gJp08f;EaZHxVClaA`;|lPF+HdR%5FBR~e+?&sRYk98e~u6m z8q{W!A~7+v48EuO(Odl-918t43`Nv}LsXK+1R7eH{ncmx1SILUw^lL316nbrkMvI6 ziVHu?%oRR9UIhtPbr0j{0W($ZNJWSa>CcX zG~N8X8tcy71)mM+a>L4XRQFUHZJ`s=q$%ceE%hTrWDG}*ra5r6wHWtbvKHOi*oV4U zXSMu{o`ctw$$AmD$_B|kxetZoS0hR;vQPGM>cG1rn42qCfY_R?a)=Vr;trO+bJ8Jo zuw+S{^=K*Gn!hsTw-oo_9r~E}e}C6^z#U7IsVwMgcR!kw;Cf3AFskaB;pJyKs3Qb}5%k{FE ze}21IhJ54-u$(O$Fx4eGTl5;ERr8|Wi!FdqDnIw}c6Vn)oC4+1Dk!L+kiSQ3e?jEV z!vTTWbKq0Lqy@VoiQN@|J}ewl-7b3th=wTV8ugUi|4|Y~v3Bis=6vsO7W%{N{0wY( z ki??D`stm^IolJAd@Wc9UYP7pJ zUxU7cn`Y1Q5stk!`kpJ)b=4k&aC9RbUQKCT2TVI>I%R2WT!|b^b77FWhJ^ihn_eB@ z&7O>?e2uT=pVsyS+|IM4BP4)9OFt{p-dUkOP*Fz`CtD#r>1)~a#;!b)Lu%?)!UOmE zHD7A;FTHRG89p};JBrpoF-N!PFX!CeyrBM+muWK7OOyQ&Qfeg;h5Y>R*W+Vgw?zh! z8TZbAwMaax+fuPO2w%=ng)Z`VQUG%`22mkjhW*riQ zv#@KX1^!-Si>hh;8(?DBiJC)Pxa&EOz4uyLPxA?^(i&c4tpYgs1%n0@RTc#d_-I11BZMmj{X4?v&fZ31+~cJ+0le{er1;k&AhX}>}<8)m2qS- z%Xiv{w%9$e`EcT^RgLnsTKJG_q$WR4+xcofBHLww*ehwKYV>e?OL?G<+H5-sgHUDJNR+ow$cfs zx&k;H0A_ZW{cPeI&s@CU2!t@g?4YRbKu`*&)EOLS9mlky9>cB4HYwE1W zSmS{UmVT6s#jrXBS6K-Vj~0~0`gF*n$9g_H4(P6>*2~MCw%}t=sAo`z3ND@E^9}@x zi3f*y4WCIRKNa1#{0g{6LGBnx(te#Zc1Z%ff6$G%HiLVGwMDty+)gY=*pYSmBZABk zF>8!_wqL;*-V}wqSN^d!OKqn~tV{QG>Q^Gu3KnnthiLYAWG1Hrf&L2p!vz>)mGApL zRbH6&_$)89WriG&VF#yAZUjSIDj+f#g|vtk`eKbjM`QQLwau~m843eB4R4>383kSU zc<^1lp(ZN2S~JHUy0}CI<%L+{zd0?VK_T+WKejT=qg`ytRt;X&!FlBRR$ooW6O09N zzQ(p3S5z;LbaIlO-ay|e#n7)mEKPht7CSd8Rf{Gb+g z_gm!?o#enLj3aE49B+7cV;2nFWrRnJutMF|^dZK!XC#sy_Y|vKYL_vYf|B#OFLh+GdpKkHX6fc~e$PPE z%)E?MJIB;Us7D(oHhqPf!l<_B6Ap|rqx;)0d?Tw=+v!tw!H-9b1zU>N{LNxKI&O#k zW?Ul}t5aT#YCABrK3fzU3dg!Ft_wz3){gG++1oTMA`SQ7<_p}}<%g2UTQ-egA8d48 z0jO~xY-8E9PX}TAr8b?t<>K%C?PnZNKQ)#Yrs%@Ybz;5(#(lW={oCx^sr3gv( zJ*~a4TW4w1;)#SKI)_+k&4D{&{*jt0FLhKGv&D$)@3r+B+i8Fyk7^U#WE1^#;PSos zsDkHBx|O%+&+0yUZVu=EleyUz*y&^JWdZazjHUJsM!8c1Y33&Nv#G6Ki7!d_Ri|sM z@B7PNf#TtPzaK#z^O6eYO>Oq?gBC!0VL$Ql?br|UW*Axa0M(u`et#3a$h)KMoXpjl z+j!=@GppE3QbHdZ%dA|5jmJ=pct@V7L58`0dt3n$tefL_xsTH)HvYa@2{xpz6&&tD zx$LW2A*i1(9tXF{;;RuKHrwc;<8MF&vlkC~vf)9CHdg(- zXOad{f@AXNJh+`K=M^CGq!pz4=R-luM6Je`J*T~uo-OOd;Jg@2KexO2Nde&(h{IC@ z=>qz6Z#+SY4Rrvnp@k#*c^(6wI#@sH^|s8X)OZg$uqXqewY7u1uK<)#2D2UL!@8sq z6HHm9X>Cn(?cN@b0gYf>ZrnLXT>AtKg=F}pG?C-wYDB|iQ{WM80*l7jQj3~*_se4* z=lnEo*z9_;AWqsR#6Z2DL;|z|=R|guh#2mAzuSD$X3qid)@FHzz5*cOQSz$(_qw@a ziJ%Q$>e^wQ&Y!RQ%e4w#J_$Iv0+6xei-bMxMmlmx7a~{c8;l?to;TNS<_>uU#x)y~ z!&LOma|5wV_3h)Xp-XxkZzc+@{}99e9hv7*{NJwqFNdH>M;qN_i);DZCV_hFpf*-_ z6UA=w#2DtWr?te)6Wy2(vd;br8sH|M=#m~ zr5WFs1cuEH7sY(5*WI(6T>p(OX1&W$%^N=FmOM@04Jkze!wKA&saU6$`^Z+Y^%aY@ zCaHd#0iDDi?}L$fDpDrC{ZN@LwyHHNs z)AjD!LY($b&Oiv& zblRzC{E9Zt07lP~4Z30S%Bs3r<#AiNK&JGN3{A;EQjyTFK$Y9jOsq@C1t`SBM*>c{ z<;AA>)#))~d2CZB+vVQt8v)vSrYV&#sZ$(&XBgT_dgp;SPDH1tQ2U`sPQ5MyFB*O- z69~A zMB1>2@cwxhgN*<|&NAWAbFvkzAJ(sLZ%vSj{YtX74i0hjleTu*# zBdv(9L(R!rwY;Ge);3)g&)Tk!4=_}M8lk+OQMG9Ak1T4h|F zKn#UOpZu?P-v4fA{=dB6R)PZ(Ksc$<$5_e#9P*xKS|E z11-Kdk$<1)yXxuOX~9`ufo`GoY`;u$^0t4ixu?bDE}JbOr*$@9cL z4r+}5Iace)>8%}n1$fa?J0ax!T3%YJTBvfMDAz#u8So_mwxgtpVJgj6!E9b+=UQ=u z3)M|&(^zdkPs~_{ho8osRgS74stqbHb5=&8x$a=!Sx&)d6H=0)u*GT<07 zX+5p(m!inuk?tjfT#BQvU0$a@U{OXUYv$pkGEbh|NVXTOELe;{Zo)^k!-#RJ2B#Fe zPlckePt*STa^U;QKURkMN?RK*%S>Uvm|BxM36v{n#ozZe;%{#=54FB;n5+nLsp!=? zr&>=H%Q%u{V#){I-GomZaKPHd2rQ11Zm7D}V$13&@4A z&0&I$DDrCx_WV>9E?>4Cuaz^cZQUjfsYy(6O>Cs*;VI_MdWgEKr`*WM|C_CPh`5N; zUHo}%mBhX5iz68)2t(8+!z?Ncyf8V?U+`>9*Xx>}-a-v|MV^0dVdAcMAZxWq@_i;JCNXN6rdJyx>HS5Gd=>hz^6t(s5I- zG1(=7)=4Pjp73A9bkqZK;6XL`&(cjOvycDsLGUi*@v`In>hZEPq23!B>C}s<1_VA! z8PL;50MhcZQp-ZV{mtmYuo!S_sp30q^cCPb2CKSqo5=?vS}=m-G#LchB7Qcd>KpV9 zwmsxbNmF<_+k4r85NYFs$V@`%um@mtPV_s&T464j$n(sC;-~KusXeU)I@_q9$b%0! zp>DADH#qv+IgvP8)SzL<;18pD`zfc=m@lm6_X5=E)f6KHU)}e0ck5qVwX|1FOXpd> z;4c@wjrG>Sct=R=(RbEWnQ!}*511I6^vEQsa`T_Ao@~la6@1Ks|FQ^Dyodh=@gxt2 zJP77bp!5`0(SIOFAs)Q9t*xvx2z-_VvQQ+)k)Y#$0dGA>UiK$rru%_Myvb5BU3x-z z2ppH`xW&IK!#jrmN+8(+R!?>MYm&Y+Ecz?LXggU8KuE6rmf=33g$qPayH8XqejlnV zv8T}2Nwxe4fb-Bscygxp7Cw&(Zl3SMgvUs@0I<$i01Hf5u1nz-+j|w0uO)L-AwL0b zL+Swj>q$=m$rfJ`oS@|bf(S+{*S!eG919W7<6+QzZl~+GlR`LUVTb@ey-9uG#i6>_ zc&Vd}8SmA#%VuqM!9K&u$=#3YnKpawdRRN|7niHRoPCqlLL6)Wq)J-P-cWE-avq&N ziIqP6iR~=d?k}FhsbJnz=kFixd){dHbjf1zIB~BIp$d9$#;l4>bGZWC9J_oLuZHoC z_P`V^mFbWLt8=GJniStq=kn?cvCWM*>E}D_M8X44fKglue_9?QPlivM{ZdEk<|965 zw#0y%B3MVNGVsG_mruu6fNMV5YUqP-)S<@$-ETpS_Xm{>{JdvYG0F2u4z6*J)rDsa z^&iT$#%AD%Nnr3-h^Y%bL~~sJ=J(-dZFEXQ_KALjQ4jm&7Wsz?sdlmpvI9k&?+@7rb9*9KOp8wdbfC-4zeo zC9@3<2%~sj#1~@R) z4>%(NzY=R#&lVot5)g0)+83F>wXgEjtE)P3^LfOL0M62;nse?|*RgPkF-0625q5lV z1M98%r$x>wHNz&+k+Zx&aFj=xDt~_2%|A0^8DtNS?nf&vBO)N$?B6DmfK^-k)8_~G z&#jhEZVr8dd9t-?A*6_Laq$vET*(UXHugq`)0|yuukHk+_6?L%*~`wqR%C6-;FRZF zV|lNROSO}jRXfw9=@v~jT)ladwdK-M)mf_L=##p#)p<80IT^q;zI+ozolP5X1?Vul zR55l)qdllWr{*Yr>kc2Vez)4A*!a2O3Q*yBF=FvAmkebkIvwC&d6NK24Frn=OVAg$ z55i~ntab#oPe>eh{SF`Ntrz3s^=@++LyLxqeDGQ{LiHD{+PU7vQ8dLLRe~DhZr^yH z?%QzusQ2C#;8!U0Ie2~v{pNrRkLcy1K?k&?$E%L7PB`mp-!NLTB;7Bi1gQ%mGxj@_ zQnM~IebU|E922wMCasVE1(iP1J!R*SNMJsk7tJxzzosu+}fM4PJ8h z76W1;9FO-~REffXjUp4_H-YhI2JM~PQj;ryqXSTcWzaR8B>YG3S`qGAYg3DpvX)RZM> zuwPAPb;*TBB0OP?YhRn2xy(kqEY*t@S#Z*$inLgu1{xl+7uxoUfTnhG zkamrj>4rPk`^a^qQQ!U++orLTc-a5Zwe!}R9(;fj2$>p^oC)t#R?X1RORc+GZ?_xZ ziWVhMabn0j+QfcFqk77TDY2+C4RzkL3a1xaM@8BmE-K<{HK(@Aw<_i7&`ys#j>GKX)O?`` zJ5YqN2SDgCHhA0cv&WlMrF+E}j?8-M%;R_ z_F;0k%e!7cR=%1#opPs64Dg%M$)=4M)dxG_Jcu5`5ytG}(tGhc7v2_Ecjm?H_i0al zJBitdw!qRTOrN6v$)G=YJvQKyND}#f3O*>I9#n0=(CM_YWw#2m5tjo51Q+3t1)Ex* zs6tCioqW&P?geUjme{1h)Nx&G18+AllEqI#(^r&Felkw_p+(HwPwun=IYoH(xL*%Q!VN?UlarkeQ%3Xc0E?)~Y3hRX72xgg*Lp5#I&pu*+sb?^>VS zb~}}`^Xb#B{@cH50oO zUJ;d(|J;t5ijieRuyz+L+ME{M{~Y5e+ugzp@cPssmecWQ16?83RzTb>EpLFp`$*407P?NM_yDyo%+K{&?&0sozT z&zp16rQby*hsuRww};%c*Bz+NP>s5((R|*-Na|q(F*OGK_aS(E`LA4&nLv{RFoitB zkkt)ab@$P0(TNV<$S&fJ*Ou%#@KhV|!@^FDu*|NQOVoP$x2l&-_j5088b79Unf;(` zRPwSV3j4y@QI4k>Jp)UcRrS^5$hgJH$@@_hR%WGa{2f1n{r~{oA6Eos+<2;QY8|8} zPZ65}>dAX-urg@AH^~}bx+{EA5LGo}>MZ=%H*{!HUW}?7<7|+d!615Qa3qcr* zbV2$X)ld6~wOhU?=h@Q>5+af|#8+_l_0$8l9EBHg8Aod&ipr(NrazzkFzSai@8vhB zq`PMIu{Z-$9m*MZvvu&K?GoNJy~53c-S@&`)AAp(UiY^wfTx1q0cS0=u$AZyZFFj@ zKZm&(y7kALyttb5(wxd;j;(CNP!fxh>(26z;3et74{bK{`J&K8COss zk416xIY`dlctsf{lw)!HsdVr?XWLQ7(*WKgR~7xUTmeY;b*j9Y}4HNbP_Nga_d36(0j*fLyhaPJCjU+3bAk z<5G3{sSgyLLMoRYx>0*lZkdyr$Fb$y2T6SdmyCdT883*j2T?%qTO`M4dhG1<#dv26 zw|v!4c(nS$l>-zMci;62U?zCDgVgT4T#7=_wkRNlxgRx5xvbQSl;%8;UstC%esO1G zMiaVa=O` z>DoP(eUiaM{DcEeJD8p5r5trSozrCFN`9DKfARW#D$1T6aA$X@7xN&W?6&EQPvag# zfDF+_G*$q5A7ZCXyFE#;315DjR%Qe>5@pG$E?QPnzXCY*mP0GS5zt}St(u$}I5PfI z3$Rq%1sDm@bmvX;*V6oZ9<-jw5Le3aI)(f@4OhAOk|{PS^9Z5jK(iX!lMfL*z%u^x0hW91?Q6|Dgy<%r2eW~z-0Z)TF?Dfo0H)evTF0jzEFW;d~Z zLfC5lB)zE#m6)<2^Dobw?mZx`3ng*RNGy*da*x>nJGfF9H#vLL7ddL(z+(9JDRkUB z`OjO4w-=NF%8;2$e!@^w(H`?kF)~p^2zS3wt;*3jsp3)V3)mXOamHg$-&+0eV{%)~?c$iyQxxW=PyA^s_pE51J-jtv8Y65rOGZIlM`vURkDKK4@GH~`P8WbrOp4X=LQ zmWcm|Plhs0LkB@OJWAp0D#9(`m0{fh_J%$#hhbOlxY-HVl%$szXXNKYvH(?!Y#0;B zZW<~Gode!(5&t$HDKEHuz+f_05HX`Mc$&bQbit?>F>(2roZ2EcWqmTHqEL8d>7|A~ zXW|iJ?+O6BAHQ3)LQ5gjl+?rY4kzGpm+y!a7zO-r~`|E9t*D)o0k3?`T5RAdj)yD0qzG{SYNAtiW{JJL%^U=bMNR2{|W8{bxF= zB|CrKFN19;3pMB0x*u%wxSaZ9`F=nk*sSkZ3spL0hrNyMv>%O3UQw#)clVkTe#lkx zpGaPuxuZ1ckZ|4Uoz>o#utYeGlapD&3)M;Y*+Js8iZadZ`Z>KI_pnZL^0(Yw*!-_MCL z`z)*wqF~`hoT7k>l+P8Q*PxN;J@e-V=^ywBoYUDa-U>|@1y+fj;UzQDV&VE0 z_3~_l;lGCr|N1XrVqyfZ$gQi2Qr{QhHAF^93XREe2o!T9sgp7?2H=TX^8la1hCbuzQ{p|4evHb0ExwnW3+Lcw^cEcxRZcn^f85({#?w*o(4Bz#>lvlk}#0iE?y@?V> zW%++tY!qAm41uIB$Ca}1`Z3w^1Pd+-@^x_ujJ1g3v+%9{xAPsQF!rYc8|w`XpOHK| z6WNrffb8Jf((cSczM+fkGTo>uR^G^4F1$m<5ryermI1QK79lxwI1|2j=slmWUCH9& zj!m`0%L{)E)LB!Yfi#ad1y9dVg~u7Toz%>WCc)MRuHUb$ zW{AD6=0P0+kN@RVu!I(gI9LUSQ+u#HGq zFo8YV92;58Dg7$rR8aEs^RZ;!^d81%me)!j|B`gb`G%e-s1RI1oM)dqwP134<@x{SY}nW{bAFZFAi_X4M`INhb_Jloo&6TaryyY>D93Qt-W=Ja8{(6y^W;zcz^mo9$yS@aca*~2P;1MOSlUWL?1-S>)E@! z3qY}3)JK~@J_l18)=*Kd#ecRMbWKhUyhElv%icQ%5yjqL5v4b-8!Q)pnYhYMCHeB6734J^5D9?X6CRgCTQfq1wUN?u zBUqm-4i%R*K0V+1a&sv3GKboo*$EpN84wkF`T_;Sd%Vzk>wyu>re~@BgIs6=#-0#D30$X^-ZO^(rrTuk^ zj%>vY@O#>cdMfxL5Ym&dlugz=ZflKB4fXW>z8Nud%|dF@ZgDvTr~BB{PvG13ZD`EY zat<-&V>b06?==Tvgd>C%LrUhB?rpFS=?d;$a9CmB3-LFx$=aCsaE}G=&M8Xo?+&Mx z3&ul5;jt5sE;zVUtr87UTZe~pR;H3#j!W)xC~)8|ln+a8j{aVAz?j`?b-ygBhr}+L zI%R^3(x8*t)q=_gL~J5~wB21;Szc%|2GA;-mN$btLxnnf)^c$V_Gef;nU0KzVnyn7w!QAeh*`grW`<&o2 zW&mXtl(VfHP$R&t*)8?FCX3A;= zmV5WC<3)B<6r<|T6Zk89DZ)V*e42m>ZgC{8iNgIEkATNtvwg$|<8GCK$bkGK_gh3- zg%r4yhlOX_&EeuzGr&>{v?h>7wG{f>-uQH^sbN_ExAr#s%cj2{n5|onCtX`G zBJQCHu>)f2%Tt3E^*MV+%pI_sn#=K|i?D86xXE5)2Ge;>sVa+z+GSNkpU%WJi}*B2 zn7uYJQVf$PEn2Wc+}JOd*F*U-=3hco1LCs%T$aXcI8)r(%6rDo6H(MO4(0-ra8DTR z;=F@wcD}f#r{~ADMX+;0vM~}p+-ARPZQi7jDwph|+U3nfjj@S_8v>?`iIMp+cQ%|M zYUiRDzCe_DvH4n<`Y?%dSZ8(l@AR@+RZmBL0V2zcnLtqjke$`WHk`$~x^@9_c} zl~!}rw?Fc?)fg`amqAqR%NEx+xi~7@>;KdY`m^)_eU#b0LyMeYY*Wm3daqS{90#0V zEx>!J=kj|=do60FqTh#Ui%q>qRd}$JxpyfWngCl`d5Cj7>Fiy6RD*>rXZd$ERbCr^ zKEB@a*_OS_&XE6%7ogAwZ{IU{(>Nt*vY@J)Fzj1ws_yD~cl%I|$qJjKsd9tsDABOd zF7FMo8Z!1@)DTlfBo_y4t^{!Qna6pK9fm2~+m`KAHi=X*Ll@x5JHm#@Gme`9I4#(xX zaCX=9pIOIFh&?*x4l&s2PzXWqoW`IvaB|qKl~|IM!~E(=TYu{w(CC_r6c87-W$teA zjaX{aix2Xq?=QsZpz+n}3_1_8;1N@JL-I5F9G5RW+Aa%mC+8UR1=geSSBDOrsUL_T zi*fqD-J`?kt~v_GDgQ9BZ@Qhbm|twJ##3Kg8(NqOR$$bB#)}s`2A&cNT*02b-_>nV z@w3-hDnwpKet?LTaXlG%?fm8A1|k(9s@b(#ZmU~nUt0arV@>+Kuf?v#C4(8xxTPAC z)3sydgkyB!P8$2IEO0SM`irxRb$h9M)IagU3Z+eFN4(4Ep?4`ZY<*nyPmPJ$(aT@F zAA9mi^i`L0pY}ficrYeo1W{?92vk^QVl3)lFsqX8)MiRca`gKbq*94x?JMawjyqa_ z2M9ixgZAuT7qG?)u^KO@jt=-#ShK(ZT2#0`p4d5{>Kt*0+{;Bl#V68iGj0<3T*~CD z1rx&QwjQc}j|}O;tY-TYT_57v?4JeH+}34TSMhyMS{6s2{p+2IYx2d8i!0+oi{5Ns zQl}Vs+zT17t{8yAgJ}2X24#J*-$)nIa7JlC&X7E1|_9oghN63k#8TSst<0&3H4->tx$6k(U7W)s} z#LvExe&2=4nJtXKJSB&Zt4vMj)y6a>VvGvBWNa*bd6Tpp3$b4@(p@0t7V7{MjMGB$ zv;^{t<91~y%Vnhsq9aO{x3PvNGzxjac*Kh|L<9uRxwvF1=&i)IWcW89YtJ zSOmhHv^lUv$jOpZd!d7{n8KvPNg?h@4@H}V&haOcoUR;Js!Sv8~5;&{J}5m zmnH$iKFH~ARqE2TDuZ<>6xvq~*4C;_T<_b;Y2~n!PL2x-_D3O}A#4#dBOOnpe4MZt9g(D%BawcJjahv*`RJW0KOHG{um+hax3n2%%orSq=fCU9oy zbR2`&JuAv$>ygu@!M^W%uPK60hYk(7^-wZ@?w8>XGhJP#(}dua-{i6iK335Z*}QDF zAUevjohbvMY-XZTvNiPyQf=WdX3nkH%=CnqskN1^E=7YlAdZ-3Lo-#BRK05ni~6PH z50sq$YrhDTsQ@NWOPb?WvZ+`nZ_f6L*TqALEn%BbZw-cGYOm)4cU3YK8QyIFHmMTe z&#M*x_i8^}9iQ38)QkDL0uF8A@j2j3E%Y)!Pe8Vf&`DGU`qM=WP+KZLRMhv9sMw7I z69pVpJiTG-Mi@>SzCGz$32vwyx5<{|DHe))Dj6;zW-^2^<>?l3(jT1&)0GXTRnGG<)r-V;|I^N?c?u+ox2_R8_$AB%Nf+x~x@D$Ssl)VGeLjj&NK?15|Bsqo+nk*)y|f)Z(XhCo?wo zQpHYhmvqR^`Hhd_@N)x)U9R7{S)8ow*DAWf{AbTU|Bv*G|; zMdJ@zVqW13HF(Bz@4WQ39e|!Qq$hX0MBke5K7}4+oE56g$A6?2+&3;f{ zta6e7L6$}A@tZ|K1b(b4NeKFNR7?OKx*2g5_3-CU<#YIyPwe3J_{8h7A$xU5>x$c(J&0 zwp(+4U-`_I)FIyODZIFip4bG1b4A(bHepMOXMB{jp4irgrXFI#zcZZMC(c*X;%6_5 zdaeMq(j6}0FLzKDoOXf|R$on633HE*Z#+@@iF*pqP$bsy+I2lN72eljm66e{#w*u_ z2{lW$LE6QUl-@uCCDl5(-g0cnj81sBV2&n)8=pnsB8>RGid`NSSe#sY2XdN(&#QY1 z1f_~T{H4Eg|BV196C6$W148SFl0&35Vg->dGcEQg8Y+CA4E1S1sb5X>P!ayiZ|bvl zRNFOd`=u5{8H?oMi)(pC)WoYYG!rfr^1NANq34{@sXUV{RX{4@9bU7hy6GRwIRG&8#(dDwMA$1IY!EY9Gdlqz*{+n>F- z!pSCe5k*d?ETN^d^45*j(2YWV_01bS+T$}Ke`5KIx(`UFdu>b1?G**a^EpuQm`ZS&SQ+wI#BN9FgMdd|4-KWeo$ zs&FsxKNUqFnn8OlN-M(8XS>^P+ZKja#s+PduaVhu4Qcw!SazlICQpnRgheCwz+GvU zQ=LsVxj#&^6K0HC_F>Bcl%+Z`1{pN-g>Byh821#J@i7DmpXPVtEhJ^L`7o}MwdoIB z1zLTuSCdzOu90b2FOVF{x~(XV4KKkubWHZ=#mP~K6lAaazW*3>gNDzocSHVR$?4D5 z3XrXwdGGI&3t}vB9go(=-9=Q31WAmZdn@uZH2k$;*;!ZY8(Cq{0rntxJYq#QO#hbE zEJ%q9FXp-uTYq4SiPHk{Ls9rGG%Rcn$BtBBemV|YnR+q4Tp1DrNmMCDa@_I^yTf2jECC_J>cnOI^2N|3|?D;&6G>%IOkWt=imFJ52T z*Zqa?erh*uFBf%yRRY;qa4zKUW?X8sc__(OHhP8z5;;|E11*VdSGl3M^DZW}gv^h; z6ESlIAX2ei*Ag}EZrS!^*_<+}bIpWKHeU95<;I+60R1HiVSvr0dvFlJ0a2}C&So2} zXd8J~qz3XXHsin5^9-lMZGG-1&cVePguji^?sfq{gljci7_m8>=A3bpj}ncB{TW1l zkW(duGz7{C{GIGN984A1%`k-89jVU%Gr1UuBx?f!Pf39yoFU@KzL6!3uj>t;1DF`C zL1)D7AoLQBE=Mg?r{&!W{^ef$QoQCk-8}W%{ezr}(PKEVOBl!Czq}MSGp^n`C9iRh zSYV`UeVjt{Z+`A9y$vHP<}xT3tiaS#d^>`=fp9Zc+koJz3M7me9$6MvRThbG$u(zp zBbTrb4%)MrT~1TdB0N#8uo_7l%_R$NRr8R1LNDTmgaX0}>y12@I=+1G;(dNzHUkfq zS0ct#kFc#}&TOxsOn)ZulF`iU=5qY>CPimLx)QAVK>~U(Ef~%+$<9F3#PlbE-}~fBkj- z_xWBy0PtJWB0prv{5WObgeNoqfXr}jWILK_!aXpkh{J?N_geN8qK3@VC6S&q)gZh6&{ViAR6E}*6T=8~U9qCL-%F>gSuf%}!D|->vK74uu3Y!`Q#{xm~)TS<%wrq8YgvidJCGwZI4U z;3@F73wMoXg8kdaUD#_sX=A$O(Q;;5@3~U`(ufKwcFfBOd&)h~%tx3XQX%JhIpHUQ3xRw(C*x@u52wG7cO(1T$Y=Y6#d@(qb{s zT;zbEvn^KX6C<~;_YgB~E8HBAxC9ednTPa2Bqk0a1oGo_?Z%*X_s8sjqV;b7^hDUv z2a8QoHj(CKiF&V+b=v}?o6vR-Va=^Ea0C6-btBc<#%MshtFz>em9$}gobskrWlmzl zI^jK!D>1RY)c{oy#Ed(pl=w5k-~;WpX-!pX4bvL@?7O45IA8PYN-3M*8F2YGh)9Cw zq9eGwNi&fs6Y1#P1G7{N|E2#GY#%i%0Rb+j^4Mc>Yt z$>5w_plDNq2sG@VPg`ml&dbucklqNhhF!#}e)b(z4^0I=Yf{dhhD6~F*vJgjsCr2L zq0tPY$6BCsC%mVtr(pO~45T#O^vAx#$$pb>2CPd7E{^V;()*TRk{10Z{lC*!@XsCu zQ5^38%^}x)lF9`ro6*CJf; z$xQD#k?7PFHJ5{fK2r?NXLZH{2irJfReQ z3KTZ!M*`i>ti==!WUuN)YA!(_Zul4sZC>z@U4b3T(V|#Y?c(+zB8^a9hX-nJ~lHzmT4N|hN)sF73VzJaLN*Lm9 z(w;Ax6J|j*D`?wnZkUSuZb4RM^z}0M#&JTa0Ne@0oZp5ChRJHNKYZQXv~LV%hxPF| zm9sWr0-SD_7hIw|V|U4%lVh1;oD<+|>GbmDZTbCGrB5jOpaxh5C5q*PKdEvw;ACIB z9*T~Uo^ESbYBpa21%8F;-%(jc$LeR4yXCUm@W!AF87R02%-k@}C#>LhE+$6|cDZ0B z+tY6>YaV!e8Z_*Rk;GW9m>aAfY>~&G#AdY-oT>UYUmB44dT^BH_ax#Kv@odLW~X;l zg=RpF4*dEJqMZ8Cr+JG7X2b1tcGl3dQj@Oxg`e(UXvsbNhhz=(yt@ql6LoI#RR-S3 zJ_dHYnP|#0*8*36b!=Y{WiL3{S34Klwa~%~FXN1PSe}#kkg$ZAH`*@oal`c6^~9T9 z=AXS#P8%VAc;fDC+*I~yZ(7u;R3?#eWj&7*hNf4J*s5Jii*gPO3}F`!!7z6l6OKLv z8r;VPrhyB}n|#%3Qf7N6dhYZ5bDVEdJSrkSfB;YV|y^prZC;d>8yY}Z5e!HPHqc$P7_{6PQ+j5 z5#C^@sNBme^eV5SFS^?Xg^;&Z=EwA+WP?hEw{aj~_1H7pt~rJs%?rIvJu3y&w*9o& zi!J630Qi$FItBR6kL?@EJTf5&Nh&blcJ6CzqEB0>%wPjz)F(F=QxY`5DS1aP)BYXv z!isA(_KD_W2IJ-rogs+ckas?5W>+g?P3`lqumc)uZqX9p%wvRgr|+mAqGV65c}CWq zSzkw4%ViBuRFKaBb>KQN0MOuu0;AH`O3OcbY!18miNAgcjZ;I0W4eBax^K%ckZZf_ zD27h4IR23Z{V>b{+qz^};6D`ldT<1fx~V?dcATj7DbURE^fta;9R@Ujk4uT6++u^1 z_0eIHQtOsI-Of4Zz7EDsgSMkM*%!zJUkd}`=%dCmsXJiv3N!EZRAEwo@El7=93e;r zs0-@lwKFT!oSBt&SEc+Y(sE$OAJ31ZP8Q_Y?o7rrQ>6AXCKhnV5MeU)Jz%5_=H{)8 zxf9TRBb9*l7)OZ@d*f(47pFZl+Eq_|O+E%BGcZuE-I`}#HQo`Ic{e>Sk#iC$>nTTm z7}_D6*(Xg)Z%dP{^m#PtAt zlVk*9teVsfU)f;EKyI(Y@qUlOv>YoWYWm>Pod!X`ZarCDp!DXaaAG3HVFGo}*Ds{v z{Y(|raoVQez- zQOF_GLc@*vWtrfxFt@8O>0=Dvm$#KtM8EJ_@20=#xv3jw@ah&(rFwMAjb8{Nji}<2L?FgqjB7L1qPsJnftx_5=YLI zP?fK$y0AV9XQjbBl;O`Gw*S}@j2jJd^8IY*IFM-oM^3qTP zM*00S2j&KFsk&Xbss>cdg@9|{Ad}XK*upIWRuIIN7^KJ%111FMrj??#<=0x|&Y&2P zsXeEyxR=DDHeExHo`*`E(Q*14G