From 3ebc386f8e3c5e377e951e44520cf328d3261917 Mon Sep 17 00:00:00 2001 From: rusEfi Date: Thu, 29 Jan 2015 15:04:08 -0600 Subject: [PATCH] auto-sync --- firmware/controllers/trigger/trigger_central.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/firmware/controllers/trigger/trigger_central.cpp b/firmware/controllers/trigger/trigger_central.cpp index 165325c1f3..eb65508b56 100644 --- a/firmware/controllers/trigger/trigger_central.cpp +++ b/firmware/controllers/trigger/trigger_central.cpp @@ -234,11 +234,11 @@ void triggerInfo(Engine *engine) { engineConfiguration->engineType, getTrigger_type_e(engineConfiguration->trigger.type), engineConfiguration->trigger.type); - scheduleMsg(logger, "trigger#1 event counters %d/%d", triggerCentral.getHwEventCounter(0), + scheduleMsg(logger, "trigger#1 event counters up=%d/down=%d", triggerCentral.getHwEventCounter(0), triggerCentral.getHwEventCounter(1)); if (engineConfiguration->needSecondTriggerInput) { - scheduleMsg(logger, "trigger#2 event counters %d/%d", triggerCentral.getHwEventCounter(2), + scheduleMsg(logger, "trigger#2 event counters up=%d/down=%d", triggerCentral.getHwEventCounter(2), triggerCentral.getHwEventCounter(3)); } scheduleMsg(logger, "expected cycle events %d/%d/%d", ts->expectedEventCount[0],