Increase enb_phy_test flush time

This commit is contained in:
Xavier Arteaga 2021-07-30 14:32:14 +02:00 committed by Xavier Arteaga
parent f02e07c140
commit d4f1839991
1 changed files with 10 additions and 7 deletions

View File

@ -1373,7 +1373,7 @@ public:
} }
break; break;
case change_state_flush: case change_state_flush:
if (tti_counter >= 2 * FDD_HARQ_DELAY_DL_MS + FDD_HARQ_DELAY_UL_MS) { if (tti_counter >= 2 * (FDD_HARQ_DELAY_DL_MS + FDD_HARQ_DELAY_UL_MS)) {
logger.warning("******* Cell rotation: Reconfigure *******"); logger.warning("******* Cell rotation: Reconfigure *******");
std::array<bool, SRSRAN_MAX_CARRIERS> activation = {}; ///< Activation/Deactivation vector std::array<bool, SRSRAN_MAX_CARRIERS> activation = {}; ///< Activation/Deactivation vector
@ -1502,20 +1502,23 @@ int main(int argc, char** argv)
if (not valid_cfg) { if (not valid_cfg) {
// Verify that phy returns with an error if provided an invalid configuration // Verify that phy returns with an error if provided an invalid configuration
TESTASSERT(err_code != SRSRAN_SUCCESS); TESTASSERT(err_code != SRSRAN_SUCCESS);
return 0; return SRSRAN_SUCCESS;
} }
TESTASSERT(err_code == SRSRAN_SUCCESS);
// Run Simulation // Run Simulation
for (uint32_t i = 0; i < test_args.duration; i++) { for (uint32_t i = 0; i < test_args.duration and err_code >= SRSRAN_SUCCESS; i++) {
TESTASSERT(test_bench->run_tti() >= SRSRAN_SUCCESS); err_code = test_bench->run_tti();
} }
test_bench->stop(); test_bench->stop();
srslog::flush(); srslog::flush();
std::cout << "Passed" << std::endl; if (err_code >= SRSRAN_SUCCESS) {
std::cout << "Ok" << std::endl;
} else {
std::cout << "Error" << std::endl;
}
return SRSRAN_SUCCESS; return err_code;
} }