Commit Graph

13 Commits

Author SHA1 Message Date
Codebot 9211ad7d51 Merge branch 'next' into agpl_next 2022-04-29 07:28:41 +00:00
Pedro Alvarez 3714548391 lib,rlc_am_nr: re-enable rlc am nr stress tests 2022-04-27 21:33:33 +01:00
Codebot 81061fd545 Merge branch 'next' into agpl_next 2022-02-20 23:12:21 +01:00
Pedro Alvarez 2156c319d2 lib,rlc_am_nr: temporarily disable RLC AM NR stress test. 2022-02-17 16:37:53 +00:00
Pedro Alvarez 9205ede8c1 lib,rlc_am_nr: enabled RLC AM NR stress tests 2022-02-16 13:23:32 +00:00
Pedro Alvarez 047831e178 lib,rlc_am_nr: fixup compilation of rlc_am_nr_pdu_test when saving pcaps 2022-02-16 13:23:32 +00:00
Codebot 3a9014802d Merge branch 'next' into agpl_next
# Conflicts:
#	srsenb/hdr/stack/rrc/nr/rrc_config_nr.h
#	srsenb/hdr/stack/rrc/rrc_nr.h
#	srsenb/src/stack/mac/nr/sched_nr_signalling.cc
#	srsenb/test/rrc/rrc_nr_test.cc
2021-11-14 23:12:22 +01:00
Pedro Alvarez 2a5d21164f Adding RLC AM NR unit tests 2021-11-04 16:12:07 +00:00
Codebot 1cd26af06b Merge branch 'next' into agpl_next 2021-10-28 13:01:49 +02:00
Andre Puschmann 85e478d8ad rlc_um_nr_test: add TC to reproduce reassembly issue
* add TC to reproduce reassembly issue that causes low TCP throughput
* fix PCAP writing
* fix other testcase to wait for t_reassembly to expire
2021-10-27 19:50:35 +02:00
Codebot 585e3c51c1 Merge branch 'next' into agpl_next
# Conflicts:
#	srsenb/hdr/phy/nr/cc_worker.h
#	srsenb/hdr/phy/nr/sf_worker.h
#	srsenb/src/phy/nr/cc_worker.cc
#	srsenb/src/phy/nr/sf_worker.cc
#	test/phy/nr_phy_test.cc
2021-07-04 23:12:19 +02:00
Pedro Alvarez 865298f8d5 Moved lib PDCP to its own folder 2021-06-30 18:19:20 +01:00
Pedro Alvarez 171d5727be Moved lib RLC into it's own folder 2021-06-30 18:19:20 +01:00