From a6a1aca8a26b46da4841b0ea7acd05e79eafbb46 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 7 Sep 2011 19:58:10 +0200 Subject: [PATCH 1/7] Updating to avrdude 5.11 on Linux. http://code.google.com/p/arduino/issues/detail?id=606 --- build/linux/dist/tools/avrdude | Bin 530422 -> 805629 bytes build/linux/dist/tools/avrdude.conf | 5435 +++++++++++++++++++++++++-- 2 files changed, 5220 insertions(+), 215 deletions(-) diff --git a/build/linux/dist/tools/avrdude b/build/linux/dist/tools/avrdude index 96f489c15441c2c0493317730c0485d2573f1876..3088d25338a71e994ecb1aff587f177e683ede54 100755 GIT binary patch literal 805629 zcmbrn4?t8^+CP2=2AveUWLQ*GxKU|YNm+^7hRUcJ6s45ANoXQrp|U!o)@ouiQ{26d zQEByV)~j3F+HSS(u91}~3d>+?g-V5~MQJ$`ZcFJRX)C|a=bU@*+!>+v{r;%yInVj? zoaa2xbDr~@Kljd3ciuRM!=ade2}+_Opw&*-FeFZB=_|5^DH)1O8KhjQWGcOo!n|RX zD1%2-K&2$4Iq@Vi5Ad*M$j5UgU_Q(vZa(6Vb@8N+aw>dSUrCYqoj-Rfo$tF`e8Q;M zIYtrfGCu*ul5cb>$sf2}d|CnXAzf^P&jd6w0d4ci16p zxcIO>X}_v`);(91mt0XkYmRr(mGkFbd9};~X(E68ErkL%=_F1S59_AlA#a%f_Lj5$ ze)nggjr%t(d~g0oz5iZ$-F>f5M_vVr6A?YY|SyGs%E| ziICDO!!yT7vj=wqD8=J867NDd1JB)fhT|7vk_ip#782`HR9tCj==MCJpJ(G zY@E_rVSW`_$(VtJ>=id@SK6?90JDQaD3?TufWsCNbhfi zgAoof;%0CSA{_Jl%Mkyb`VYs;y+-mK24+09 zje|*}`N#a7arIv}GZ8X>s7)ye{~<2_FLChWaqWE`hyTks`l%P@Uj`z4%)bmq;_z*a z!xxBy`^S}E7}wq>arrrM@SZq)x0%EsWdD!H!TaOj+v4hvh{Hc64!$K0?jOhRf5*{( zUmW~$Tze12<(oQ6GD-hiaph0OmA@$tE{cOQ;^?i4%fCF1{_ME?|HRc_7)Q_Naru|V z!Pmu=e={zBdYn9##pQ2~%l|eG{%c(MJ#qBc#^sNXgP)C~uPQG8p}73#0| z_sp6rOO?#@OrKF!eE-aOb7qzom&}{BVCKByITZ$at1_^AMB2QWz$0SwJ@aPHn>Tl! z0PY2HTU%(yGjDEr@$C8citHKX(`U^to;3&bmrkECQ=|#v7tWjIksvVu<*YgP7SEqG zyP{mC&YM|2bNc+5aaCuO&z&#xMJG#UE|@g~ECCm1lYiGK6>}FV<#X>a4T0EodeuX6~yq+0Kso*Dy%$YH} zf<@=eD4U0}h?RINlnTj$;^J9AmYrQZfBuZ=b4nHTf6mMq0`(l?o|GXU|>mYW{++wsOtWEXt-P{orRvy zgI(BrAv&qDEh(S{VKC z%q^e0&?o>NF1W{A3SP`XKTzC8xDeG`DXQY)MHtT>vTpX=1?VGG3=Pj<6=>jej7}&X zqu^U{F|`&MGyt=wLn}(9rlC({&x}RWi>G^LF2blRo>?;8GaYI7%%3kSAZbpCGCuF7 zF=LCbzH+D)8)n6_tk^YH?0PG94J?pZJL^g=HiUzkfPdwG()>FGBy*Fnt}}CC+z{u= zAes`*HDkT4T*l-&jv?#H|6C%JDP}D*?=+)5S-Q8?7R$-9GAD|Sc(_(^poGKtHyU#& z<6-l;X3D^mGHVu=zrB<~P9XSmD7}@tjeNqXBL6g{82PMohJanlECW7Mz`d3E27I=F zlawU}>^Wx^mdsA&Q3IZTA>l;jNduPcCs^$t#y(6hEOt3ZC1H`pxhM&XHO@In!o&^lCK%dMIi}EQ9 zvEee6Ar{cn7-ErJ!VrtqGKN?As&WUcsDb|rbr7zY!>Weh|QT+ zhS-QX$PgPeVTRZkI?ND@opy%Uq&dnE3-eBfIg0WF!_kocPK0A1e}-cre}=h`KSMX< z&u|>%&u~2C&u{|d&+sP5pW#HvpW)4rKSM04hcL{C{2AT?`7^|3&~SzYkUv8#CUY3x z4*4^j1o<4DW&b8P0(G8I~wYq4wn@-(hDM98&IDRySpYQ_-L7?CRqv4~9cHvsa=r<*w~@UDtME5u8S3LxQx+AE2?!}>?F**NRxnFglQ5Y zwE|8i49!*|>jj)bID_yS0jClkM7UbOX@sFkN~BW2>4c#fN~A)-8H95PPZMw^;R%Eb z1U!f^B%wrd1U!T=IIBd43V0~tX@oNbJd7|q6iE^AaKaUY6#jhjucn#q-0-j8G9pP#LPa(XXaHW8!65dF-Lcr4q z*Akv4;1a@(gbM^*M!1P^j)2Pvw-6pG;0nU6gfj%}Asi;0BH%@Y+X*WIUQD=?aQlDQ z|4PD`572)B`w4UVI?^QID#9s*YX!WVa2nzD0UL3oXTR}vmXxLUx^5ax0=QYqlo zgohKZ5bzqpIfSPP_(j4K2p0%=9pM7PIRbu#@D##B1-zc{G{PAI-awekok)s+HxjNO ztO$4$;YEboe_;P>30D$s6>vS_D#A?yZX{eyxK_YB2tPx3y?~ntuOYlfz|Dl$5v~?+ z3*q&ID+Rod@J7NF0&XQ-OL&@q4-#%9Tp-{u;U>a40zOQ*h44@Tw-at9oFU+&gu{eW z1l&ouovJ)Y0?r_uLwK5iGYL;1Tp-{FB|%7Z%7XR+;&G<)ALSOcqtfsv^wU` zn4c3L6a;B{VKRbLz0idqMK5rMax+~M zu>XP3iLs<0IayaUXJ&XJxP(T78{mx@^!=Ckrge+&IA-%0e0Sb~8Gn@Sb?HT^Yw0)d zcPH;JYSoVU4xI!!aAp;S1JX(s~P zJ*T(*wX|9vKsd0=`;w^TYfB(COXH|Hd914|`+PRI_9 z3ZvL4K{OT7>E&0=EJZvGanB5}^jt*9^W_NiKE7?v;IgihCr=*RIk55KO8j}gez~#| zC(Qn4YIUvelf=Qt)xZq^&>t69tnAk+$X^?k8NL^C2hwCA2WRH*kQ^S{L1wD{|3&@a zN|A?Ak6}B?#GnB~cpr$@k*ICRtU^g`r=I28>I^<2sQGAMyPX3!)%xte<10pnc-KMH-JJmYKK2TXIMHoAtA6`UC{_m zWz|+UR89liGoTUKTbF*9RqNX%c&9WhW4fZQs`Z_87z|bYeflWMo^R03G!Uv_xRinU ze#Y^KWGqBPxESN23t5$f@rNk6PziESYO+Ym&0J*YcYy)t8DR;v5#^>B`4fzEXc%}d z?IE&V{+H0#shLh~SY~)N`s?fLQvKIKD}x1FeaAai&^zh{52GZ;*-Ac7m#%2rqsUR=T)lzb@+zFVX6`Z zMO8}wcUM>B8DtvtcV(_B_)Bls+6)YY;PT8LfJsy%#d+uw8aW45^Z_gY8W!t*Q7F4f z^-sgPzjC;u1_}`gC2`!4l1LbS`POiXZ(D+?+5St=V&ra`I0KJ!Ek`KP|FIlZUPl$5 z7=w<;%ZNiz96J1q{14&W%shd6xdf&Ok;p=N(G|iL;ykA z3G~M)3bM;kDKV{FcGT*w)G4dSp0o7jLd#(EPh-dZC($jf$&wM#452bWR*_rLPH8og zJfhj%C~9zVrBK4iR!X58LfC0CW-Wwk@qKEPioT+0->FSE|K4c2nV2p8TttMCNl24S z0qUn7r4`k-ss0-5<+JaH)xx;oFr|$-8+CmTIvwbY7%OTZiLGOJzw-zr zpW~zvi(&zW52fiAr6VnvsEv+H&Mb*+2MA0P1Y0%{5Efd1ud--Ap(A;jlOxZ|qEkc< z9tU7ai+T-xW~1RL-wN~CcOd(Dzga4{QYb3=B((TQJ1|RbOIosw^vlfmn-H(!tDCHSdgLX~5USEpq-yDz;qZ5y>OE;pyWU7^7HMi=H)<_goGGR% z)xVx>TrGGP*sJ>2V&m4}su-QGz^&r=K7XGfRX4 zJC99U%3T7N16fniPq(2>o-3GuPFrf*`A3`Da$@9afrwu^4AUS4G&yssR=okn!O*?W zKWp8;=lKT{JozTp%SA0HUWy%c#-D7cfpIc08cLe8uV2nzF+~#p{%2}a6@?j4Ksp?O zE;TR&e2}f@WtM;(!LI65@)2VG6$Ym6$(#`AQ2jo$q;KOCWJ4V%U=QDN3tq7kVK*HO zZASlqy{np4A-)FhRlXa3q?y$D10#}en2k-$pYa~69Pv-EyTrUTFg`drJ+%y zIdw%Fr3EW95SPrZ8Gv$%)~*ILoFgo&=duIKCGBugou2ptJ)TIqm{Mt*BA;PNZAxM& zbdx-^%V9z+{ZSFueQk<(rKqG;H=^B$#<`vjQ&tB?vRDGrZDL2jYw2~FT2cuV5jUL> zVNzj2cl5G#>M7`OlqcNz>%L z4+A#pFQ&c{)1~N~@@j));h){uXT;UKI2Vad$Q%k&KVR{H?+0}Za?8He1( zUEUjsz?%)gqj*m9-5{1&-oZhCCT$3(8OeA$(ID**4rZ+OpXE&doqw0-41vqjM-tN) z>qqT-|8~zcNG^aAdIJcsDh))X7?dT~$uXk_j$!W3>OiGD#dBs%nW2M#vcaTX4eUbi z1luBYr~`{nHz)icw1`g83P7Qx66km9R;Md68Sb~~3}hHmNH@Z?2)%EcKvTby3jo(T zc#9V6?IXVVkTp(Je=p(|TD&;cY7LK@$Dc>7NDCHNqF++vm9Kyo{EG}jFEGoO2vlg* zQW8JxDn<_tq8v4l!6cwEX4X^6zryl)vE?s9dC@A7aOU#Wa_R_Q4nsa5ig$`VJx*QqB#@xCQ=V7p~A=Q6JKd2E+ET%biMH(RA(p;$%>WW&Zu72mD(yR_+ zg(*guG--^so#)?<99lU3c`xuaII+?I4pVrtFU3bkg^T(xHY9JOYbT2qT5lBRu!-lVHF+YqG6 zR-`B4n(}H7Jw6R28#Y=EY?8ZT*3esyT0(B8*ymjbz|x5v`R1-cJNnTrPyfK8e<3?e znNS2|p)tvXXWk4&Hq_&kaZ-lr*3cETAg9C`uo$iO=IdYXq4_~<{wp+}wLLQC8{je< z`bFH3?fL=*&*F~It&7N3t$jFVjt5}LO=d@);Cya&cxX>7tpOs7r8l8UL@|ZVo>#z$ zAS)ujLl%}u%OPp_TDP+dr~cr|Ozw4Jzk^$!!A+Umw}5n6DNULP?tO3tl$SXii77$3 zTMBljYKt?IsmNECN|l3;Je+D5g8Sg>JfQ~GF(OxD7}KI{Hoszb3^RM`+TPDG%A?gH z&#{)t(0B{?8VW}yaNL+ItLn*9@UiGHA`>fpbl|o^Y396VwN0$X!m^sj5aGLwRL^x> zYt9CQakU7YED*ZH#bh2BU`^RT)H#QUJe!0H!`8CP4mGd>8evSx*u3Or7j9zqGQuPy zq=jJmQB-G?f&wXRj{mIz5nfl!2$GGs$Bk|a}1Fg%bdn=lvL&Jqj&L-uSEvL_{w zaWdU?R5k=}3Z0Uc?T~T^T`V+i02E@-#Lbz+aC zRG!}hSMH1>O7BMP2-h(5%ChKA zc@R+|H?w)uhF11O^o=CCmPKhvP&oyABns>z*PYJYB!FH4*HehN%mF~EHk3&@{1p|f zhN^o~|Bv>fp&Pk2>#m_a-8CevAsV__H01sDPdD-_HZqfqnA1yVoOa#H5+Q8-31q&I z1Ko7}*sw15yb*PO1SJvlA!w}8%B zv=VZoD>`(7|kO#v;DUKH1;?){_9fgUMFqTOs$~Kg1w^KtvwO^@yBS^ z#b#sAmi{WY5t1WE=&|#Zgxs4VPn---gX5qX+2MOI9IcTZ?>Krz3$SEd;S9gc;h91Y z9QQ>cXV@)aE?j>0)Wjos3q`&b^-Xn{1*<4{11CxJs|0H{!Jj! zjj|-XT%K^QJHbo}T1ig(9)U><2h(3Z66;}WDV2wUk=wbwMVhxSMXu4}b8KA$ewdVf%_z|`#JD&B& zKa8^OO3KJIV-?+!2@)GoBQk{@GYxe-&X-U^_FA5Wu-7}fT`gj!XRo~^0)6|1sh%Z*rRD5+XR9~yxB5!yCiZNkpu z*>w#Mlbnl|e#7&G73hE|zEhU9=y7PDqC-PeeUzB;+&Hp{+_ zvQ~@nW91oSE<3RUgnO&3LY;rJ&5meoJ_?z=iNAk12>jKnhk+9~{yYt|b?wTk-CoBX zUHd#ZZG#P^-7=pI+#4}QanBF(BEO|^l1%S$wryyG>%eN#Y z0apgSxk2|)R?x?a!-GC(9MO^PqpE)+<@-o3J5uZM%5yxd9iaWrt|mBQS-yH|Ze3}$ zHHu2?;sKv-22r9fZM(^)7zQY>_rQR&SPAB=tL^#yEu!zfhRGOXVtU-s6WdxpKrI+9 zc;)zKe@jeT>w2p7N7h35uE8;KSxfNc-c$K9w5M86u$JIU7XIy7f7AfE z5X++m)YT_Bb@$QiZJx<$jWR0V;~X{Ho7K|q;HKU#{gE8OGF(M~jj^!}`@6tpuujZB zqi#mU`@QeA^b4b6X?2@n$M&~~%MB~QRgYW_m|o$&o;tMkJ)=Wy&)f8Mlf5|f^;xr2 z#LI50H4_~p`aOnby#IrE!iav=j0X`<9MSJ_#+U8+CXy~#6tCa8H9hF}b!>$CE#65v zRg})2D*k7ODawx_Q1gEB6y2So6&=N3*1Vm#o2o7OAy{w{mk88HUnD;}6FdupOAgXv ziv{;$Yp^e&GEZ`jEIg?CPlqhvf;#m{F3sJn;dW9NS_6b!-QBGE2cm%f)F_G$UiwSG z4AIe36vJdx5JPrDpG2I`!u?Jq?_pZ@>Uwt00dE=@(#sO}|>%U1M`PhQH1j{iqaKUQ+ciW+x?q=LS%F>rKXLaBt?i%oo zjC$5y)Kmew9CJ`7`{d$g3u(M7k&gnF3lX0=6q#Te93rw!ssSjl5l(&MI|g_i;R!M` z@^f@PQ2W*n@XvFRXcYpC>BinhQya^njc+LsTiZuA)=x%N@#dM`AMBCDAh5xu023@{ zpDS_>X;FtvxM?W3O2>+UkN_$q2<-<`X($--^- zuh}~tC4BWM6cYU$y9IFVu;+NNx0kkSm6^t2Nl!YJ9Kv| z?~Dcb+7JvnFCRA|n(f`8KKc>U@>6v84qqhE(U{$!`?BEPU}398hhhkJAEZOPcj1cp0UhS#5V=emwOICk=ii*KMwhw|X$> z&-`7iu7zcQ0)c?8r-?ngs1c}Pl#~SzfL(fFb8uK9wCr52{-78skOcSo=z|+qYlFB= z4&H6^E)5nQ;+(*urxfdYdI$zL@DZ6>Q+Q~2lNuNUJ<<#7dAoBiq96qAFMJfXi5xiy z&R9AdKj=QLr_N3_42zHx$P*pc^V!Hb?El7Cu1EL3r0!xxSXdig4pe$!t6Th!AL|7RDR1}l{VtqSv(_f!L!pR={V+G1*7h_d z+yy+C#Ui%@i}cUL%S6jLmle5;mxx_U3%&v{_pV_P-qG$dr88uB0dP8W5xRV0yB>EQJf`bXTILp^AFa?;Am;~AcBDvuBK zM0A0WeR~wW2;Ty2z;F(=o!_y|>Aj=!s|=9lKR*|I$-n>@DM~LX&{wgydVtM9M9Gz? z%Ofq=8%W+2z7#Srs$B_fzd%1*-Q1|Ykvg%XA=&f$Dv8j-w|Kqp9d=oj2$xV*f5o21^KEvu)t2|FqE z1RFY*rbKd4J{$m}g3e{iGJdfS=`H zrZ~|QTR*Pwbo5Qz2F2dx5!bGl!HnvKM@_O$TUO6zu=x}2jn)l4Mf-Q&k=21cj;Vqk zw4`9CC0qgy!*FtrGT&NO||Asl-aCq z-qw*j+L7TsTzUK=&!3U>Ctd=70o62jqpvm<^C73Tc~BCxRzTfp&_dyR0B7ye+${zj z)yPn&*vC`7-!}A8G;b?zs=1pn5y0s_$XqeMpi$M&*B9A`_|428+t2Sk0%b^7IZ$bwKXBTK|10- z_YN9FJ-^k5&Ir`Wm`^s7J*{v*Q5159XVyY4?LfM|q&0EL!I9o(Fh>pcfn+d?1XI^* z?WG+5A#{YCa^lUib|C~c&<^F%3mb#!uXC0TI?oC^#Vd^eq5kI|LKWKC<{jk+6l^k6C+JA%3=< zMXZI*dVk15D?Av^Mn^(!T(<#7y)DpD(cbm!wg(0Z^ghk5VuABp&`+(w8kspfCIpq) z;1Sl+{d`MWG28xzeJpAPvA$5U@5CA2*O{(+TU7tQMD^C7^CmW*pA018Aebu#-pRqL zAvI7By0r$#m8f5XGy@$=uh0vds5t)%P@FiIRyQP)611XIBO`M$d!db>^RBiRaM`zh zs@5*-iJ1RjYsiPG@SkV{ATPR{#^i5Ir;|5PB+Y05TtLgi5NoRvbhb)`AtNq8sv)>D z5$#cMy?`y^Icdzl;3V7sDqe8hB1gx?0ZsGUsPStwj`_#_C+rQZO&+#M;9ooSC8=uQ z4QQ}l?4+4k3^KSdfn})WzB1Yg50c^vRHCPH(IVXNor9zsj-8Qzax4Rz>R(Cig|cr-k66 zCZ11XP(;2oj*g{3JY?*Uw{3zI2Ls7r|68yzm?QoCu&UvT%jLebcZ0WS14ym5Gja{M zUJaS+1K0qZT_M)+cO5nX$B&_lf!eh79)CO~n5MM7s#N{Vp27-|optrrB9?t@~1^eOW7JH-O&BSeWgT180xW+>JR zY#F0gR7t1A?@Y#;Dv)SusObmn=xK>@?VF|x%QrW{cOg8}xoo$OEL?q~I8CQKd>q`D z9`l2!DwNAFuDX~fM2qdUG4!@u6?oGVy^C>|Uo=P*2c*y$c6llkz-ki-mgoHtP(}FC zCbh~~+*Le$B*dPtiSwSde9;1PgkF>a3$W<^LRSe06-#KXY3uO| zkA1H-1l{d4)xQ8+0(O2ZL!(ZOi8rym1J$zo-RgkQ`+A z>l(l|_Pbl~Wrn2}j=YxXOEdSkv?YPA{Y>=?x6X?;m!O6$^U#5P7ZbN8YS^$#g#6A! zW3(0PaA3nFY}B;pe0kn^m}d)Ed~?3J2m%iY0plIV$(z|z>(rM(La8*^ASpceXL9!dmsDwFu*omC73gJ5LLMe! z&J7nZ^T9>>?YVlzB1|XCxt0p-edrRk=Ikuoqdk52yPh-KE*ADvtx4^TWni!2?|M_| zP}ME{CoHViBB+6!tbT}<<1QFG%!hfI>9A;N$g_68Q_U9)(l*=r3dY~Hfn78)R-+!* zW$6%)c&mq}v4{-@&T2Ji$ z;BOdK=d(Pv6x!aWA4Yy};dM5SiafnA4Fe)5&L|Lk$sPvXUm-G{0QKh{3g;3`2D zT$kW4`~%*DdJ{K>!TY8oFL@KI3J-4Km9;l}A&sw|dcoepkE;p~ZQ*^!m}K|IRqn60 z@b+O$GE0968iIvvA7p$5h9yf?b&?dcO`905MB7lykHJ7P3>*+MgQ>vACifs(H)AWl zl=cMs4{x)+@9+@a%jKzkoFr%1$4NF`L&SR;#63QD>q%~&y9OKHgd8QJk#G&{IbLM$ zPp|Yul6N}?!w|XPw2y-lyKb1u!_j%&U#TQRqT|4RAHa8bg5HmBxQQbz+IWl#*m#~K zEFqV%qc7|@&$r<%HF)}K?J-sydaMs11}sGnVxC5yU8`-hK=Cyxb2$~-NqhD$P&8!9 zM#%m!4}{uQOFu{Iia1rEySU^88KL^ipk%O7Xc7b}kzW9m<)h;pgS&`ggQZEP^ZmpH zf_fgT-N;>BIE~&^J!es%1FK%|X>^ru&(-kZp?vOEI=Rim6#{nN$U!GK2t*xYmbg^^ z$H>EyOuuOY-+JFpNKA4(rXy~SYYxebLI+y^p2otV3gJwd7(T61)wuNX`R*yZS)`)92| zdNRiDTBl7c>{t4FX+Gp~gZDl%gp@s}vxB!y;CAQ)+BEk$pg(JFRA_)5W<cAVt{ssxOUB>zR5Qb`%^J*AX<2VPILW#nkq37AhV_DOF14x}9kJ*rYNjfEh*1KC3DCG&gsd?M z@eU*rDYUmyI^eH>hJR&sL>6*%R5*?`P*t#^0Qz7>LS!exY9VFWF?B^AvC{!U=e+L` zXR;07CKKPKECyk*4@F}C?FbwJIub%yzV`+7LNB3VZ@r31VJ6x_S&@&fxiYudW-c<1C_4--)6zXN(82?t!a{>{Gl_amUTE8fdZs;E3}b|` zk*5ERhoV*P5Vu~|^0jo+sC__fuBFi13?gEi`k^OuP}Igws$Dw1pbn zU(iX7VOy2^06BzO!Wf|$X~P5ag-Ati_}^HLsBgH}1q;6r z^a#Ju6`q4PY{|J`{ui`c(p_|g4N7Aa(fI>8d{)5sTDenc;y@G;HR~%5TmYqoU$E zy=aYNtCs(w*#F{0wvMg}TrtDqh}lqdQkqvaz+Ww}qkR8Q)P(%d@O&6?Y!+hEi*H2D z+Qk+e+jRH3%L`u|SukbZ8Y~BIo=Oj4Eb@Ks)wnOPx|bRlN7GQW#=>c5F$zXmyb+iA zyCO%iv^DHB>|zU;1D)iCuW!2}yzE;X2e`kOhB=Q0B}Od)pQ@AWH}%Ygikx=|M^rWe z|77eGjQY~*@ZLXyLNW&nku?@`=;2X-TmqZS83E={&4(8rQUj;=(6OxA$W>^eTHi>k zA6bDoReCgA3(rMcr?3Jw{__K9+91|bFwfjfz&MC9ji;;PV+`j0#I)L|nd4B9b0QkJ zdmU9^jb6P7+@bd|59U*=Gd+9Pnw~0NjEX`NexUw4Gpm~tm2mCLWQ~}FG3L6SPT>6p}U8j-b1jgHK`lD;mTW+wz>CR%p(?aM_)P2a;LUGLkTK+Q z&eLzn<(!+}oV`_j>`KT)T|NorP`M+AYo5y~zB{-c@MkdU6P^kNGk<9a=SHx*)osT7 zz8zm3XfeHuw~z|9`dV=y?eG;f^F#>4e#HpX6PM+)aBS0r-7sDv<1#;(e-PV&CHffN zC>oAEJz8ea+x-MU(UKHdQC1rIW4n?x^JXPUcgxq3ZjJ^)1E>upi1kYXRLB{=^f1;f zOK=zNZitb62|IR%gSkqADRJQ8*_vck;6pmQzH|hLCg_Sh(gLfaYq9W%Z^#|h z{~%S^-19!$=6G|!e7M$@8GqQY5t-CC5E3C(1v?8`RFigaZE;I)^VGUekC*Ol_9ZQ>wP|WP+zG_b$J%u~x{jX_kH|S3b zn+*$h5~Le0$c+jc!gQD+`**)xPzOldwD^& ztuF?iZ(FjjGXtN$8{xoxi#l!V))N={KJUG??R-bw))R@x_WJ4)wW6?gV)p@kqN}Rt z2tV4V=Z9rgSNiFw?cHYRg|9w&sQq3pRv}09qVTbu8Oay4Jplt@-q#lNkQ96rT9A?= z_SvKK2zo-K=Md@9zSr?JP#aN)#Re_t%1;4Z>I>h`zf{jZ97EWfaz66aySkz4 zu%zoZ*pIO2!Bzt7bXeiP3x zi0lrKHYrYSPS6vA+`5F^{&l`+-4WW_m3Zv4-3NX)_2;;4xp(&oeWKHMz_I&)f3K$& z95dK-4#oGj!JJe4k0IEC;o*u`n60N&;Re=uMsWN$0>a2n(W}ehlTc@AtAo|$&@x|b z4gek&cYVyVi;bGz26M;TP#9Amdek+UKl!H<55LAVCa&i!UhVBl>PS-GSWGK=`!43@33+cOZ zdd7gkYSIHJLmyp^AYC6_h9FHJT_Pq0UWb!!7iQMm<_nBt&JC~}BYq3>za-7Mw7;*u zT$sm=4$u=>#A!9(dM&_JVy~WuLvT(x{B#a@+VMY_!Hqjua@~rvAySt6_+n1ce6RCu zeE3CaGwpmZ^{!xYwVqnN(S^qJ2VDA1PTz6o!rr=b)zIx=2VUmH4-cTT8E7P(JrXLB z&neH<8cT~fGXxoPK?V*5@d;I{b>E2#Hy7Y7XYUH84O^uEciY3bC*?kfEs2B4YT$PC z)9g9=OZ1s_Hcr{PO6LR3xX?_5*JDk_+KYqVAXco93!jOHtJ7ta^!vYM>uoh=uUsCQlvBs9n%V1^g9-JY)kN* zj{Bt>&x0nY{US)HhW!jN-`9!0eW4g63gla)eIJ9D>~8YR$OW$QlGV z6Y+9{RNxGKTp6ON6coZ_B=Hltu3>h8jmiwa{FK;x2`{5I*CyeTAB8;$!&EE6`7$oB zc?P4t*rg7lj9$2p z6yt$e8OQ>W=ENfC!}!JTlVI&y!KtaJ6Q`l!jRGBbdJ`u5&)%F0pX$h;LSq-vw+fA2 zd=Y}u&{$k2X&75!?F#?}nGzn^AC2JsJfDzIiw=}{RnK%oUDtt~V4%5Z2`^s>OKY4z z*Fxg-$MHTgl3pRUD5*>>JOWm ze>L`OgqjR96;h$ACl3OBox)#%z>72LE{*G5jjV zE*g>ipVkxqTkU;Db8kEm?P?qN7|VY{-*M&+)h%BIT6r(nHhoO#WsK=t3>AjSB=bc- zF>)6npF;w-PC3ZM$|HP0Q0nc?iBR+I;|L#W;PJkTnbF~)c84uCgxo-0F1>+pe0@C#pYXv8PFrQ7~yydS-PEI&gpR=oGwE1*da%jwt$lK6gY&$i>sLpkw7eukeR?HSaG z1ECno1v{CrJ!&d`Z%2e8$*6DIpBxky^#`q`Jju#!_Tm%@#fz~;{~JNn2dRH7il-9- zx4n-D`S5!dkk8e6;uT~*HU<}-g`R;nLFYoqB{Wv-_i05~;-}tK6q$iE%x3}+7x1j# zpQ68og_kQ>l#FTX9K)Zl!#mJFu+zVRg78k+KTUr>etb)Nk1bFo{5}RMh`1<}Bdl8} zM@(p;967(`2#RQ!QfwUjz|Io1_wI^l&ria}ow8oR(sg^p52`qaj=S(Iv=0Fws$hzDAEn-r z^EBbKGudbmFOI-3qn$kZ+3eQ+ce%3LJ*VS(u=g}yeU8Bj>MWQfb_)l^8j}=LZ=(u( zrj5YyATT<9sIMk%?_m#3t23yB&eN=bG-13(1B2EAS_~Z({*fGiW-*{)>F_1+wc^rV zSOapy{Z7pYCDmh$v05mp@>_Y~2^ZT@nrSbzAT#_CJehcW{J2y29cEb8IF!WUDys1# zNPJHi^r0I?dQP(Ce@Qqc7FMF)vyu7E*!&z2FTm;=J7|ge7?-)?4sIbKqJ8e; z;J~)8xLYfS=g5SG-@+{DwTH2ma=PG+QdHip;} zrER@T4@slawl=D7AfBhw121dE^6CB2$^O|BUEY5eMed~Wkn{_d2~D%{)2NSb zo!)kq(SHBzot{3x*ufg5)xg$K1b#;P8ipw9?@SVBq92#WGGU|)T@-0L;uSf6gZetU|&HP6Ga871bh$#z@7 zV+Yuj0dRUTfLx31c}V|Hl}vApOaBt-`gpGThUnvYQFo9&p8Eos`gpFbGW79W{-x{V zIV+~ATgLZ7n2M0jS&H79ZKeLh=9Y*X$jRZL(Oyn$Uf9d_iqpW0+p~6Y-T;CO>Jh%~ zfp_L;4B1>FRzTvm2eLV#(PoM@Xj2@%i;3?%IM!hG23|#a4uwfnXI#M_9%aMHS-a5m z9gsK52opvLnC)ipYa>6}{F)sxT@AlPtVZ*%>b>zwdj^&fVpD}gG{zNQh~n7bHw7%! z-J1XLegoe~Xy76ScUPyRg499q{Km@hIWCpwo#@?IF(BhsDe9J-UYuB);U-|_|2&An zT!768S8$3e#A^JxC=p0>!HHeI;|V4%usy%^p`mzTFIbQv%!*dDPv~v%mtmNe(3l@s zSG)bF_RCJ}muG*!_$$3=AIjsMs$lBUQ0|k0$l&Cg{u4~TY3mmW!8|=2%1x1^=bh`+ z??}VQIY*MB-;u5rIJE?AT)K95YDBDS#-#y@p|tHltiO)=%gLkQmhcA{eQ#mBv!|En zH*<#&MybH%8K_T6kvM=KaWpYD#h2*7FD2DGwI&z=ZEP}A)t>LHJz}tdr|B?+@M!u=^smLas(l z`?5jWHLdU{cH6`M7H45c_560NK`aU22{!AX`4+re1p6_@shy>bb87RPI{qEXuGv-~ z)a!9lJ9=pqPHld2+y1CM7W{*C!nA@N&nd~-pLo@_3ypmJyzEar{V|FP^s zc$|J+prf?fbAdk2vb}gEqf_x>!^AMXutQ?A2Qpp>0U%u*=-chG_C4{8Vh5C-Gn`4J9~->YN&`;?y8@tLtM>=lN*KA@EfD zR{JEpo=Oq7za!W#S3{rU7}b_-@x%0o^>Jw!C+7T_Ml10t-1fj;t;O43>U+p3yiIY2 zfO7`C-YCu!B5$EgjJys0Vp|pcE5_vJN#Qz9oN;`_PI$l5mYDOIc!x4}{2Jqn)p-KJ z%TwAOY&bz-aer{MT$_v*YZK{PcqRsiEeH;Kokm36@{#XrSE=@^URu-UKmGBKe?0cp zR_?DlwcA_|T^$;ohBAuQ7#f|-iIZBt9`x(0i=753KIAYEgd~H^bwzw=wR*%Y*=y(kP_oqQv+tq?U-0)~& z5$V2!lc60*!=cH_TD7JVw1-t8E-wKEo|UeDSZEg`jWxpdwi51lWE#}(YZG`b~61Bv9W=XAi8&MCG=HH z;L&u}8)~b^j()ckl;emEh_N67TkA7my}MdZ@wfPU(B{p>33ep*{ec&OO;|j9@bZ-T z{J*GQ^8d4bChBj89}Ir|SM(lz=>Jx}9_9aw{#EqEA3y42NKu!<8!^Oe_R?67dZ7Q| z^B)$viC@O!(wSmoGD10-}fQ$BUtrXD7j>2nkgvKs@B*FOX%37=NEl#ySyeN@XrDn#!1h z_E0q4Hd&YVa9ht}xj~4n5i$P8Tdlyy3pp~z%Xc!y-zt+aURmK^ zNL*8sF@DfX#&|VL#`v;}jPYicjPZpL8RLg0WsD!;lQDitQO2fNv8h&UniVTCVsbOU z8W8Jx68MvgqXG{tgTQU?@8ZpQ7q20~Ul8*bd@bBy_NIBV)S9Fx@osb#4(iW;f{}C7 zntqQn(nqZsP|e6$@N}YHg<5l7)%mQV)|^(=k5$x~{#655MXl-eJJygd?nV6-VGr}L zMAyCPdQlp_0|{3MZ`O%O3a;9TNNN=fWKDv6U%)9oU=K5UenjXeewylL=%;);i5sF~ z(t?@h293PcLuvb#WF%<#1j%F1gTs75NW41y2$km=Li#2P6hCgsaYx@0%;fiR)M#2L4j5z#n|}O3QBnGK*7x?% z!~v9Y?TeM?sULL;zlHkZQ>S7+AZe`UL~~XvG|BO&xK)LX;iaf*_NAtnn0u_0z8;XE%d)7H21XAUPXZi(EZ_2QE6U+=j0XO8?fK zfw>U2wH`%)Wr`Qvo@c>xC-{UgzG@n8^*or{|M#@)5FqbxBO9?;pX{gG27)ji~ zI3%3b5%~wyKodO12>1A^E0;7W9(>Hm{S~FP4qfcRD=G-SEeXRg($?U zrl(NIds$J%hbX=TEB{MTQ1N%z6#qU{l_L34^}8V-DUw9=h9dcsT_m|pG5v||g7!l< zAW-Z6UK`BU|%=TD<5r4xA>)ulWw{`2z!t`}qJJ$1B% zCj$i~Bz|iI{D1MF$^W;}apR5SpXPrNh4tSJ1@K>7wlxjUFHu$UACBUq03`o$Uxobl zXF)txrw+1HYj(y6p_@)ET_8pT-idNmB>?xAG`x%)gp(^G2QU#-;obPiKs3W;1MZ}r z(#xM32Vp_EH4eT~16M-$k<0N<_5TaLU9tGSR0F?)TqAR+8zi2cZ~RG#KI}!E3JmZV zeQN)ydeo=!s4DbHoF4x_aAaa^4-Tq6|XSGrZv3NEid$PJ_!%r!m8f=#v9Dm za5IaUMjd6|)ZOWLV|bkIPUBU6?2(ce2=R*m%?NOHPpr}EW4qUlDe%k_eB3?4eaLg3 zZ+4mkBx53dY%=-*J7jt`)y9hh_N3_I8!?kVqre!k-{Qiiv9UK-@tNQW7rMMsfL&eQ z?c@80m^M}aw<3a1D=kWrOANrgchL1T{cbL*Z~PRllJUzo?tSWtW3YK(Pbz4{rk_}F z!WMB`4w{c05Mw<8$QgbT*TuQgZB?uKqjB@+$5vc~Nty$tyH|Z5!)7M(Y=lR@O>wA4#>VsX00an%Yp<60U)_jZPVYZ7~E(CJ3pz!UO&x3Unt;oSQF1b!?SY zU21Fnu|ZTqY_1qDmABYh25Y;yM(LQ#ovp|)*deiBhi@W|7*L98-ZRvi0>_8}_cETe zu?zt)$UzILUB8M&9W_>e#vL&+rD4C2FMNn0!5Uz>5F)V?m8qBy{SmUOi_q;i_nYPP zc}r{)-53nsM~gfO>udPO;)Ezl~ES}#W54HtOOQ>vWC?hkA1LBu@cp}Cn{{BD84DScsE^~hB}eeoZ9ey zuNeNR2EpNOEF{XM@ExExc10Vt+gMr6p<^QKjE#XCV$q$-KwQrarvo)Ez(z`dopl8< zhQJglcCnRe-i5N{ec*mm-e;n(-Bs;T*6UE!%H;gPy&Mc#bTV`txICC65>Y^Y3K+bV zuT@K3%#%b#dlR8^Y4I=xEU|Fg#d1y0jo!{iadFq)_i|g`!yCiX_X212}nU z$kgc`Y3ab7B$JlQNQ?bE3;RRM4(GwtV$a$9s4c#?Y?AjNMjAaWEzk@_QT>0#ETGmT za!thF867o3_47N+Re^)Z#uWmwe;y1H!Z*)Hjqs#ZInUsCVe1^rj(4H52VZE9siM*y}h=EQ+(SJV%xq(wv9gh%GUI3G>u=Za|9%VhbxhMG>3Xn5~b}uM0zt) zj|jx22>abu1Bm=_y?L5l4+V1sC-1|! z1{)*41kIM&>g>sEEiUD30y5RjwK2B8yr&uyQNy&>t~K^jP}M7lj;ms;!BK_R+mX?R#c-=!NaU zU*e^nl!fUy-%YH1w^HxN`)=CGWjuHA)ejSQki>mGD%y*&<}y{>Otlz;NL2Mk+X(W4 z=|WzxpSbU?D_10N7Fw$5s@{kT zd2rPb!ox$&+Y_h-ajBlD?Kw)~CMK|4$hw8Kf)4=hd0gfx$O*;Tg$tMoGGqsp-E8v{5FqBq}AXkW9H617)Vp_rqB+YTlR@8 zM;W|##qWYAj>_EjSkMus5~24F9B4d|F)fmmmw@r4KH`cd{C=T)@fp2qgJt zH#^j-f1nAxp%Zl8&W~CT!~0xZco#q;NliJ+VMSVVrt05;l9k7&tAS^ch%cfArLT!p zg)27Fc^dQpcR%3mjpXOekAZ&iA=z&b3H3rXm`JgZP-}W?Cq`v>`@tT?_TzaJ?V%ra zf`FKQ%tLA4H_4(a+E@;A^ZK;&BjK>csT#F5!f6lfvM>HmfODxAAM7u!R{aVxDvxKG z`%eB3ktq=3W9R6ixZYLKBVN-ISW>(Eo~Y^;!#EpwwNPXR-a$@S3p15Un?1Q6zT9nP5P(XnLPNoo7OOJRWdF+z#KqHhZxFWZcRgpMsP`cJp(ZZCUv`~m+uYPA5dC5jw;FGGBi{=OMd98rp1q3S;{P*Dc&)iFdy)NkSoK6Kf zmw5u#SFtz2v0cIRarky$aM&H$N3>PsiRw#(#_Ov{J^GrWLtRZ1EOJNRUM}U)WErsciiWBVQy*dL2zSJOKu4fmAfH zFhkwqS1Lbe5f@-tBwNJgZ}zD*%iz|+_iDr*Wsn^<(;r_G!Hbb$m$r(Sg!6p4h>rS` zp8Axwiue|e##cr$L$zau($CTpZkUOtReuE}dP9kb^6R!Y~$!g#x zAi%zi&}+^LUjvoz9cp=^_d4GVl#HUT;7-1jR&<1TFD$LjKH-_{YfJEb<5agKLad5S ztOwxkO9B_Rl=?RHr(hi3_t1EM*|=xx+Exm_!6}Cot)tc}G7>tWoe($iMm|Ti!`m#Q zGQ%(5jUiJn2CVUAO85b#BbN)j*b2j-HBm=80SJ2~sRdq)SF(?>$kr%7wrUjBfww-T)x0CMAq`Hg*~q_ zx_3(1o>!Sk4LkG?*zDhu4B`5{<7E1=P$j==#=o|Es@L*82fKlt1Us)^%kukktmak` zBR$MjY(oqNqq*lYksw>tgN*O~;Uaey$+7zLn2 z@1^JPnzB<+>Z=tFM%7&g|R?kTS=fvVAvd@np$r>t6T>k%{({-xJSpd&-#~q0A-rl(nQM)t<72 zWfJTuv;RNZ-aRmi^7Qql2)NFyLt1Z-pY~VX|FN+k<9)+C!cioJKJY(-J@h+ z>oR12&Y%5{?Xyp$jzR5nnEf7q_B-6{ZYLKpZ=87t`1Ag}ecnCHdmHm=lDA_q<_uxW z)zrS|Zd#Iivv}iPcKXK^@8c`JDT(4w9YS$F29a+4Pb_}jAr$8hLAv-z7Vmxt#S47J zk7M!GEkC~RJl;sRzNG=hs}G?#w>#3sUt#eZ4xu=0>~!%_EdG;2D9!~%y7-AK-UL?u zvExoDNf+P#K8ino2*tmLE>0K!GmGDH2*q1`#qVYDV-BJCx4z=1u=s}EKfdp~e8oSj zNAZ^qq4-W;@z+`W7l%;X^cBC4#g9FN;@nzHQ~nGVZ-y`Rj~(|JzT#gjMe*r}P<)!N z_!}&K=OGk-(O3LI7C+$-ia+ZsUdZCxzD3_Vc}$Qt7EfALZhq+;%rlB~+B?!#iPFBGgFfW63rg=-Mq_gws(R*stLi>gRW+*`#;SfCH+ik`n^`swWs|WCFe&?; zjL{^=2cE;+2IC-gq)HPAjN6k?#5JJzLN2%^)tf#%J|2a*(3tfe2J7}+C^mQ= zM!Sc^W5CAeDJoU(IvK^p{#KV)E`bnp+=g-7xUi}iHyomH z1b)hjhgZhA4iZ5?rG+qX)0fk&bzcIB5m-EVkYFu2yk9^h7MHq6$TF{rHx8^M^e5Ee6uO^<`Z$HKU+K0~p`vO9%)d&ZiJ#-xG2Q z2PEl*9REF*Ds#P%UC#sZiWl<5R6w5cLS~(Xh0;VXSjD(va84^n z&JBY0G~^8qh1N*UkwB%@R!42M~A*j3o(i4Ml6{-IGkRC{Az zQHvJagz$YVbeB}8H-s0eWx65NO6x9@vZD-xz>Y>>p(fn`3fAfDf)b%$Lf(v{3YW_f zjCtj!=*Z#HL03Js+s7dPItw7)mQl01wPvTyvJesfPC-r`r3h%;cBRcW4Hb(p$JpBi z+vlUv=MPdEYKf(P<|&N|?b0(@`qqP#W+*F^ZoVSjHY&7B|B9u1qx8Yd7;lFcq&vJl z_2Y~2Enk~XZ=jfn_5tX`;Rde#Jh%dV8`t-s9T)k**jSF@WNFx zO;i@i-Y)JFmKHPg?32uKF>_#&Y2D&ZGJWTICYe23(JxLIzDefU_=OxFB_u;x&mK%t z(u$}MDd39tGq8iwVM(kN$ZB5vHlKTh04iYs1vg%WW+|40lBBb)0BT|YZ+QnTRHGO& zXAHU&O>5C+oT3im7OrcCNzgSLr`arV7fYmfSjMvi_q5ZSH~YD-5oO*BnD_9Fm)QG- zdO}$p9h>Y6Pll>eujI+FEw}Pq>}#*0u-CXZ@A69fWFLaS5ea{#}-R;1J3_ zm@Ye!WzRW;vZK;vhp_DK?FXko8s`J8_Db(iL(?(`RlWYdRW(vo^*2^El2si*0o+Cf zU@*r;%<&^>eN(#6*RX8XA(S1SF58`D8!(xA+SRKMC~zLCwHLV3(zLWKfYb`f74ZId?GA+zLdpOPcIE~=^>OInU<^5Sa#DV7%a!h zWq4CZPA=`7QT})_j0W3hY}HU@6c&R`(#A6}=0w}K*cOzA;*0GW?#(yQ@+h=SQ?gIH zQV~QFN5K@D5MnnJ-)`~g_y0!GT9f7pgSJJdKG^n3%Nqf zFl4Jqi&dhu>;Ja_%!Tph=>vBq4(k6m2pt@X!fom+{6E(B)j-tu?WT71eFBh+UoW^= z{7C$!v%VSa>wC&u-^d?d-?gl7I15u~Du<2F0H<2h9NV4%P|X_Y0N~$|Z=K-z)yJ}+ z1Ee(eJ3(MXhvQm{)gg1PwceAt*qZMALd_M-JjwwebEY-vTc_yA@jpaHj{nYj0~4ZC zS(ZRvav;g#ab#%gcof30_EqjuPH=eTou$0yDC{k3d_+#WZHa;*0|p1A70aW=Xx33q zwJ0il;{+}h$5ue{tY3iX++|Iob=(!TdMYn9*Ahx_Zd z^Al?4mw2mv!4UL^A-xc@M8%)IVmhe<+I2H}kP?YN)qtL%(~TjxqZaPwhMqP1Lr)<> z4)|&#K3#Ytek4iGj1(?l@>Yi%PsXnIepRm#s6rznS;6>L)Fq9nwpS$sRjeU=DRNxo z&0dJ1P{cP7kS;^-A!E{mB9axWKT<*wWIU=6SA?4K9NcW4lD%id5*M066 zSwy9B82JU~=s73xL;SN5AGiJjcf4f$`lZJY@p>q)jxmv|O~_5FTwr)PirObMW;t}C z?M~^5FQ@3i5Od-0X;%Io4I90Qed7Ao0YZ$iho=KnlW!tRa}x#1=g@X5J|4})>Ruro zH1*a|57scR4=PI(RPA1p8U8JvKEV71fc`IB2;KgDQm$g)k&{5tt}$O{F4?^}E0jAr ziAfPRG9sU3hO!~Lx?p0FQ$|;zBVr2l1FzYwg>F<#m&{>%BGv8~SeK#{@kKpv9t%G< z>rn#6B0xPGv9i#P^&5a{^LAne{=35g*2OOD4-PCfRmq=<56=jKh_YDzJw3G_N0-bu zkx}UvJ_;8BXgXN|!KxC0#|-%Bh0f7x&ZD|81_|$e71xZj^$GY%m4bJ-C*+4T1VTih zM7^7mzbEvbhy!hOe}y@CAFu~`h?|XGC?tLl9JL4ak znNG(fkVzL?)(WZ+!0!$S-`xrT9bhn6X>Y{O5!^)=#*Z-a^(%6XZp2H!BL9%lj4&1; zTTuT3iBj>} zV(hgHvhAfn0-e({Vj3-)$%W$Q!-4hB5>&S;%Oxr_r(KVOs1k5c4_2g!5_OG-N^MFEXYwdmH z)(jh+>8v=7kFC#Xgr0dm)HAAvfoNU_j#gUP=TFW1AEY;lkV}^2N?2N!;MNOf@lSAmagrK5*NkYVBJ5&6XSrb%Hr}J#@ zLtOsv!Ar;2_tZQ42D5NgY6rUkeP`e2%X0?va&$syG6aDrBMp3r&^%AUh6E6g5%I^H zY-*WjNGI)ke5|i_Dk=5xK2YuB^zR1#e$#G`^m6ojsdvU1f~w8+j+D6aVGPb!;y5_h zI5I7YN;DymGWR(1pZNlsQg(${0vU^iqnw^2Q(i{vi2GUM)NUPM9 z*ZfvVX@sBZ9#;}tS zX7kw7%9{(ZS1S=gtN0K;rQXd;Up0(t29B8T|Wmok3db5j?9L&!9AEH3gaR zg3dM{LuoOFE1tK>jEp-yON&-R!t}59tjr#USf1LHSHKf`c$>aS|1Nc{$gOc>ULtTL z*kP=GSze?ri!5p&8Zek;?b$Nkmjyz>Q@*h~cpmAL=+zSq>Ak7_jKFht?GuL6bn=(fz)Du<1wR$trFI#O+IScH(78h}h5!>{nZR*(TL^1P#{rY~yUW@kN{Bm(X zi)GxyL}ItF5Q4}9w&=73=(d(T7GcM0rV(!Hq=_ArK=YGGdnNS ze!7jWc(5vSFCWp|91j#~k=Kz&;SKyw!jR#GmihIhn&53$4KPmqRiJd*<}5T6hYypjN1F^-A-59w9(c2k~of4B$Z&| z9Ct3k6k=`i_qQGZ7RcX*FoHm4OGDu7uDGabbhVygwcu}~tKQNkuk{Gh6kav|0hP-5 z^W@Kg=AZFXWmbfBX_5JrG+<@0ap{-6en8wkF%HBikJiPr!Z#>OTI?7j5C?^_h4gr$ z^)cF)`bV(FPU|hJ9VulpWD~Bjg)Wb@WQ8t>)rp=6k2b`_&s?Vr{=^ly=axmQQ0x%A zGnW|`yF@A6DwhFIfZjDP`UANze3sFB9EKE@TI_mY?&fLTi*8>62<}Y?4ykFVIrTy< zK&`32+4F-P@2>|fcUX3@&&eV*-jg{5f&CENBiuEaLl}PP{T`bL>nqU>}blfBC6uKJrAEA-(Fkc$7nJo!#r zv>0e~pFSR~8NDm;zg(h08q5&cXVQg|`nuB)`=SSzowzZmDr?Awl})ueXb$k#CL#7r zM(w>{fE8Z+2CN`Sw*43HE`7(-Tx_~H2j<^q@FDJn2p%wSRvEpjE57QS8#?C|;-U{C zE~yh0zYwS9?>pxX;xow;fI+Y7kpZA;*QM2bq%B&W$m^;tn$x8&FE_WSA-n=*x-=JH z&n21ZbHCcT8<)&s3domHdk<1mJ3IhJ zzDs@V0o+Bv`yRlZ1kCXO?jYb54}e-e^|S|Y8v&Ibz)b*H_k)2wuv-bc*$=ygu%I7y zBVmPp*hs>9`(eWg>*9x9PuPDzC{MfB5%!56b|PW_^uw+tY@Q!hO4zG@*foSb<%eBO zSh*ioLYTapk{%9Tuo4~T!S5=jCHghHjJ@co4lK(Lqw|3EZ$FGK z0M=qZtctL|`C(HCd)^N_m9Ur}Hkq)8{ICRJBmA%@3A@}6GYC7+4?Bf0&dZ*@olICa zKWq|VdokmB^2G@I)DMdiw$cxa5Vp_{dyKHZ_+gcVRr_HTgdqxwx7`VZ-S3B$6Lzy7 zHlDDcAND)K3jMI(64u)fdz7#)e%Ls|{sW7`LxV>M`@|0$OV~gCurOis{IED-uliw+ z6UO^Mo;E^+mHS~26ZT6#Yz$##e%M2VUE+s5NZ1*E*l!3s+7EkxupB>(7LN55R$3nX zXaiXr{jgsXw%iZ<6=8GzFgS8T|M_9}5|$t=(6=kT&C8#0=41Y}#;Bw&Oghs|;!o>F zm6Xe*?rsu)S_4&5XC}2g?NrF0)^RGS6O%T&N&IPbQb{>XTH+@0ruGippvd&(hY7Be_9h&(xprq;3n~>HA*EFGpUc8 z#GlsXD(N&P<+w@wX`QK(dNS#=ryOeVr`4TFX>DIkSSyw_9@w*lrF<~6elivpQ<<>J zpYS>pe$Rw?{)DHQ@EjASF(J_RZhV`!x!CZh6;ny0m~@Go#Glr$R8lu4o#ZC*r*(}= z%45=DZW4c5{Z!InOxjiD^qfDfqmksEbFIKS$+KF|my;@Y8=h;oRmURxHrS)cmj5YM zfB2iXPyNjez*u#QBlD}sj4q;?40A2ktcbJsS1iIJ>Zvm8w>Sn&6WDwt0n$8AoMOl{ zodzx{BOlAo!E`xN!d8N7vVMF#7CPsl5`i%9g=MDZhqv8%z}8JRPvl@t&&81(JCa=* zD3UdqHt8LB4Vc-V%j!^zK7e0XAtJ;8+y<}#5F3Fe4Ot->vJWQflg#hbf=2%bwsVR; z(kA@^xwV>e773BQiY^ZwUb&q&IJBC9bGce^7cik))v{%;-h&Gl?E7eo7RW+JtNAK( z54OSZ`(jy}w1kfKuV?;^?cKRt&!j%!E>XA{Yh2_wpQ{&ZEbL{*6yKZryCHp*2aD3f zTKfb?^lkLU1N%8)fAYhgA|e%J|w-Q|ZJPuMkn*l~mn@Wc8L_H#e%Si-vd zVLv0R^=lu_y$DPBVaE`*#t-``VN3n6qX~P%59>|X3x3#72z%TQyPdFy{V=Yot=s*u zqX@gw59>kL1%6loVJG-u-3inDFtLKa`^rZHv4VH_VK=kvM}F81ge~&JjwI}LKkOvJ ze(#5s5f<^ojv(weewa?!t$?}OYbvytE8|{0)cY9p!q!9A_ObOvs=(;B0&uDe>RXLwa1G~Av$~3Tx z3%oB4?CJt!RC=FIsA$2yV&~Z)X?ro%UK9C_l0bL;y!(?OXQpW>lE?E(a;F?1GnRl zTOyI!?WM7`#-noelRL=jt_$hSS!RXd%u#<}cfz28r}xrhaU{7Ab-2^P1)xTH2g52R z>6{9W@u&4gnxnyRtR>qvTC@tZ;NEMTG{KR9y+PF7G67IZUhSP=KTBA{xG9j`Th0ns zs_i3$CzV1)A83Cn4iZ~$0_ng5Au@`4qgUw5QI~OeaG(EpYtZi zX*P=5^O6z|VLxYHTB)yL-yc(bZ{Vxc?D`STtW+SgQoL-NJ29!?UWntqO-)cj1MHKj zO*kCu)O?LI7EC(XIBCJpMRU%VS!$OStzav@owp;uOluYSh&=e;-5N^(8!BeDtZ* z6Q1{zB0KMu^Y(lk0OQR<^v4smH1fNlSre}ir!Z8SyR8?-#p7%efE>t?*0uteq%sC> z#7`|oL(X@~i}QX`rLuv9N|e_(1na{M!T0;HY96sj1W^uZp40za`i8pTe0_;D5?eLy z_lO){Kd^@urK}As2%m-D%LeA-ykN*U@YVZq#8u}abO0Uu&p`!+D}|MDpOe z;p5_V{u7L7Y*gHLA~-b&U#(>rYFEB;L?`f3H6S5%BSvg4ojqFd2yopvydH?h)18RJ zPjnqw=i{!L4|$CtDt&8j>lGQVqdlRCuInNH)cZ zyh_oE2l+gtLej<$(g%>fZyqJm8~~PW=!9ibcKlKty`ip4`Qpmf_@!`F@R3FABG-R| z&ne_mdrTOfm}KikhqSU%1qOk$PRY$>bJvfXM=M3&Wv+l2h`7SOEX|4!%fgR%zKCr^ z-jlSS$8#x0jl`-%5qahHx_nzBr5dPE9eJ5289%)q=Z`!4CCc`gx1hy}@;%+coe*5r ziA3#0#jopVJigV^<8d-L%N=jbH*lqCjO^^Y*vyRwcPE1XA)_T^l5$ueUbd$p_@7HS z2<$gVpf}C`Wgi%-w}IS|`o6%!JzqW0eCSee3<`PXMb;ByJy2F^29oLWfODiXUZ@|O zmB+!}bR_3#c!27Uk()z5O%|)OawPJ^Tx6o5I5*S7vMn8vXyxH)66F9Nr#<^TRmBH? z@-buzu4eW0@d^LZ;%3+Aszp=Li+My!Z}{dlEykVv$e^KXal$&`BzN#<{H%k)FGJht zh#}no!ZHIEqb)X~MHz_?bK;^XNp(5E^Vm(?!CvysYvCwgN1_u~BL+@*E5U5nNtKN_ zp$?CM3lm&dem#gA2opy+c%6a#$}yP(dv8a$&Fco-;G$EKYdl3N#|QH*JM-mA8@t$@ z7(0X#b&eE;Zqj9F4T&z@4^^Wr*X7flg@1vnK~0ozwYuWJHu3Q{*yU0UUi;`q74LQ+ zl~@%K(rN~GLA2T!PfDOr7DZm_oI4M@E*TsMZiO`jcU*^uRj40~GWc64v$uRj;VQiW zwU~QwLc#;@I%dG^Q@*2cy&i_qZ7UjtzrEV_$6yrrbt045rQ<07*i<(r5euv{C%^ zsOnd2A((HJ!a4|Uj|XmXe|#DrdP`=iQs`{}-SQRM8~c4!8JHQm2ycPtEy_g-YK8RK zxd8Ox((IepKoz2){eFq|blrtZwAcn{7m#a|^$|YkuYs1w1R8>$+@rnMGZCpk=0Out zM4R+7KG9g=s>0Uzh;8QEIAH;C;S4sJ(Dh112Uojv>#6TKrKxDw!vU~uQs1?>1=?nd zz6%cyCW4=k9u!tmiS+WSb`V_Oi~X_9pg5{FZ{{ApOWU3fZ3ho0ZF@da?dkB?40C-R z@X9cHO|`B8gYo?w+uzU`0{jh}a~!miPva>SH|%Y=6g(;ekY^O%3$)BzQ6GFaB&s#& zgZgZKyvj#;@?#4r)P^6U-NA)z24N9M-RcZMs2g2z>;WVFg~H}=A~B*T)?GvCz-zGT z^4vstTjBc3q)b=kV1WE8<1(;GpeL9SbErFik@IQsW0Mb>f-_h!cmAu?5-)<7#$`9L zXO)L>1Z^EeR_=;ic!qiHf$6>KIz{h_T94H}c8Y8%j{nn-5^_?w!uLWf`KN2;{8x=2` zqoLe9!wNYxhXADb@~7lWp-Mw=`&|&eA?9dQOii_%p4m@A+|aSwyU+ys9WF=)>)0HI z+wQ5TD!pt)>e4Eui$oFaMkw#-He^f&mr=+MATx&(Q#=Ve>EB3)>uxhFrlC38VTJkl zNIk!&sK8ptPAXh8SV{N@dg?kHv`EP8*f@^+WyRcZxu2h)+pd+LnNJ~uSLpr);levt z7y=iwX*1={T#y(V73Hrbw5&iMQHKrzgA8m=TR%qwG?(l=XRr;`T?>K= zx|S~^=d^|{2O1zU>gj?!u4LGR2?#P0f!daicR9claD)QBF$Rl(j07&I47DJji97Rv z)1yaWp=1q(&aZkm2Z+7LTCMH?R+Y&_M5A{Y7+lt^wjaJsQ(`PcCn9@VD-(wINXmFi z0tCvVg2P10u7efvnP(m~8kl&O;8jd*GS39Qem&+T_{p~QTGmq;l}+a1-}2}tH3$A= zEDz%B!~spmHN3p6Gwt6**>*KMHJK~0y}mnGkQqJ-v(pYBEw34lrN0~edRAFC=o|_{*_0x=e(?%7CJ3|JCre5GXhimv;FQ~01n0$@|S3+pb z+VGUV)LEMGtakKY4Mmz@KdiS>Q( z2@h^SIY?_W|CnR2Y2S`bnfW1E*GL1!B<0ZmkgDt)f8v) zVFNl0;$6W+a21+Vimf5IYB=#C5l$Jfm$aG@jd~Ma|9Kt($M@@- z^`$QIr~c7@#(W8@2`<1^%mpHvz@((UY(#rAjh@4QC!>xmEM{z8SBEGjbOc2Gj5c=FJAVMwE`;L zPPb3Wb4Rryxxr=>Ba;7O6)XZjY3?I>hi=U24j&|fjcO=@yaCi?K8m9cyfk|=?p8@X zT{pKYJ}Rl0BA-1eQe^)I)ooUM26Z}-BZ%zU?A59b--?{VAHh;T;`o9+6}5v|Sk zg2dThA^s=3^Rn6>Z?`{(jqrB+b8k_${{PsYbNWAIIJW)=haWCY;=&L1JAaSe#^S&) z$J`OZK;+(yqW}&=6~S@<&_}+wAzJ!p!nh%tFJIh3EtOQ#rRp`NevhvV`66*LUL}m% zr5V%s#qHAOm-)pF(UH3Y_~It%Y>Qvq{A_#ysZbMrQN$V8v*6#14GZDg5Vog$52ZcZ z5o_FxOJq(B38+jZVCnI}{HiaF>^vQpp3Amr(V1wlaCd5$V_yW$C1>yYc?ZhqyYKh6 zMq1%}{Rfnbw072_^Z3z_Srpy|xg2|~z9+JybNC%s4SnIQ2|9E>D!`KJTogHILr)_g z>U`u4?3Li@lSoVFP;a-=wz}3KDh=eophCH5zP-kPOHSVr#h^$JM*2$ zd~@U?Zkm4JZ+pjw*XBOrwYh)6*3y#`&T{3p#oKAGVp#Cq{#N~KsFa7XNEIb!FE_b{ zx(((i&vIb-c#ng`@N?R?_mgkXKfYf7AhMHTD5M&w?)@ZN%}imQ)$e)pX{NB z7qjDu8TVS&Lc{XfVg9YZu=UZ&4Z%GbyDJ`qHINxT+qYSa9aOf=ktBtEt>gKN;2!K0 zIzzGA%dr@W+56Irx()iwYyWZe@3s73@zG#Jsaqa!cJX*oJW;YvFR4pm5m8?eCxTgA z1>a!j2|b8ki_S!s&AR|nYX>d|8WT9}1+~Z-{g8}`^MgxU$X*&jmGds%yZ~DQ--k$T!(A`vtnL9QcXF9 zt&w4UGE?=WCv#nC=b9$DLPHZ}>j=KaZeLg6GYA`QXAKKXi%mj9o=OAOeUu2)>j7q2 zu-+Xp0iAT>zd#WwVBJqXcQ~Nncn|YoEdP%8J*{e)F;OGJtneaSH*iAzl_kuVD{57 zGh?x^7N67ciEY24_4-+3hL}&FtTUax2dbNwlWM!u^yK|zo_yumR!8~w#^jNpDHa!x zCd#*wtw~|AW17)EZwK->PQi>x%*dhWdlOhV*i6}Ef@J2TZCon5lyfnn-0%}Pr+?Ne zwa_|Zt!)m&q&p}fi@l+3$ng%Y$p~ksJ}8?%4NfHbr}K_RZ8z#`?77)EZyM~|!n$u> z*Vo8+VGJI^mgT^1@y?;62X+nh9*FUK2W4<6Dw)H~qwu4$HT&b-+%c<+-W(ELDv7NR zjgdwU^2BE7-i*51FEMA~bA@En0=Ui!ON7X-y>K%so8+2!T z`-XEPEgVA*jAE_fn|DXZwwd9H)RsM+!9*w8TE%%#u*q=GD$x55peJJv_BavkK*3t* zjkA}m%mTGawa;a4hW2#X%}bGnZ(vM}LwzonH+p-A24*0K)2SvXK97Er{gCn448ew% zcSlS4|v(v^`wY0c}K+XW1#7gc{HvG?&Lp)_lzwGAq2q2tq5*i3j@Y zyTJG68&XrKCej)R4_0h|+UVCFX}Ku;?b&sRCw4Tb4!d+7rBx8=FME)46rjm`9Y5i1 zjTX{Q8TnZK5)r#Y>6Q5Yube4q3G$nZz_tf?KT=OZiea0bj(C%LS+hA449oYp8}t_Q zHgwfiKuWG#%)Oj~9P^KMNV9o9^<`NzsMHym1&u~?F}C<2Bmbm5Y@51vd>s`pgZrRr zy=C)+7M;v7mgR$5*p~L$v+B6gof3TVj z_|IGgP5>g!?wVnCM~K96H{8v&v!h&hBG+=Ye*jJ4&?Ee_WKaZi-lQ6|ycsPow{E1o zDgBk6JC<8jAPw*reRChG@G6_6IwD90>)A`_9aocWAx#`%!4R$cf^+>G*&>zp%w^b+ zqoe*%*cQp)Y*v8tnK@>LFtoljRJ3RxDw)@fHS@GT`USog5#f0`gl)p+^)FBYg((YW zvV|4GTOjFTan*@_EQTvA%mtM8;2d)7anJ-_h4&?nY$NseiNX_okE}$K?x@f*%6EW0 zJB)jza^jq8UWIxS!TLnmGEq*p#`>wsVV=oW!?StzeT<{+9S7f2k;hA?w?4<5zgcPTQ(}YtRAnn>ZN&F^aq^H8#m?g9P?{_ zmiEDpT$f}qefTrp%G|vq5W1>zT;6H;sZGv+y{FaW_U~4RYPHx`*c#PphGq8ec8>i1 zME%a{-;F!NT5P5Io!!4%Kl!~Fza#rHLze*=t>y{Ns@i)i5!Wf)1x@@54Nfd_2W48D zL0PmjJ;{B84nZ%ssRp5=Qfy%h;zaeshh3*O`RDi{^(NH$;CaBtOU+TJnlz++sjo{0 z8}S#+wp#sZQh$8s0q4;38zqFpepHDHmhdvh^*{oQ5vk)7c)8};z(E#rUt+RxHn_D| zC;mc191VS)TBT+J&=73QG-tuTnu#(!{U|KF8gh3!+yn|LE^?k_qjQSd$(zz zB7TM(ZsL<|5;KvArsf|TOI>SB<8qhQ$N zpR!mg#-@WT{pMJ}Wfx|Chr_3QfKEmcvE*i~xg_g*&>*txeI7(ll=F;0StLL-jN-(l z21#Zv&h7BSoQ*TN2+S=wIDJiCYceN;I+&xj0E%G2Xdp4-U6>lQ(DG-$EE1GQ{>N|k z3`FDslwAV-gmX*`;yUv+)Z7q!7k*i6XBd7FnI&kPCPRZc3FC@(E=^#!+*XNS%ts<@ zw_^m;x{g`|%Zk0|w0adA;Q6Ffx8hE;Vm(WC3=CMTtlI%qsohr)y=iKqg>s+xpL7e_s8dcerm-!fm-|Pk%c8*HqZy92m;lw1ppKz$Z#Txye{IlDh z*TC{p{@QnjL!o3v6Q;D{**F{+9JqVT==sb<_!rCmFNlizgW)=Q35!F9gQ(i)r%3^NR}k;`v1%$;XqC{I^(wPTqBM_{Ecq zj2eFNB%`>NUv%=Wo6oO4_&*C(AG3(&xC&S(9iDw|IPpUHY!$LocN#puUBnx zmTCw0zlKEqAN;RFsNIq5nHXA6|I_~0`SIX?80by;VSmeE_V4$IANIGys7z>pDgTyE zr(sSV4mw1XAGTU3rS}`t_5UCIyRmic)a%60;(0Gmv)w~iqC*9bzk$&7cK+Q*62Cd9 zN0p-Y3eFJ8xWL(S2g;EL`~1^e>p}f9Zx$4lM`j29o#J)g*1r=w)(Ckf?&l+{0#rh= zQ0rlg6xMzj=7eoj?P>pVEeR+(T1@IA=&d_B6r9?@JU6~MJ?^t1SC0SFjpFJ=)<2yt zwoo79HeQWtjUIW}6g4XN*d^n4@ro?Xf#vw?xM{u56|t{FBp0#bAiM^Oi<_41MBHSa zhe?TUT3YNIs**-{zvjhLk1KoAZllC$BlQObM+Kgt z9}E8Sl8Ki{;XEANav$8tYq8|U-Fc2B2Ed`0?SGjj8tx6`34lT+>8}Nk}^F`<)ys{B>6MGrsRYh>fUM#b6$!hKwz{jz@ z-}|TZd*6-|+XUi+Ue#BQqba=36iYI~`EVUt$^0(++Hv|`eY5!xc9BpWg$7x9KEx3) zufU;yd%t(w1~Ho<7DicPY?b&K6ZII+#T4pWVe?zX{OP|Dun6o1XY@Ml>BSc=!y*hn zQ?GjX?*R0K&v-arEst?;nmj4G3md~YxjwT^{n#INTUc^oW9V?%9v-MfoBJ#IiHDW5 zIKw<3N-N_Maw|xoy#hqwGHu%?=+z+Z>dMYqGBnTeAkR-cRsre=S3i;ur)YC67EbV1 z1`!IX;x}eP@u46o$ZfB`;4dOiB3N^<2`waU4CrMW5;tZsdTe=5NR(plV57soEvM`% zS_*&irSvD?mAQ%j)cYJxQho1iG1dQ*VM<#(3JXAl1z2T0!A{n6XQ6}G|0tcyL{|0pxsWL$-s}Voj z?DyLHrQux9v8=lyIUc%=QwXbLXBMp8-@03lPBC|!1s;XBTH=qw-@!SF@{OuspzoQ? zPjySc+_GgYSYiATw$wOjwBgc@}nWmIew0F zQ1TaUlejtU@pG)}$Q=9pW4lA(Ms}Lj;&0%;InvHWIeo-z{X3(4PU2GEUBPac-zf`zgo07-1hxY*u&VIm%7Y8SO?&{FJ43<)^&M{0hiB z**r4j_aK@C)SW{LPQ%har7#%hxZ#95t}BLP$3L#`1{>Q|8b_^TKO5X$+M|QR0j_b7 zv(MGA#+ykEcrrKXVT=S~zn9I?lc^)bj;r_%aiF>1hMP}4sKcPEzZygP7UT0<}0u`Bc7cRAr{k(m+4w{l!#D0tX zI8;%i{vA{p27WH~2gx!Jz!)778-g238iE_IYzS^Try;l*W(y1-mtMsCdhf7dkj`C= zovR0Fmx}$Xlpyl8^*=t0`J%{YuB7lfj=94-l8E)V=!b5Q<4g@*7+8YPzSNeE57eMG zi2T)4U=x&(Z+i;&|7RmVoQ81|YhU&wosB?s)j7Gm50ob954jMOCDw*}xr0-$*jix3T|`eW-GqC%PsZ3;tu+XS%=M-G+= zunfNOHYNTuPh1JBL=1*2@dblO_KO#JS;uk7@u{8>$Q@bMYVAc6W*_Jw#UGVBUxYEQ zS1;f)w`_wRo5PQnVEVM`iJAPK+lc=?Y?F-D1Aso@iNe|LSDpLCP!=k6mi?tyNAZ<9 zQuWtZ$h^AzZ%Df?m&x_3T=OlUN>1B?Y9i8FCJgkhktKmdW$XU^`}eFoZrOf_3 zK4o*HHA|Z^4ecA!zOj&Gp|Yr_$EB;=$K%MxF%{Sgjy3iCt`F@oGs@xGHV7LqIGGp% zLRZ$4+`@1akYrYBvM3HC;WV(~43Amp)Qy#kSP4^6uMs2tP7~Uv{-o8&l01>%g$d|5 z3VJU1F@uX8*k?$Gnb;Z+ZlqZQ)J|Llu8GY+ZX?Dr17aK;E!rK-g=1qa#@peM)=t`F zc-?~;V^~7ACaUE=M#+YTV6)qTsvWy7tu(daS%F57pFy4D!AclW+aG8mLRm)%FSF>xE#+td>D_t05DpYys>HcQM8c%Cju8IhIP>sRZO1z7-*Xq zdU4mH7;Doeujl_YLx0yr4S^sz^mko_{MAn)kzG*acZUYU@JB2cAnDAYFZScE117jv z;3Iz3MP1;~l#w8E)~~4n?m~YJ=}Tf**P;)#$u}X%SSaY#D{?A1y}7~WmP1l%Ax=}B-h z21vOF;`Gh;7L_Y1@8fVyskcT>xwQ9|AZGjNn94vOdYq%_b<#uf{o*aTU6w4~640xu zXRNv0!K&tXYBdWvaM=PkL^`sureC91&jPq8sXfkJM!FaOG#h>Ks z31SId7VCtZdVU zEZm1gr?x;|YZR%Y+7SJML6DIt^^MF`YGkZCD0QCw9I+ql`60%BB<50wdZvQJOO3?5 znghehBf)NaXN7wF2GucBu#5asa{-$%q!{~VTNvP*T(bty8rrc zLf>;R-T#2n{d@T2%@oD~F{IZtOmHbsIv<)O7G2ZIFt+7seBuy+d6p_MW4~UIpUG7&(}B2Jhoc?xz$v z=K+k8SEb^Tfzql}@_w!Q0|w$i^8`**ly+iuhBSoG0FbXI|4D$zPGMcHBBQKO!q zxRnp1ECu3M-~OZhL~x;l?pgQ%$*3a~*7kHzT>(g3ri4V^``E~_lMP9Tn3*4a z7O`?Os#!2rH_?PPB5_r+Qp3sER6u}8#-2tst?1R99O9Bwt665qY=Q@SWX>6oV0LZt zR1{DtG#QiHWGVnhnIR$*)cD{`iWMTx$mHY9B<;0$DSB?m96dm6EkjLK(f+70B8_HM z^aou}l@{21L{Q4gkl8Liw0jo@cc^BHb(_$D17}D#Z0x>(dRA%@ceE~2^V~(5xP}cQ zRaX<4wCb{vkI^{S{FU4*ITe0*Nz7-0Jc$-$;)~$Jo`@@Vkg$6ivHAyzV$&c;NFnD$ z%(Dk}8GEh$%MeX#EOcb`L5LCf!d`<@#dTz>4Q+5HpexZV{hRAB{|v?aQzX-Y7QN0@ zqxwh2!dkRV&f5uX;L5$*lD8F_Tif4fpQ-nin!Zh`=?jUTtwF4Ls2~0_YUWC?2a;E` z0aXsK)jBFYfxNZj0mXbL=J~+>y2uY=loZLwBPBRVI40Yf-adrvW&7tbAzzWGk%v1e zi$5Y0W~OxyW~JI07&cgqk z1>^KiG)i7H!nMZb7+e3G(W^USfx3XH zBc>&T&*B3Pk2ClOXC1iKeS%T;EYCZCbr>MQY353J-$Vvog^M8w@RF}hz6kr=k@Eb2 z7L~X#!Dl%m{}b~GfVtWvZmZDWzC=bQ50c^;Nmt_1D57}fyT^x#cdP$VyUNX}tn?)5_)9Z-Pk&*o%&8MJ4JgL?)Sm4X@MD1VOw3k@X;TXJH%c(JGvk4- z5xkRIGRtWOJV-GzZ$VRvJ>dxZqTN=1yRH48aiU}e9-_p?$)bl8A3Dds=9l0&xwpV` zY}vajTag%&MTBUv%BX;d%aG0b6^0B7B3t%nAL+`dMrvyoWFobU5@Gc^FD+dHax(Q2 z@wJ8AjK+K?DuP!x56a=4K@sxe(dZ3JME?b{xWhwq``PNv>V>+HCDhNC9B`6=kJ_7QPa}X%q6GsQV!OJ^lw?%3N2u z50~x`x@V>~>2*->J^ngnlOCRy49?`YQ8I&jn+`Ac^y&q$|C9yj=eot8>mVUg-P8{w&FZ_hexJ&^b; zeWbTE8vGfGWrk-}mJ4>_(UD*kJoTX*%c@Y6CHkU-R(-`7~q1Olzj-re5@cxB>H4;claR zMx?ygu{PbcDSX5PvV>EwUiOgaOEP!CwnujdagBn}&>rVA2MxigS+#?Kv#@od;0V3s zNl;tGVFHRkQ_XysFpeYgB~NCJn3}kx6{{o+Vn(W~Ezg)2^si-+l=%@BNV|%{)5Z?f z%cmmZ%r{);W{;SOfDT5EKGJHI9&kK> z(qxn{N}v>bWkQ=T*P;@V5`geDy`&duqD{udNzlAkEt&4!hAiWu5PX&1bwIw7ESUkhx#)sWs%n`9)K)iH}$87*3XLjvP%*h3} z^%fhIdCxsHe;JC9x;mN*PY`>kta-`w+28B`-a74N(}k9}p< zJeqvW(w03ZGKe>i+1i8aPjLV_dOYE zmSf)w(~pad=*N0M3p$)soo{NoQ-idpB?57u~&Qf5|Vp zb~g%)uH98%6GqqWj9vVasP`tn=-S=9n_qP8uKI>wbnULnU>kJpuKSL#e)wvX)`sG% zRLauHd*l|T(#d=FW`3;(22l6#^Op5o8~^C3ps~k)SI#pdJFjB=$sWAf?pa}}cqUm0 z`JtCBNXotUWvE)&#d6@RT)4>o|AFnh*h3ds=KZk*dGS@k4V0(WLL)F6*e;=UHvp z0;y3K21vbD<%(4umQ$|GdkK3didlQ1vc)cO+Dpfz_}E(z196TAdt3mg>z?@qMAM!C z9Dhd@-xl)P2OTmOA_La)Frig|>=83F=P@CC!OX1i5dDL~RgtaVCj+9XaFSWHZp_!2 zjk_0Tg%EBZ*$_-M@=0bW8|U5dmcA7}v;3;deDm0uS)s+8M^!c}3prD>-A?D7*FmT6 ze><&9rc;c{?E3{b>L0=_;G@XSUeNx+P*BC`YX-PM1$)evrioo6^}S@E@IHgMDd1&2 zU<(x;Fh4+#Rle+rcjCd|#Nb5(bH@&oe6~45*%^MW2SZSIHD<_mbxmYlc2hQ))jr&` zOW@`1_ruuQX1F4#kgki5Vw?)=5U}b58E1Lom!YK8013)H(M-`Eeb?9$>|tgTyAGpS zoq<{rOQ2bcv}obC1z}ZLy8kt*vPa&I?9j9*Vvn@%*PoHcYDS7fKQwts8-gr-lg3YX z3oA>We<|7^->Gf#y?}hmN7+x0E^YEX%zS@fW&V6$wV~UU%r~ZezJfOOoxprow9mJ< zO?}_ZM!w_Q=ld2*XIC!403R_Q*Uv5!59^4DXCkXJA#Q+TQa$p#w=&FTR26Rv(S1H- zsGnf-#D@}>W$B34j`mJ~I72KOwCH@YF5FsRiv*am7h?<$&xXK7eHw;dl-Y;-bKnz9 zLd|V`t)cTv=mpb>MMiuJS1g27upecaa}w&!klsL)WU&-PACj*iq9Gpg9=s&uQV`P6%^JhbH_1I?fmz1~^QMtZ{0 z?Uc*$P=#y}8U=(_I~DA}@QylAh?-@Ru@I2cQTt`{WWSX`&3OrJt+^qbw>D=RBD}9% zzVVaI%^TPL@!@mV<_sI03&5NE9ni^ZbFLE5I%{+D9~obFf92vIKnusuX=78W6Z^Rl zfz<-MCNl|%BjlZFdw3W891!|*@e)fPwC!{?J!M8Z7+Q1m-)y5|cX6-G(8DU^hmkQr z-X03wRk&(U#+9&=Bp%2hK11{tHVrD#+@OjR5h5TfG;UDFbNI*({bo?cCkTx-(nrD> z9g*-0+=*4_N966J*3c3DYaYI5Agi4(JYdb~E)lQ{P44gq<{M1-f= z)u;Z{PCgLcX?~PM*jcPEO_c00FM#gBu*b1$gAMiFB3s}F?(q`*6u{IBk-D6L0qt>J ze%2YiV!066je+<3;1AOGINMO~duTOU4{jHfHwU*jbt^?qsM4nMhO;Dgt~QQnG8vvu zvUs<$R(nS~(0607sc8_k2nrRL@1TUO36*YncQKlj08wT0d5XY8FD{anOVD+j0E>Wk zM%nz|;(w(i&W4ge_Zjo2$vhWREuIs4fc^g+v!MS9bgQ>-End8+CTZ`<{0W>$B!>t+ zFZ8i%I^#ZUPe~x9hd|y){n-?07&IPxnUd5`1$4&@dPOgsMLp!o$DUU_tE3D4jigse z)o8>C;e(#+=2Z@7a`}(>6Wm1ruK$5dTScSJ}aSC)I=YEf0sOQs_v2m|L+bsh`Njq_n|yrT5{iBOOp z!hYhBZC85<{{u^2AX9Jnan``2e!$=u$c2rW9aJU*lZU+|f(y|Qup2Ig8U6m_^knmbXh?g*dvQLvEvC*Carw(4vV;0_lXyw_8K(eHhdR4S)6>Km>QDzxZGYR_|O zh=^6{MX@J&quNhuH87-lZUmusBIS)aICDl7F8bekTKBcq39X5#n7(IiodYf~7g8L> zknrd~YCqR}LjbZ@JtKB<{|=<&l~;U%9e^Zz1p7pF-+_W1bOalls`gt7f!1fZ1L!Ed zgWA&r&`#?8gUI(fbm>6yJ+dRrt(P77t`PZ_`*2sGik>!qQP|6H7k|x!3ve5nM<*QW zIsW?E9{}^pWde0Wy5MA_E}W_>X&>`^ZXLJ>S3RaVYJ(SiK{E3R99(hM?+YQSDBnDd z&dgerzkpH!?zI932??-8K7iLJiLy6z%sdWVY!#io|JL9B^T$=UV85c?wqjHpgD~Sv1>{OF^1B^=0Naulv&s2lI zM8QhaAyOB-C?!NX0z|TKiKMlPm)=9CN>6E_;$}14p0``wfQ;a6w}YgN)N_z`PtNVg z`Kh&)GCS6_2ivdXZh`6|!Q0Ne&3=AK&o956b^v>`!})E1%I(})?=W9?sgn&Z<4{eGK*;OHZp4qOgf2EdDcfGSn**)e|?q|cRYnMJqrV!LcN$zbtp z0*Q01GJH7lRJrpu)C7YNx6|)7&f{)6ZnolJa74Z>V|r{gtUbM&uDTNnF=#YKDQ5i! z_!LfiF*8s36J$(^n-|fXaGqGz;&KTnM&I}AB)ozW5=7(EqW6K5JUmKRY${+zHSuVO zZNUF;8n+I!qkL`Jv+0CzL87#P^Lq6%BpLhu6V3j{!(sZo9)m1cx)3s6W3?!>f*xhvQn4BQIJg!d`pYoi8{bY1&he2h3gxn zE_ltZKssk&RjKf|U8{WC)hdc4s@Z$#xB1ZLSX2s~64jpoYRGL2^NG)>Afkf^qLN@2 zTqW^7aKJfkYy|$|atg8lu_{3h-$>x4M((ZJw;<5Az9`&1@U3KwV}>%IAHDwgrN#b8 zUKO%tEuslSB6FZn3kL~*I6yYZJ0XJwBTMNCJdR}2*T^1V=p1*5yQGKs2sOl3Pj*D) zunm61!@3o?Di%rzQT20-xkM5okQ+%cXsulQ{tDD8SC}&*W*PD658D5-w0_ z7|QcFGLm?Y+ir(?BJ~3F#L;d()iPQ|8%~9GOFu=XDvurk%|j=9^ay^3&sP0=yY_AL z$$E^{&XIk`HvI-`WLS40*`rrj{s@(CZtYx_AIuDC{o=_mr*;lA9ES|H#8^G>ZGH|K z*&1bneRSeE3s?kA;jnsJ^*qwAXVU8VAK0XJHaPdA0=q+LdkOYJ<<14@5q)Uz7MKXL zI{DM(7F4_QKlm_@#1Kum2;m!)Lo_wrY(EBY!Vy~o!+!v!*AG~!J67i9n51bi`~`YK zxhkiXoiUf%!YF>QL8(EdW~l%lCc=`MR)ZLT&T0VOOvb2*$)2@5_#7a5Lwm9Z+d#ks zIk`0PgT81_NyF5e(CB47_cZCT+wcPeqjdTL{190gjSpmCXIV3Ls$on8%&A-07v@r0 zLaElG#tEHtxz(T?brH>ZE`=D!P5P3>pBD;ib%c&AqeSOb zvmN6ldK$;8soHV*hYiH5sW$L~jl`>|Jn(}p#jB}8;KAgvp~nyOO=)RXyL~GBx2R|I zT}pAA3wJ<*uFS>}&(bWVwas-1asejpZR=~X<2q*f6)y_QOYXKTKc^!+*8Cn)#5YoQ z1DC?(^BX%8B}T07{fRQX{taPsmmPT>)iVY4B!fL6sjb#RR0Tby*lTue2G~#W7zmqT zy$B+S{ROfKm%K#QacRtPcWJB!%ck*ENg<6bGzR}e<N86vn z78ozeTU}8J*B?fV5^5v=3QvgBD?doB7Fz+dE9)utGRFxq6#KH-CciA(L(FEe3LS^m z?3z=5vDJw^;~K)^q3e}aFb0oP_j+(C1J3M;YASY$3~Si}W-&z2vgeXmnaW{4+*6w} zm41_=V$}Jw91eFl16b8qQB5}AdYt4Lr=uuzG zwaFK<(qKU$Rg+%6THg#ck`3KkeJ=}3v6U^NWgZ~_sNoVy*)pm*9+A;RlhmAZP;QSxk0Hy-gb3W;j?Jk)hxh8T;n+G zQ8a7IJk$pi2Zl@;$Ex1iOpp!+D}}(yhB*86f)?G10^l3g$!VGTz<#f`2qY5IV>MzA z@flXyi|OR~&muWNhSXaWH=FlBi_1b^$AE4(XA^N{VEj0vnNl0;JM;oGyeEQi()^<^*8bLI? zYmGQoh%%+cKF28)6vmsrJqe?@Cp3k%5^1(R4m|*Q&kU}HHU;t!(oE3`MVJAmFj}o5 zC_TiVAzuknGFw=9Sc$rV{MgUWj|U*s=qhxM_C$x>AIcomU@oiOYqOeF#Yw^Qo{ZR| z>XBf$6C(Ouacl-+rOm~a7A?ZmZoEW@Z*$iUBuYmz6_1Grv&whO zsAg{Cu{qe!HlAj{pQ5bLgYFS+AJ{MM5p8$=P)D?2E=hTg$Fy2>FV9S42%s`M1$8*etd|-X&sySPNS&S8tIs&z@ryf9q!Y2> zn-Im18{Ta8SW0pX0?MoM_@58vG)RVNTFqm8(Ik$ykZK+~L%!DI>vZ{AYdpri4a(SI zcp(oH3w_W7XioBQGO-k9Y~p9=a00WF9rUpax}i@@292 z)cdmyvMRuW;2-U3|?Bk~C}1V)6J+m1+|;todS^Cy9h&Cq1!`EhEAnqheg z53^(=P!f{P=dr5_3W)S>cKR7i_m+P`mG5b%cXP|z@dVXz(P%J&x0roebPd630N*4w z#--wFp9|T?m|YssqBCTvB||)0s(oHGPfFUoqr(r6PR8m0xSR7EP0BoJ6L($M%h@SqI!1v9qJb_Hm7&Ei2Uozw z9wAKRp=B-hHvlT@sR3n%bvWjHQJp;XrjQnQ#G&h+aK>iiW7IB+m?$PUnqE}1esntK zh+3%Q)>KU9ExWH(TI?813mvNQa#U{ZP0ue{Yyz}*huN=YcCMv6J_kOHS+Ff(?=QL2 zL#U$x-KRVKvqLm0mi!3gEFH3_{~VgNm$Ki1M!UlT@|n9AZpQU-W^g-$rB2Fvp{Y~<8%%xh2h5XikGySf6TuVtYJ=t*5D>= z6YdyzrA%LGJk424&o?UNuZox{6Cb^5W+J~!zg{@HbNk@9iI5BD$9WWJ85}o8)3P}= zcPgeU_TKsd7`fGSI1CS?8b&%Af_lvJp@x(j{g3mXSMk+{UI!O?@tyDUIQ-fN2d=sL z_EN@IA9{Ji;I441r!)QR7+v1!OyB2le$ko!?0fk|XZn1B(V2eQ1j6J6*+=+AXZq$V z`9){?#+&#h&h&Tji_Y{FQVX5w9~@2?o$0HjHM-FExrQ*h&^I2#FUN&mMNH3v&xP!V zV!a6)MfFFn1W11>Do|u_0G9(UM|W<&L^vzsjF-TnT2K4f}ls=KSJs;jH3tGgqEj{TL*svOG7 z*{lhZnPRiXI+;q=tdz_6mCXwGW9rv5E2C&-+xxVv_O-{aA>`MMzp_!KIYf+&%728Z z|F^tKO^A;j?!CM3a=$@5#c+sK!S6k$J>`jqkoz5 zSjY~R^&ht}Wy*is#v``}9qgC4XUKmpfKC5Dv;_iapWj&W7t!%QqxcH$l00y%`&$l@G>}Fkl8^Sx`2aS@ zD=b&!Zonb?FHFa{%hc+XebyTD=8R8oP3ieu-2Gk(_GYN9LaGywvH*ufH=YL3Iv}B@Gi=6H56R9u?oAk!M zIt@(Ky!&X!ByOjf0wV~fn% zHq8xrP;0Ke*2MiO|3v2KAsT~1D%8__yNwCJJV1yoc8G8S#2*P!ZHM?I0m4ZLu60SHXSBwvinF(3-5+f@uS7sOhSI^y;M8Th+ zc`iZ1s`dGVtgj+#G-9XE@d;cB5`uBLmWydWBU|9Txf@wmQcoWKzLd+wdq`M2@Ouw7C(gl!|=Z_OZ#w?Gk2g11JsD&Lp*YE`;XkioYh9CnC&V36R`G)a(#wmlQL|2qoQ^FCbUKkaF0hyRY68UmM*z{;4)J~h z#0Elqi)p&Wjdv0t77^kDK(w{@+uWZt8GMP~AMDvRhQW_e4!n=kxaN^=InBobHF_fi zL5KhH&|8B{G1h+d3Dg) z0L-$-Vo{adVi#~Arqs&7IyWfMx(ESn!Y`~P?qn+!)@ENJc?y6b56_a_s_^mn3(vIu zZ1NYLW&63sU)a<3v%z233ysi^GMnY=g;v6c@2MSQ#U+{X*Hd6s23=SvoF8z_!`^yZ z%tqM?UTcgzDO5yg zc42mEq~E~@H{;N)gK#BDF||U+KJPMe62Y9!Zj~|H8`C5YB~C5PZSL1tGyH2 zTWRAjbyjQMchQ#do|0-b>p2!{_<1hU-U^fJ+FQn-N9O`2}oap4wI1#)A zVR6FvwE|R2gEo2xfMmX=_??8=bZR{faOCfZBf4BmSgL4csI(*9&P)A8MdM^M%2+*nR2*!=3<7m_9D7WrvZ;aGc@x9IoO&hGE5I zZEPW6K2!q*&gl0sUOdFQT?^jj@ukID@hUUl1<dmUk|IpgKXg z0B(|L3Jl6XMg~(wPdDI&JHpb(?@5(=!j@P)l&aup0Bf^;fNYqkI!+&#ghwAe#KB3j zL{}n*_EtePg1&1~$9sNk#SQ5QdpK>?cwi!Z65uKQlfx2cRQ)PGN1Nfi zwusqdND^W`22hW4L8{5H2bqg=hG5YW%6MYYH?ZitXr)jJ-$6n;^RQ&)cLzM>0)43? z#p+z-(6@qyhT^yO=gR(u-dG@4?15J2{_D`xPCRw*S!7T)z-qjVq?}|8sDba%wfqQH z{+`h&gHvTuad28_aJs(`XDcxrr7(@puKvPw45gvL+(G2!U99gl@bz)_x|_XcXR zu?Pe6*t>9o76K-@*9gli?QCXubNucH)^Tfyx_!>{FOVqQRYTI<89#Ew zXt8HbGSJT^n7cscTEJDwfENQ8P8Rl9{VS5#zJKsWbA$VEoOWunBN(5EW!P2ozlLg?mwxUv=Ohqw)kpzZl<;k?|2cck*0$oIVNd`Uzx;qeB)2# zN^IH9Jtl7dhdC0ot){o?W0$7T5K$C6 zOZ(2yynnPbX?yg$4JZOqTbu%E7P<6;c$T(k*S@{)e&$*4Ym}f7=w(QhD22Se#PX&D zZFD)nRdzo8ANDDSXTK6srtnHoWU}o`+$%W_#bZjN7AhlJG9#8L> z9_WAyL1rOx^V6Y1W7hur^qTEmL)}i$7GI6|`wa{@G^XxZM~Av~)|Z2TDo`w3JdD9| zZKe5M8zNSx={Yn>rKJ&Yj$g^NOcb=7Dz#c{u)MHisg5(xvP8N zvjS}}zdw%e)pgJZ1X@oZM_ZtK3AEY(`Zs|lw*?wXp!W@+KNHB`7N|RcJ}`jpAP`ZG z!^xrZJjUvJ11O(BZ4h_p4Fp7(gErs14!{ z{VoDE7(fdN)CTcveF%XX4WJnWYJ+&Teky^s8bG%bs14%T`T?vWSAS{%T}Gfb6wlT_ zA<%XMs4IcmAfByP66iAnXg6jHZ4ggO(Sro~!T|b^K#7P0Xgq;*1L*$hyyyhQ^!nw4+h}sLk7?#1aiayWu+XQqJKmnB%}u)N1&`Yp!Ck^Df%k} zN;81I1}4=#v#frn?;`5t?A0FXR-IsuMV09WH>{va(yzx=L4s5r+Wp?(?bIv!A$rOv~tW2XVI za3ykg0idV02X-|Y>z38;f_5;gl#*A$K#pk;8diI{(_CZy?*BgcfXMPb8H30MLu813KAFs-*T?8jpmv$qMg1&@(?`fy116Rdkb zusH+RWcr<7a$tsrun_7e2x)!>9@~mL-z<*Syt4LuYuz0YsxlOSm@L9WoyxL)iboM! z$($S7pBjGzw*>NcjL%=yHvh)<^Up^9XdmR}Ip$3?p?r)&Lmc|Xz8Hh$9286!sufpcV~$DUPS0FLp~he{S3sC&c3Ej z0Ve`}FThWASOL#r2<+Eihj|xRS#>J=D0VomgP5!DoKEBW6YBeE#`iby9i?N-Jp&}M z-Ji~wGp$TUp+(4q;}RfaULM?iMs7+<=4Je&`S?2yfxH;(nF+A`tzhXY2<%5U8In@@ zrT#p3)kmrZ6Q{kJ|5U4bt{ed8Z4eE#=}LU}90Y+6L2isX6~IyjaFx-hZTOBxb=15A zVvwr&PXf|t$pR48-V*+fYsnvDuu~FX`MbTAtp8qG;xby|vZ^;)lA{nEZMNh>3m{vy zWH(8%vg&4|B{|l2i(>gHmD}}uRXw*D^?Z$<-5xql1$LVO7O}t#bbP9|aV9!1SpWt) z;}pOj1ptmtj-RR`?pYTOg03n07VfBzRNZ4#RAhl!XggCXKiA(>VD}qf7h7P4oc#cN z4epGBU}F;aAVAA@P}Ir}@UP}ewK7>{ixAzU%61!NZw7t}Q=_dYEpg~X z*`AeEJ^=vfkFo&bNq?KFC}32y1ME=cSZE9B`zx@Z0mjR{B|5s=ApIk%x>@{=*8dIP zF;1Zu!OztJQiv2>`ip=BrZdJi{qg0hCZ$@?n^XFvg!Ot{Xn_f4MDzQRFRziqmeDd4 z8rTm{v7Uw6JO{hDzeEf3P-WRce9Cb5%v&pvB3MusDf;m+%%rfuJuuX(E&?peiz((Y zxLu}L-RqJ+EXn+2+pWfo+nUQOd?ZeNKNdP(Q`iH?YJtHS#^0}L@22ACnrSAgN*<2-zqo&{KAuvZMQ7IV9%`&veiI7)lk$(X+ zUW>eAWwOdn-+1~4{dknER2ESu+pp`TS<${%O)hr~ry4dCzJ#C{j@cZb;T>-l>xic#`QfCOx$M45;%3SmbkwC&64Uyno@K^ z2qmdX!8eRq;|fjJ7&reZ0!vPSUyhN$m9(# zZ=kT~SboKA^bMhct?7db)kbL74(=-a3~pMw!(7|p5#t>a>=x2-(e0X|LWdg7&vh|+ z!i@g7afkWgB7Csi#+9f{Z8a3{P*Kq^1T? zX{NhvVKTMW@n&@Iuh2=%^KD~sVS$2reF6F*9GTc2hab(GXpX{*C(ZHZp(5D$`nU$= ze%Ir<*3Np;GrzamI1OBcCKZViR~PQr#Tt|pFKzyFGKJ(qA#&J+3J2V;XTVrQm#A@{ z4YAl;i@=JXAmcFk-eDiFsd@+J^5dMku4UIu;nwOXcSKjciI+xy#NJU>Ei?nQyOP@A zzwA%zb5(o8ft*}TPOZKvp%oN=Sk~2KnYh$|#yHq(#BXwL%!x<1s?ETmxvacu3!YK# zvaH(86!#-N<*dc-89?nFT!IdCG6r1i%f&^Ea1$Rmbzceh8xwGqHtQTf z86g?L670&wmB2XfXJsmeUWlsZJpr|gOF(9}K;usI8>rFZ#s#Ux8<9nQ%)qo4F8(ha zfrDl5!$y0FbH1(E&rf&Vlk7*BtAH*2T&t%2)u<0wKNhUd!wp*X&0Unfg2T1!kg7Kr zU+;dsMYq+<+i7ey*EBgW?KuWnUc&BTuD-~X3$yd zsp)_fw>oDSyaRm~@BuD)RvZ;5s06M77q7xmYM`Jib(30U)*qf{_z$WTNnwP5doSsa z!ww)V*MRA04zF&G7RjQ#Ru&Sa;h9$-l=eOJKP~vEo zcqa1V@}*$G5;70>GabX-_MjV!m}}ZY5$#|vPDi2WKQUdj0Q1A^ zpe2B3De8)jK%=XCOkKt1x9GP)tgBDO3)RJFyqVgi27{nsIGhdYvncLks&lR(SF4{z zCTJU6sIf-17&jYY#%%7C(a~_AZjOBIg#Ceih$j zJZ}E0P@Lg1)lp`+7#X;-TTmM;2^-zYv%=x2>4?qt0o*56)KzJSUI+WF#F(jE#Xw zg-fVUeQv}jOFJ=(vzBL|aJU;ML#*Z>j84e2*XSdR}D<;emSKxdh<%K%;>JKalSZ|YnHKqhOAgPC!fRQ600b|L~eULRZ)Z^$`Gor$q ztT%Q;K9K>nWN#nn=j+SK09@JBg3(@2TMIL9sUcpzVl=w?ebi@+m(j~XwO=?`FSr49 zV)0Lw_i?osG`cpMmo8H+LL?@Sq(!jAHeR;q7h>419#1OO@oJ7%EpRHPAJI-|Y;_Y} z!|^3cH~%6DD)BZiT)l$nmr+mkLZ%oH8)&G0k?C1X*HvG|bObdcJ(THcq-nmq^pP@O z!l0Xt@a>o{jn@-dG10=HrO|{pk>qZ*NA{z34JFq(tJu|}H?f(nT3pcw-Jce(`v*by z56a9mRDSduU~X!NzY)1j$V=6+l0AA2-avmwBluxjDURZJO%ZeWUIZ+O&sXp|vxq)i znfZ!^UW`;b_W1xDV4}K@{Un|jli6RN6k_4CNep z^wbV8?MPVDxsW@fO~5k6wwrf;eE*8k?dR(dxBvto?dHWTdUR{76<==rLbNNg+2XC*TUk90 zy+-wWBg&&8S)+Qg#O;tS$WLOo*WafQ!|DDV_8Vv)qKjQ@b!@ce@K*Ro*P`GKfJeb? z=6m$l!&s#o_#adr7zb&S-mE53h~)hWZzIkvsiC4Rc{pogYbGXYshFsxG@mfOoL5r$ zkOPM*m9^>^y)^HO0xxb62%JVXL8EgZm7!qJ7Nwr=23V*-CT|=eF8zc`7;2v9iHh)H z62|+1u&AAi1rD$a#+AMYG{W^A{aqU|5WCA@_Td+h@I8v=na-q^JS(cD7RD7^70+=& zr&04yM}amkSc_gv(XXqT$M3D~yV*lKNr#@ z@+tMsM!n6`jo;&e$TN5meGrtZTEG+oqN^T5Do@=@-LC#|XBmB!M=9;oX01hYEo?R< z!)7?J!Nwi)zNRnIu^?pLa}jsm{J8jz7wht!P(sN0MVvTcCbApzT+^wBpL;MG!p@6m zv%UgeM(3Y4u)KJ@^!l^`Wtz`VW?hX71+xQkDds#7mw{PIC#m^LpoKC3B%6amuQvVh zQfN4{KTdlO@$)Yzy2`xxh&}SMYrvelL2l}t`c+Ejq!b)U=X5|eh#94;8RQUghz>?E zR=j%K$c`rE}4t zH&oITyrDM_cUnOX?T0G)(qYs2L*l6r6M&;ol*(^ueq+q~7GoAHDp`%(T`vMqqs@8^ z3dYj@G%DeT#9t)`P$z!pdi^C36zhiT2b30{PgXeV6e}hGiC7Ocy;`VO8T+9BqgNRX zQ!V&Kqt;O5;W%sI4}rbFhWjN7cL;9@Nh6&FVU1z@(7fHz9Kl^iu3aDoM=pi-tjI4N zwQ7eQVPIA_g&jto2BEja$y3ttY&7aJ9q?`X&q2tq*?+D8)uXu({6^xO6D`-@lNfy=um)Y61tcP~hVsmo7p*FGK(lj6QC@Nr(aCYrW) zMcVFvx=;1&NOgDe)aKl(!U7^4u`DA$R5u-82XBw3E)8JCo0_+mtKVOx;Z^fu4Eqs& zO?~~m<`*qpy&zdfn|Ea_Ds(+Mh~?ih;}s1xKDD=1R>mE1s>|xMbW2{3;y9^n8F0bG ze8~}ivyra)n#yDEVqK9j&h3bHpp#->$enkY`$8}?hS8C-9GwHFgu^ny@ZE$+Qwhd3 z&RZ#^92%Q7F0>;6*8{qvggAD)wmqK%`uUSiU~dMb;_~=ykgyEBWF1GHolMgmHg%0T z>TCfXM#r6YY4O9Dpy4`inwpnFB5>HtK^O?-gfR{o2mV-DPFYT?D|#uqfT4C1_X{NyoP1%-K|k_GspN4U$GrM{eaGm1tM%Mv@1+Ve#MhDKM8!uA!1IjnXk9 zR^-F3LL7t6AAVwJB5=Z0vfC)1GU-7A)L#b28o2Ri^cc8@XUp$0a zUPr2O36z16k?LD*YpWh#mDQ2-qftYs;EU?xfitE6_hO<9pKA0yat8iqg$XXPk9i98 zryz3dc%sJ|(Uz!20TVtd`8cAUoQtE2qnl8N$#{kYo)Rgd&xd z@j1$sJmg`wBpO6N&Vqjv@OFL53%c%={chRz!{Oj|H?W1XTzv7^>=tlVVi60+XS%uu z3&N~0r%-yl;kCm-pvG--raLc2`tpHP0kwNeC!B%XQ?YLl8V7qXV&@G9-QnO36A;{< ziA4=ui0Wx79gup$TF;Ia&sX_=S0gtYZl>ws4lln1?R=ZwVZOxP8hLp|?4>&+;%t03 zSYjORIS!EUs>~Y4?9L@2_hz)fa9ZHxPV8oKEy4;KJgjUJfVmnXr%ZMFGq5gN5_B!< zw{B`$V4YZDoMFwLj9LSmL593mIgoQJ@Al627j3C&#){gupsUJX^lAF_g@KQ2q7Hw- zc0O@NXA`zWz}WE@>_C9JO=Ks7kf^*Z-A3mN-G~^L9aY>H`pQ7eUg3@jVI_y&K{%E; z{-cww)MlRy)C@#Zr=HkG2}Yory{HLym*R zb?vkJp*uu>k3YNzuQ3vx&d#LtXI$Zq>yxx_A_@;WE8~uDv<~o+<8>?0AW!YR);>)s zH&{?*&G?iQ8p5Xfj%8#17R3wNQP^B+9;YNbZQ36-FYh12$RsO#k7>Rg{8_6+VMjSh92S~ z+$Qu0efm-ma55kVz{y;DLfF$Z+Y|2YcQ)=VGx`dbyAAS)YeVTBAbt38>10F=zi z%)&@6O61BZ;-g4^v@ozOPL~8qs^qLgrBi(Wrux9$4iKl!`!=8U9`qc2nCSFkRfdMi zbp%|M0-Klc-u6eZ=oKueg!by!S@UZ2*DQ8o`=u+44Lr~pdkzkZ$L66Ub~bUB3<+4L*5kkj4b8JvP?x5 z+Ag$P4AHt7%#>jbMw)ek-%JqL>ishA#s-Z5uK~CdZQT2q(N`Ee13lCo{6znSO4k+_ zRY3)-1)Dl&C3-j<)?xKn$Orv$aLM7h4gWt)@fS5gVdDT`aK+dVEVr!N@26qC6F_xT z^}0Ex`Mf9$2j8sCTa9QTA95=O0_jxRVr0(xMP zzcWputHE1%|G%bAo!I7%i$y37Z5JHO_77#@1&f4=k<_!JuOq7$Zb=m2BS{UDp z5fi;-5%z?hP7Y+G`BU-rOg01Z9e;0x`+lfBX@jBfd;=guijMDqHe6odBMQBv^taN` z&_RJtToT2w$sNhO5*j4i2(fAx0gybp07WF+Qt^o5;u{thmjNca6wNnK06~cYAJHXv z{R_443w@z|yPz!HDd7;DcLi=g2rCdv72Qw{?mODd>vA~t5IG)9BFGtlT%~D!Q|abi z@%^VA-Fy*hV&fQ`wA`|Sq)1%N)A(+*1s`Z!&}`yNs|UInZU^Sah@9TRkG#`|A3Lg# zrzpXC)9hHje*op^%P2{o3kov_FXLd2Pavf9d+`1jc79s4W7B@4b z>UgA@qxTt+WElDOA`ITnA2@!nx3O1|xeXXJLe3WR=cXmb7&43VFGI0S8?SlAAM9!| zChAXsVa7!L1r+8{H)~dRN3-QHY{NZ2B!&tI_!^7#lLP5%{eIlO)J^M&Z4!;nK;yizZJU3IsGpbvYvB3gSE zM+DhKy++S4@G=MMw)6Sl1Hrh_aEvNGt9gOJU)ko@Cw@BbeUUUX-TeEy*yag(GWPWW zRw3s;vX7d|3q;? zM4=d~5$C3i;FVJX+fomRiK^^Y%m|u1dOlA7hS6~;G^}}wvjgpHHB@c!)zWsm;q;*x zPU?6Yp?|#Hr1^M$xG3z+-OVD|)o4v(0REg%*}5r-f9yx72JPBMIM0X~LZJQy;fM7tYGDUZMF%v}h| zNe>lN%t@o0nCoI^C_=z#Q30YB-)-8S@S--8${_0w&>utdGJvB$C)j7XVjUZ(N8%Cv0hm&eN^OT%#Kq^K!;dGC4f-S=GqqQ!WE>N9VcMvm_>*dEbL z0>&;zq=1~D2=}ICTHZuJp8jVupV-r$gz8eV;v)-G`IZso>&|R1;e&o61OW_+#UHeH z|8N%yj)y10*KyZ1`nbA(_-ByWe4owtSp1Othl}AJpnNO;a@j794fYWBfRCdjU4Pl) z`jch$zN734S-JlBp{)yR?m29o!?Yy5Q#pTj<#6@#6-W^;(NO`qy&;9-!t!n;NI_O` z;1tYV{9CBVno30_4oFp+GCjlZTtjC`3svLbR2*T#yMI=*zygP<&O}27^AUcZ)5aTk zH)s>OCM^&ej>ZKGazZ0Ig$8#Bz=p)RCQdj>fLBeYK*1V(3(Or!AXkpx$I!^o;EaH; zm~U|Xs?06@q0xMQO(yOZV`4dG5n*(!s zR1s!gb{T0jY|;PSdarDCR-)6 z(U@bc>rIZTGHMyyh*Mi|-dR=;1pWe4AAz3JZj99$2u-^(^1$y{A}Fv1MyI$mK?VKh zw?WE+o#Ks!Ejk9K1mvSmn|(K$u6&VLL2v8g{0x$w;J}!o%wNZiNf2l`8`}R+M)3t$ z5HP%(YEaW=Uk(yRZ^fUgP8f5{dwH%we>C+KS8Res^=3fgSX2T!v~^YmQ3;Lehe$u^ zRB>KUURuMxryQNU$1yuLWK+8PQaLysratjrzyaLZ6|NWR8T~cz%XCRnj>W#<9YpZiQGmI5S zQ5<7vFg@$89o0P6Sbu8=Xi$(H95^I>U?D7jx!EsCh6|WsIy0c{gQi5^!ekG0DJ@x( zYWZ2AI?OW#je9YI>gJ#K32a-h6Mr!`WZS}Qxxs})#oxhLbd}VCRY4!gfo`1Y4EJ-v zA$%5;H)R7vn?k4%b*T#ARu{~H&x)o+_#E8_io{tnOG$a@RmV_|hWHa!9;l)Z{|5x~ ze)Soh@rVnRSv^q!r8!WqSE>Ntz(WX;G#FVPeFT+bj0C!R=}Sr;mc)0Mb{M(%ETE-= zME?e!vY}7n8Jx?88bupk;uG08b{2}rxOfqXEfw8?0ws*f+z&FwQhEI`5}@VcGg*Gq z?YNOHJTicrYU4xNU5(SS0HO2oL?V@C&!PNG(xhR-YH z`rNc?SwA;5K8DGv83Tu(o>xV&t<+{Q?$2!PtZ_gzP8O(JJBp@k3hWPT(ysxN)Y_|o zwvXZZ@E~^d9K={K85hNE)D{mr%3tsi2gS$bmY89k0$1m1Z{;65J}{_cB-U(m zhx#!|NPO`bocVrEkwU#P^F`$xIlH}KQ5iLnl_$dZ6ANP&Hwl=8o*e|9ns*_wW!Q^c zqw^6sCy@pp;WZ=MV&{ZXX^I#_Kv?aBWZeH-4<$K11~T*9$C8b{#=rq|F>TgdAfTW4 zch$>!{N8R%wKsGWvZ&fIRTN%xd>|fz@ZrttF31`^#oqOXqjLc9wx-%wrvvnF&{%4| z{yig8A^okMJA0=IZ@nnXnpjKuz&`)8AW`rW0 zNE*@Km8_6;gk!+-pmfq4Pno0U+!WW`mBMtiZ44#YSDXE7t>57zv(w3WzQg9A1;7UtAN^vi(1vS_{FaW7}A2%g1C>{y&tCq*@mTIAe}`LyX3xrA_Lo&KT11U)c-lui(Lt55L>I4EB|0SKq_8Z<<{z+1t1L zGs|aA_lb-5BD~SWGvc=HQjR}&Zcw_LhaaL#4~_@eudVP zkexpdRY+bxY*`+XSt{j$i2y+f*z?mQg9LdgEJ2oc;!9W}C20OZz($p#`DBL)KuhCF zlHtQ%(gX1&J!q7)A2Ml{v@{jJ6)w-9}!I(7wMUlUMD(Y2OYA`~R|iVyL2hC;sa8y`HRn=biW~_?Orp)BeZp zGxbgX?|&`--cQ!P>rVJD+SeU^^ZzCPO!@ph&;N;_&yuz8PhJ0u_6>q?|1aBT z%I70k!H#R6tcMLi^cLKESgE3Smix<~X^)rianrQA#2c>OkEUt?uK&uIjybS82sfDq zy6?A~$ZeCTbBtMZB>Wx|Tw93v&Ckp9txlWO85pX%(xy$&yyBK&n0SBA`*J8M`>`X@ z_X;YIYmbngnM7NClH!#pHM-HxcI_4;otm`caCYd=;u#B(E zkxguXD9YC)h4@Y>|04fUS+IeT)%v6$Ha3F}Q6*7<477xTyz^MEC*85#+ zi*drSg%|uiYk^zz4#75+*^W^Y{4OBw64*;9Z8pDXYGB3GwmXen zYxE*$G+aR+*ZFrrtgS|wt)x{m;rO=x4V!dJeP{y_>3cm+S9=Z3j2^-t%m-0mmU*|# zOpvfmS2-SqtHO37hc&|(qxx7@^M}@}Ip(W(tXJV=C@(!OCiP-aJ_`=1YD!Se_;OqP zPN8}B`<}e8y1yr8mqV6zyh~Y{d^Py76?EQ0*F4SDcQ3#NQcaH3dR~v>te1x2ik60p z^d)h35AY&m{T$%4SHfp9+}(oCJ$GD?2~C%x`FcTCJhg>4-(sAn8j^d21ObXaW&AdU zz-l1S0HyBbf&aXVY7?KC6H6eq2vgb+Dr)-FxuM~*90c-^>W=a9bg&+at=)PEI_E+x zSsbU*W_#9Og4qtriQ|xz$cQM&LBnM`(K6)E(QoMtwRjPP4Sq9Kxs#P|xvZ;Ed8-;q z<15clmA}>nnQ1*!y+MUveC~z0b#6Ny*=v zfOobnd3RjNVPsG$AMWNeptO46$(1{}hzd`~6B@3tJCzxpr{M|Y@^j7NXT}#FL-;Nf z8~7f>Xc+z$Pi;PB)_&h7hNiMzy*WzA6;1YtoPaL_{0YoW!Cxy{uK1o{h%c%O(DJvRWddoUx*x7T_?cTsn~j zhtfx;AmZ~Wc!`2tIMd%ds2hU!&cOEt8O6hcg6-B)-YUN78!OOI`*Uw}NgO7NzgruE zbJ-*X8vHYdQyHN-7FWu456#a=!%*lLHt}~5qd;g6yrx(r-j2FFs9SA>)O>$L+P)dG z*kRx8n2xQG3hRiEWo0Ra$_Wh-Qn z&9|TJn#+UEqBHTD{W=&D^1Q8$)s|C>5#k+@upqLn@d*WZxvC`F`J7@(qDczMe=?el*`VU^-Ri zvQmY2@eTMTu?hP7cokc8@{U0s9uSN*C|SovNJzxMIe(a1jR_3mA$NXXIzaV%jS}7e zQtbx;5%z#xP~m;`o~AUzyz~3c1F+2nRXR3KgkuiRZdvG~ibiEZqx{~m7qGd7dMYH0 zMk+(!^EBEmq89Qwz)!xU9lh%b>L+X|nso!(Y?#~H?78@%c`tzbA~=`eM$G5)5F9L+ za5MEvePBSDvAIX=UXzYCkyPH6m%tK}IO%uEGM81}u z6?{rsJXoyHb?Cxq$Y5BXx5vtRMnQ-T`kDa&8+0$FP<1@1(FlIb0ny=WcJQI9(8sJ- z`a6SV3Z2_)LV(MLaM&z`OI3|4hDzf4B}58Qx_9A|O-Xng`CBpWab8YOgRc(n$_|JN z(aYPs$_|W0?5u>PYu+)aVto0fIq!z=X4LO>WEb`@?RU7FBR)t$Yy1>!RlNF*Nz7MA zfqv3U)4r6LMi;C{5{XQ_n{1*yATwO|#R^FkXM@Q` zF>s0g1%H&BP&9}+CtssK75WB7qn}iPEv?Z{5J_VZ*PKtp8N3|zN;}{RfY`jlnu=`D zFNQFc%RkH?VCK~2k1Q;_3ip`Llb}5q+NJZY)B-EjfX0NUVOCJO1*sNEH6fK-%6B`F zDwGtxALY~eZWq5k&0Ee}kZSoWQ+trApTpFCq{8yc!#?Ra0NM*l?}Z@{`{%8%qR4&&<~irdz;9wNZ?Z$o-q&~ zPs$1**<+OH5VnxzG4QA;JjHA1nQI^|1io&m`MLFX9L!K8I0T1{#|~@bRN=a z{k!yL`V6Gg`*%5qX+(jdCNfXe6fJ_3$oyk@PkawVOq5i81XT5@?W3@BmY)ka!;k=8 zxl$lGZxl$I25W2O=9T8lFN!Odu|jpFgg46Fg04sV$t40Si$Dmrlm#w_THp%2=h-n0 z2cmioWNS0LBwc;k_EJ1+nlgf=Gw+2DB3$givi+PIZSiW~>f+*>9T~yL{P+-hgX>hm zYA@0ZB9j9ic&}TAz7V@Pf}U0QjK%+{5|HsUJSJG+;AaA(=jwaopkz7hAwc1NvBdi} zeo37R;!2Y`&uClcadxO_Gr{X8Fg}>{;Uq7c;i&Y8W!q>o>8>Nu#lg54D9;w(UiUBy zU$!&&m{16!YMt?>2Qi`tpCp0c!NI+3ooIzkjLOg2Y-|30#B$z1In9gdf8c%ga?JIJ zzy{B*-i#7V0ZW>*$bVek==t%u;$DGs{S)WUMf(H0J)cd( z=4;Qv?1@^iXn)ZCQ(%|jx2X9nqwI@P6dd$W(u9g0lZp^>b8yhpF*^%Bsl-&9i`7ne z5FoB##R_fl_rATwFQa5n3xbA?!WW$>_+r16uo`^!ECjMjmZ?to*%Z*v;T#NlD)F6P zgrbJ%zRxdDvYCMfX}kU~3Fx7|X1t4+>;E`Dz|ASyaO+mO3gwujgk^|sKgU&${$m%nJw*9<7ks#$Sb1@4uyn)db?y&O$!UdvfP+5OkeHj!G`X}fFYt$V_{b$;3R(8m9r`Dgf zfXfZw=8xqSL|-h30eEd?HOpe3h#dOaIvMXkI($X3c>Dx;Cqwi}AWxms!7E@_NBhI| zV1o~Q4spwYT4|rM48p*6H@00*K~7V&5VxPV`sSi&H=5FFK#c2exO6fyLb^Jx#R-*c zF@29a^TLtLu^SwYl(_zXo7w--`Ll6E6p+a}tCzGMpf)KVfc-aVK%-!N>19%k+o`}E z`2eF12e$m64+5P5X6TIh2XFBKqlK89cB_Pqa3p}9=e`R>Hu;#~Jbb-sI%x?^0gl;HGdkpm9Sktk$zaQai~ z*KqtQ3{Ib;ehtB|VZrI;>em4L$`4MTseWCEUwwnq{pwe5{OS{&?p42tSkK^e(GO#K zbfYT`HRa%Ku5t)MQ1L?i#7peL3K{K=sT#Do;Q@9}DXS&T%(%fi=X_}w$m3ifEpjeY z%`phzrN2ji6}?`y9m9~?0g;THKuY$0kfgecqAEKOyE(gQrwy3eFZNb%J;2Z=9`dO zT3(!uylM;yExNc2uh3(7@oWaL#99oR@-_)yfF-|F|BQJMbyyO^%DEFZ&7H3;mRH<% z+StVaV|AoH?e*UAcRl>RV9HPPoivFisrnh`;7kQKX=G1lt; zhKQ`j@I&X6VU`>4xb7G5usMwjVtgEqR!9>-+6*|U_pO%rGh@7vkpl1-I6_5V1~vr_ zfIrAgYgHe{%y?x~9*6*)KF%+R!8!N@vFQxc>XwBgXo}?>Cb=UTU??B zD4Z{rw-)Q%EhcjpUO6Kj7;=H|HQonTG&e?i-1xxOVRIa2r zTQ7Z)?>JkppT`tu>*2pM)!rQ4F3%&Ne|BAnxs!MG!w%2(X=0Ux2I7=r$HZ)re^2d& zHyif7=f|TaHH$}cUDN*!f`7SqE7sL8ws85464_-WhKy2)gH-DFY6?!lPS}b)y^Zi7 zWrV`_V{Yo)Ba^Oh^WSZ6=3*KaZY~Fle*+kOHrUR9)G1VVmi>?M!3y-`T#tR<;ca~Q zXqm@hYJ#a;Xt1Dvf}r1?JbMmiz$ic1vG`ig!E|>6PP3zu%m9(>J>?}1t`~;}cpVTOWQY1Cb0X52v%=_w3!%T6xNw8ZC5H6|UT~B7a z+IY7Ysu=c}P=teQSIp!jS{Ac92d@7yoC%IeXOjRlz+kz^N!EVkw1{H7E5II{sxXDWElN4u_TWpOS*cxuoLi{nXt09VU z_PK<@+{=l7NtVstq`o+1t1=_gFxjT^y!Lxho`RX?&qE_a?gcX60Y<4(u|}R%74`C5Qn6m1;fe-%)>dqh z=cSUJZj2+g|@ukAO*V>u0P%;TL%#(f~88W=a} z&A6pZSt^~sQyjemQim!M3_n&VLIq^b0;J0Sv}q=bi98%R?QpFq8)AGt#n@xkDO>#y zn{AcKb~CdLx3Y0vQ@_l@_74OCAxaamjTR7aB!qzmF~%4A8*(9DAvah51wm1AVi(^l z5;gfMcs5~0^zz)X=SLGrdb0oxRY08?^OH*#5zhZD*wdl;6SHf-Y!PIe*_EHPUcH}S zAItjs67mivkJ}M?ZVY=n&UC;(giDmyr}HF5UkiS|or^z#PxHb*?fz%516FzuoLckM zNuexmQQm%PuaCthsAYiMY2uKEyL*Cio340)C$}kDJ zq}Cru!5WoIb-zalpd}u58)M@)Kex)gw5AK+p(jYvn}qlVI?ICiHUZ*EK*S+Rgf7QR z3Q5mD9Z94|64IE{_;+JswC-!OU;Ky^3mKMJNb}p!$JOf!ZqF7cdD*TtY`5GS1aS+j z+V@ppRn7iWYYueiwc@T7ymTPoYU))3>-w(M`~@=*(-`kC>MIz~Z;quL_zLkC<)j3j z8;Mk4?r{8Vz5@Kze59-1Ul8-`KU(u$1nbZ8u{;a(+NJKp$e;vipAsas(LN2p@e)A4 zQB1_RhW)-x$_|Q@Jx3(fEy%JOJX5jUN8vM;i9|DKvxlHrWwj)UniH$>1&#lmH1V<& zsIN)G#PU!G`PfqY&IqQFcZ0wY3KOphN|h|Zxui`$SN6p2sRFD{2a?%m6^mM50Fvx2R)HN3Ph@OCq0)R^% zpgTkpUY!8*L-WtAmp;eBi*JRh(H39WHP~_Q5X=_c6_!YkO2`yOrskI{%`lxD+8?KMB?H_7bHo$>=r~IO{=hFHp1-T)tNP1&lj3 zT|SANJ`Wibr?G3@L5y~&+p z^ARltbyOT5>PP_y>Jo8KY?uYrf?@N-x=dy#e{Ffom{$+td>n=9Ye*5*ZWCg~w6!yc zY8@b(|0(sF@^WvmOA0t+vkx(J!u*8*ozQPx6~DPVHa}3_Z(Z@dp0FdB4L8W{plASJ zYr+}4BjXW>xq+@Dt}>GOz(x2jzC?#bLEU4{Rn7M@^pR;y;ar8$8O>{G&Xz0?>6F}) zKx#_oodA82lnE8`vcoP+;@%mM3C1bD;jxfJt8*?=kIG6A(J~ils>51)n7A0}pDC?a zI3gREMPb_!Z&_b0%Oa!HQ<;#05%mu@8F&uhYB$D)m430$oVBnZRnA9%f`*Vd6X${o z@*9?OMTR^pD;)A%RFNgms)}rRE~&_oXSkxPJZmeu$#Ydjt~}RN^pIzLMNfIIujnn$ zhKfG&+){C&Jew-|;wgKsER|OUPC-NzhfL9N68CSZvmigead|F!3{67@;olMLk^?FPTs!mDVuT883pi_czg&C+tCYD1<|1o9 zCy{x3^fs`Lr+cQMi_>ijAbq4bhBP0~thP%FZ#K8@Yxk^_c=s-%zfhRh4FFctpY@|< z*Pv1K4{2VWIoJ;ni}8$Lrc;>8lNV8+D@-P1IaQ{(`dyS-SGe@iR9tSR*1sSO-q*=i zXMJhk6v!;nW#Br3%4X2T#FeWXKpa(311jK~{4Z4p1%RN0*D25#bTR28@lCbfi`R9| z1_Fhg>tl8+Uj#>FkH?mRw3P8RA34ScN;U+K3m!*g;cgdXxxVLW(EqZNrD?t&m36nq;yHbqCMA`lHVyl8@kRplZ ztM3V#+rl0#s|2Jf{23Ik=UGMEXcRFev500BVsD}_+C<@>_72mOOdaN1^_|W7Qb8i+ z@1?IuEd)E&{@GxD&g#}Cj-SxiiT*nPhYCm1B{%Y#i|R@l95Z2k2gJq>%IMQ4GN=O_ zbi42_w}#Fxy4%LS!4%zX<*S&YYpp)Q6hmUhu4Br9RQbD1(V>?AAyagy)qlWLTbEf~ zX*Yb${)k_5k;;9KsSA-xk$U?w?^3=SfK<~Grt%5*Hd8~Gs$zD2R{(zj~G4KIe<2oxDm#opBHo=}^+%}~g1t_F*M8;!E)P1*k4>88sSj~?~%?}@| z$R&B2-@`rz*L+HnM-k`x{D^a7uHX3yjvx2CHjof(BRLk6kgQmHURNWC ze>|iMrK}&&#eF+w6rO|Ki_fO6!@L}ne)#zW>S(@!$Y2gJN`JDhhGeb1`%|;E)u88q z9L@J8(2C)~zD|yA!&@Bey`C#;*~PPB07n@BO9cRkb}qg`v0Uj_)Sk^=A}xL>iM;RJ z1}soetL&_k{-G^yG!^aeWZ7P|vN3GtSJ!$!vm;|`^d0;)t>LGj=k(KYE(++O?BNSx z6Kr*6ZO!ygbvSrF@$=dY?tk2x`4nDkdT;BFPn))GetuHFZ9i@**^Bdp_sS8&dm1vs z{C?k_#-f%@_w5Z9w7~U_^Z%*?$XpctHyUi%`CA*dX3k^bxSUv8zvZI**JZxu2q$Sg z<1Y1uTQQlZf1vp#v5#3!dHdx|Ib1p8SPq9pxmSV)Y`nhVd90uSw@u)}TH}ajZMq9t zHf7ebk>-HoQ&%sCc$(Q#xP9a5acD0qB}A=uGX05|BoDU+L>6tZCA zC-|~;)22-B?b@`uy2phEdnan!zNHrhjoO?+2915mfzS|q2fj4ucJrvrTn65==jy-Phn0`#@J1pLc)<$+XFWWEkZVBhe;j{wLYDZ$frafnVB` z(a})PLJU(Ewj`_mMJxY5kYB$YJ(~VVFL@+R??TaHh%DH%wP+P$*5I)LBeptMX}%DE zwq#baAD3(k7VLov+qNll6@G77y=k>5vn`wM+wy5i)291ywMJ9FRg+HM+7PIz_ObK^ z{d17j*zTzMOP=bijB6~~`RRR4+qg;2nzuPdy5stYsIu)1CPUxk`R$ej1 zRt9fu{ZnBi?VSJ{2|7#KTLs>y811dnd>pH`N_!h>(BfEA*>Kfd?BmED>8nz*@Ns+Zh--XC?PwfONP86C28l3w^W&WvdY54TMdRzMgt}5Jv&hE3`-ZEns zW#dN9-hgi4V`+;1XVhSYO%(f@A2;;8MW1>0Qtb38M^VzrlulRnDbKf$j0mjr>>4X= z0Cn^)p<6-)EsAt4dV~DjC3**BTP;6zQ84()E3I)rs0?BF`A_@=sSu%nMNz{C#x1nw z)>%^%f(8baf5Z@?8oRZqN^jnP_BvbQ^50j|ZvL&#s%<|SS@k!NPn=_Br2%9zE2DHg zAqDT^)c0F(+oPw+>Ik-qPwE)V@r{FQ<3{?RQ_ox5=v>oq83!@6fo^VRJvsJc{8%x) z45b)qwK)yr0X>6Yb{6bO+1A+M@9_w976jlyuu-PM)-u%5aLgLiYQwtWqI&kZ%qskq zPO;__c%f^@TJqK2V5LpJANs}^u%Zl{!@Su%#O?V6vOJ0cMZKwhnz-K{OE~_&HyGwp2f+e$JJjdNoY}e4UP;LFX>h8y>df#Fa&H zvVv#Z){8Kha1HTrI9Z922{G`03%HJ0+)3wq^wjFzfJb=L#Qv&4tZJDh9kiE(irmO4 zdtP__#QxjIqAw(43TWTF)EHmI-)PwT_a@r=Z^<=eRmQ04s>C>iG371T`&Ae)U6#FH z`Ah8m6*$*~b~cyl+q3u6aIQ7Bmi_4<}sNr|63YpP2 zVzpXk>V6caU;m9FCRJ8xNo|gM6pIXvN*8+jJ4ne_;-2=w>HaIz0{it`$uQa2Vj*Q5 zqh1X{{%m)FJ<7t}-{id2?yQrObjdG2iY>*Q1pTbPjQ(R~^MWhZ5Ml4hm9}gpU39^GdX{j2S~>}ghzNzq@9zLK~eA?u+@_%~gG*KqHg$v^0`U%ycvKX7+`iclozE6h1Y|YD~at0hPSBA^@Z{jlM;Ut{>3ksCDI*YS?lY!ntP@Y0y zjy2DKDf$`p#yY1Py?Q7J$5pV!Yee}oj{h%S2I=gB!$Kow6dB8O<2Tt9GTL+w&Kg`EMrX$^% z)2kloAb!G(?J97K`~@qFo9@b1h(NfOAj|ST(5jd?P>-W{wYrjn_#GZ$gu7NHhT@hh zaMTCPpTFQ~ZEjTdF)ju}b^U;kr%BMW@Co2vIcF8F*lXP9UJxu?PdPT(9Alim;csK@}lWog4Za5HH zkV}6{R{~3eU713fkNX4+p&db?-7PQ=&oE9qkEtEdLi24$PSZ;)^QC|gRj%e!{w8M* zZkLRn4#vxGOHgJe6O=*kK}kEia)ORISnvtjNXD`)0-Jm|F19s#7XB!^f__xFF4>98 zC~ytM!t_GiU9(8vf+b{Hd7qNT&P5{gY;vvfzK-u*ixfA7XRlnQc(wyii)Ze$gf6Ir z)*r6KkV{dk`nl$7GZ5p|8r?-qFdhh4b}>#kq7PoIH?F-|&0UhLxg%Cfb1}PXjlRIb zl>QF7D_`qqoUHM-6D4VX4fFi>dd+(r>IH!S+Zw$Of6Wec71Uxfm5{Xh^{b?MSm&+L zToh)*pT1X1}b20zGKE!#P_Ii|W{zv3Aq zq%oOv#CGz<#~g#OF?+>cALm9TKZOPtW8#q!dPlk^`rB{b$9T?#jbL`rBd1G*-3Vh@ zffZWr`h>F(BHg-{0i90eUmMUv@aDLW=BDsiS!u$vm#QYL$1~Q1UNW^sE4J>Tcoip) zlV%ic)#vmm$ceODnm;iZ8*7imBR-2tspt^>L0vb~rt2VW0av{zd@e46=~WvV)8j19 zXV`0t`=zPw-1VXMz<{gVScsg1drc6Wov02M@(d-{ zOr;L5>#vA81J7VdsYTCX4buYAhHJFP9${4=!&0`y*IHbFMRecZVBzGcXQayvsefy+ z)_jRU#?k?4t?skoMCcb0y)x|^>746i)Bb7 zzq2BAWd@WgeY@_b{I2&yqcVf;nE|Y1t=;GL2At3G{*Gs%Z*ReT4R#Q6Ix8aS4(K2s`-VF56`2Xrw4h;d_XYj@3i171@h->$#{x1$Ml&NcXpI?O9&!3@o} z6Of@h_DJ|&++gPihZ!7tDmuE5%iqi8kK!yc#KpVTn4p0T(Mfn^%-dWuZ8~y#U&Y8} zcnTNm3~}H^6r!Md)@#ecvUTc=Qc&Ncm*Re+=(U!&!_?@7#KY_F?qz_2y? zB(kyR?ZPsKv>(@VNY4XmM$e)}udhdtjF#F2;k{@&nhlpJb82EAQmzp|$YtFnX2m8DC(kQF*~q6L&F-1Imci z9CEsiQ7G*y1V+l#rultgs%Pa$(--ee#+)uzp1-!$uOhhIR6CQcmqXP;ya9M#oRXav zaLp0#nm+6cc8htTf`#H<)BEzbYo5|!LEO5>Hm}6fQebsX&W0>Yw79dUq!L#@yddiJ zDUK*854T5^%nz2#3Aq;;Ium*&FI*=lm*Xs>4A1`D2fvFr7Yzyy9UE~jhROD3a460f z^Xy3X97xyZI^V+SYreJM3GbnQ8+#h6Q%UJ{xlqIiRGVs(!F=h}V`F zBn2}%D_x4tAM1B6hsWSHzcU;hJfvnnuDe-@gdf`6^{fo-ribD;-~yw!Ag55b;D^#H z-|KI0ReGf_xCO<&hzj>-04w2h&L@p|+6ztm*ow*)yC^~)o?H&camoDd#W;0k9tC8D zDmHK;dT5)O`Vfr7Wwn$?(az(`ab8|d1ovqhk`(QRT+~Z=mm0+wYWDAl^PQ9^ZC^vo z5v+(iufzDi60o%?QBHI*UyV4I;@vX*uR(eR{=bX=5&W;i|5Yi`mstk15`k$X`zqk~HyxeqBF zDL_Akf!f#T$IWxz_n2q!Fv_@Vj;G`)?3o>Ouiv>CkNf=a>3XjPfYD{1!Y!Oud)GVj z!r1>2#?U*mLuPQ^f&8k8j1eo>wpX*JT%{M z)Zzh;$vVx;Mxm!eO!RbV=fktjam6v*?$S0TfsB57DaI2cu=fM#p`ddPB*wAmQJY$$ z7oD?cON5wlEHVO^6+*;m20Xz~t0){85T%8kv>D4WNGU!mjcnqRY;o-rk^DY2-j=TUxiGYX41-%N>K zfW&|tQ*Itdc{ak2*sSDctyB%-WB6`m2Dnn^0TfXzh9g5!il}5KBl(%E_jcsgccI%^ zcvdCDbMHHVKVNE=X_=YBG8P6T%ejO(_k(z57RStFppO$2P8s85D>Xxs@%ZrZdg|y^ zp1o5pr}f>?4cgv4e&4_;rSL4c-D02AsVKZtJ36ZC@kfQ{6?SK;y9_@Lv5X(4#m92}ixQ?x2409LOx5>p76&eqQmd*{`0ezU*^QAOEQO!oAJ|#jw^~|iwY2{KNlPh+vH5U2 z{LOA`8?V|PV^xPBV^t?HMcz2V?PRhf8H?kOVY7D}vRHMdU(e#v$Xh7&9*NnO{cg2? z$PELB#>w|}*WNk|;yr3a;bB;Qc;PN=p^#Cyo4#f0KNIdg$C$#MpT$%@${X8>sUb+s zJBlf3?>DA!hb3hf?!&d$kZ-iNkp1~^%{{z?x7XZXFX8`vb7S!`HvJXjFXbEh3+=t? zm*}rwD(02nwPp6N6Z2on&UV{(^+;2GjrJ8>bJbN~=dD*!L1!IChJR@Tvv>dR8ko>i zlS*!z`K8rgWAXL>)A1-fkKKt~_P-gA4ll!n$68(XSB*#JeuDqac+_4?|JS|sm$Wqb zcx1HKkmo*qMxB57@%I-CayvZ!bv?B&hNx897sn1pG_ZZUSIk$cr-^P1>#16tQRqBu;V(7~Bz+48x20}~t z*H_EGAk1+bRLA3K$)Cr zNv4%U{{>$PPHLHoQsEE4O#kXMToDo)o|+!j=upLPPfdC>9qB${*^;Xm9X%5{teM&n zl;7^O_{&#gGxn3-VEhMKXJxzB$ktp3enI}RJ`-5UeTr4m16(*Ypf)&EN%c~&P^5a1 zBp}tN!;2JhHRN$m86AHq5{gcbLLRms7?Yf$C4rT7@WS99e8hY-IvchkAl>;TT>&Cm zoB*RxwI>HVnQ%@#e!_3@WnP`^^Bp4Kmh^C|NC8gpEGBYjP~B&|M&AFnKNhh zW9{|YYp=cb+J=8KB&hva;0d>ZXBTY1ZOItEk%x4oq0}>Rvr=ATP4;qk9dif-yc?6S zEs^cr=zkogSNGu93O2U~Wt}tfjMWSwa@SR%T|rs23{UkVf8Y)><-ruyQ1uw z_ob{RdchXh%!ppcca$R|?@e{_dD`|cAOCnzvbkrWfps!!~Sfp*$DjG%(faW3j+uNflvP*okSqM z##urqZgn}7I_(NbliV65-7bC-wQ(1Ews(X3A#q*vdfw!bOOd}HBN+LDyY78{hTL`k zjZcn@-2#Z12W4eV0*SIKVj4%Z$aNiH)o4CC(dSUf*L7%^c#S>>A~@dPWxY4> z9#mY74~|`(fdYfE@_r{&w$F}ns_5Tbv5`>@2-0kAJ@4+V&Pl=r8uVuzOd5s zAwz_`_su~XG2g8Sxpmh`aIcfP2NHpL8bGyNk~t7%_)Cv@{Oecp%(BW$xRTPgskGke zZzYfTIKNZ4oZmA#eW%uN{!Y>4_pb2)x5L51ToV9jAPSj-KxHtvOgX*>mL-Lo8~EOT zm%7KrKh}ANi~j=LtKvUX%~wsieUk!668)3H^|;KX{d3^UdBe+x_}>1h!n5Oog7!b- zeG?Q=bJAZfYyY(^u>&7UAR8NhAH&{B0IOQG??V!Q6?T!-?HiN(hsAA2j{0&iSg;W3 zJ(+T=5;RcxTsw~+y1*Jgu8PCZV>qbRIG7fPgVDsn@E8u%)pF2M<~u(D^9v@G;s}rH zuMwo>jCgA5gr^nl(RwF-o^aqN`csI(ccbWq-^Eb01LJY7|BxC@XQGh|Y1oEzUM4&v z5!NT6N#hmqfZnKeBS-khslcgvZ6`~Mx6dV?Nk1@{?$vQ^Oej%jpS(bgl;OO(cum5q zi`Vcf*o=rIeTRlgWw;5b{qi!|^;B6=kcq$wt>V?izC|*ZV4og(Ld*b0l*EsYzffv8 z%6~1OcieyH?m#2_ld;Q^)X1A}?8i2qdGJiX8wb@Itt9xM8xAd~ZC9?;VR`P=bVm`{ zbdpIQX^8VjPa|5N z9D)0C9C17D;9)>woi2ggGVfU3W9Sl1yB9N>DbDPwsB>7IR4Aw|JAbKY8yPiU;NoO4 zPgm9~_^YfxgLmKyRuN5sV?EIRT-X)Ek8cq*7H;4ARX$a~e0d$pQ# z`?2Zv3QNBb9Ogob(FCB_5ZJaB^yIvy)+QwHm%?Gs-uQ?VG_ScD+^w!6c^_AP+`y<= zb^p~l1VZ_bXY?0n(!3ZVt?Y&H2e)M%ku~Q`ha&}N7||`FfLqK*a0mD~`+^Tn4ho z@gwd+b=S?uTeKV*zr5ya1iG9=hwc^Qk|N`4YQDa3?mZ)G-k1Zd`LajWzLAceeZ#Oi zi4-;=Xq(6ZKp7$YPQWfeReV}ONajvRFk-a>*G&KqBrU9H8kgjfrJ%CH!t0`U0mgb> z;K>6|BO86^qwP49*Ql{(MEjzE&7XJZw_OIl<7qu`2}_sqgcHZi3E!|p)qaa=05l5w z1h?&tyw$w~VJs8QOUl}cm37_gAZZ|)^ahW}!Pzsn7w(Y3nfqN}-I2F86cagV4L`<* z>W@njB)(^dyAp|X7CH>P-4VSDP1~b-RhO1jeC9_GHEV%o=M#YYVq0K;eyHFd;kV@g z9V5jyu%SP*J2V2~!swag3t4}SXXb=Pob5!5&d_AEj+5Bt2tbmx z6&VwD^)^ugN02|2Bgkz#X0`2+yb0lgU539Ua$~Qsw`J|88GB~6z|PVT6U1L&T*iWv z4QtVHOYiMyMCV_?;qH&VR*ki!weY>wBvLVi*M?gV*Sz-QoQBBE$;>?O<3gw zxYan1hdV&*avFT~FJsLrEFq-X|B?gT2>>^e_i4iti~_Uqb@KI-hF_?!L*;8*!!Om> z3$3yJZ{ZmVcz~QwodXgsvZyRwaXZPV-Rpjq-aK9RDSO@oeT5|T?=l5>~7%>_y*e+6xdl*{G zX5bAG_B`4DX4tz@2D4|@${lIcExVZCK(kzfgITa1=kRwIE;ElV!K&cv21-9#o7a77 zyS>Yh?Las5e%FS~m(fZjZAedI&}z!bz#1mARGMm$`2$Tc zAB0iZf{U$?3FgDvy{-drY=54C#1$AN24T%SefsafXubzLgK%D41xqx!{Y)^?`MBfkR%y31%VCsSuLXzxldk!Lt*<(964@pv(xe$q)Z`rl)I z?JZD=!i-`&W7cfN*=OaZ0wcW#jkYCr@4M0?ObZl!1=z6j=`eS&_}R(8)U}=SEBpWu zMDGAClzetKI`_j1p|7lb4YX{q@Uh~JI^BPM66>)zec0gsEof;a&E@TQ84e|uHunN( zGDwIEi1D8#DGpYl3FN~S&x^_n0qogYu@9PaNT@mW33AmZ4fF$o6;2}i2-Pg3C-L>4 z^7YdOCcnbhkMNa}YVlUW#13g_6$L-F3f>Vv7w{H!?li?xJ8XYEPC2Kk09|N1cb%jO z!Xhzv0co+It$|!5aye1JDQH_c9)qeqPAkKA$;xTZAfLlITJEn~`Wxn4EumUzOushM z|Am3ujqRSbxJ~JVlp6s}!wXh7rkrw&@220%Ml{@h#&s1?P42pPxJv2TJ}w5cFfg-I z7yEuLI$@(iY{@Zd7`t$tv#<>;=CD7hTANg@%j0W3m8jNS)QYx(?@H?%B>iU#61tdY zTEiNJdNs#)`~JiI@HrSq&G9c*UWr$}AJiN_zH%_1&a64!wlW7#?w}hbz`k8j*g4}y z3j3T%US9!VWrkg*p#Bw4-sSCWcu{?QRK9-PzJu&ir^kK-!*7!_=Ziatt+p+{D7;Up2o3jUho1uL(Cx#h0o+2NYw ze^`0Fd^?QAkShB*o=WT0^MBdnroCmae`MYB{wgl{6RmsZOKypObKSEger&M~uZJJA zEUZ=Lk0{_VeM3`vyj;$85Oy>a#@`_Bu%g4wrb`YJR^OFV_?hoQ_lm#a$7ANT7;c=6 ztMH+G-N_4MX`6ov);vFKExov z8IW3&)EM?|G`wxt5w5I2+BH2re<)aR2YGlv{px<`6rW|A!^rpGq;^kuJ35|VC@*~= z?iFt$A23TqGXC?5gtrAwvlh1P!N2fl9V5 zpZ>fPNjhoN?;_w???#0i+&_bbo%y)RT2hBi+>X`w*U?@NvJ1XBZ_PwAcDwSsa~3E- zgV91%vdf#geEYjXw|^gAPuRf+8r~y6Q}7CkHN1OOZj(Bm1&H2^uGM;v_nl^K#Twnt z!FcTVSeJA6ziQ}Tl5k;(oAQax+uGwEc)fi7-KqbeJ>K&5?$1%E@)IWP0!i9aceh~Q zQBr^>FC1an?ow8nqdJdMaTkCy!bp-enlR@b)(ITB_gNTS9h>LTiMV3hpVkV_UO&rz zP+b1REq4CIS>RJR9>AB5{E17^_IKn@fl*xrlfuwAt&YbT^$mPF<^u#F&=J*`w1Sg*q^@Hc*yMG_M#?m!va{<|K{ zv7PXt$h@TXF!Yh~M}R@6KpVjufs5}{dcC!h8%EOWEe3ilU9=avXm2dxoaiHD)oT?` z&t7rMV1he3V(r`?kZ(6xOSws6#!caEN$8(GMsJ(YYNxmDqpwKGZ(a5W!qybfpzvTz zc0xZxzFp;!*nX-4N9PmN`SoPL2h1&!2Sx_`8u`J_ujd#p2j9(**UOOCS9+AN?nHt; z!RrBYDx3~hWB)OCI&e{8V^f= zWJRhmLbp@%6tKt5mWyFdUQ&?WEFr0+P;+n@YT%(JyyPi7g(6Gw7w&!GZgItq>~B^P zc=<`4fO~~hY6|Ygm&VYNozl)O{_;pRIy3}##;6(G90yJ^(ui$z z5ej~ULXqGe{H5$nkZw2xG|8Y@LrAji^V63QN)rd^I*hkCcw0KFr-8k?#!@Ux#0S5s z!$_pn$Pywt{55!sCn?R#k@x~A3OxmEBmKor-)ZV5OT&--CE0gy2bbfw+6`nDSuksQ zIu6$R=aZJk5>ii7bhxJIkA;?uBaYmh7H$bgG!er)KoFs87jh~xp+<~M;8G`vGmYwu z=xB5%{H)>OF=?G*16yLKt@tZzlW^EI8lC{RQH>{b(V7J+2-q6@ONT7^)i z;i0+o?>C_U?-x_`%02c*-9n891{HEXYkhdO}Z@zxV#ErnHTnrPJoqd5afF^Q)bDJ;47YKuqCtE>Ut1y`f34PC4O}c%dQw<)*uv|SF2=2v^joQ*Z+kxWsa?v! zKXc3q&@)fgP@Qcc?U@9?v0wf}^oxxhO`NOZM3?!w!YxNzjJJqOXxl&o)hYnlOj5$-qM;&)>Si)NqauFIxQ|8NboBb=w{N@uEHLr+uwwte1n zog$jqgh@T7@9yiW?Cx+5&mKF*`O+eb@|~64=vJld#sdsYF~R#VHt2Pce9^qT6x*r! zf};6I78Rr=ewtWH5k?#M^JAF1Iu3J0lVDD!%dAZ@Qwh@4OjVs}RAgi|h6P8__b`05 zj#y)BGHHKCto;$HeI%strlWD_C~7ddeLHJsvk-)@ai>?1c;|C;z1^->Q*y|f@+|h+ zD@n3h&+lt&4a9zZp*6PVk*JC~j%SUndE{M?9;q(yt)zkki2R7&L9HWrGZe?jaKk+! zf_Bb_fp!KN%QdXe3X5_MyreMQy(0C3P%?s1?&A7G&!GnC= zOM4Gv_Z=5QVh104@axq)FCCz_E2KB7Ui8*pual3$X@_Kg)X$ru*dg6~Z@52;40kwpbz zCuty<%b%?iM%&WRl1LiPcrX^0JQ|wXdq(D%v&<05iKqEYQbt}&UU+TXJIYGk6qE9}Dc=7T>K#K+`C{EeOSC*yL&Czw5p zY1W=4MfJKBX@Qw~P*jmzU5k*Ovy7W(;Ia=FZ>(MW^@S1d2b{8aZSC6#`!ND+hY~gn z7fytatE5v0EP46c2M+bO8l;L-f9w{$Qw^#0QFa&~!vpYu zT#YqohF3e`)}}xmoP zJjIs=w)DS)h=OO??g4Y0=q#0h6x-TJS$hX8@tXIMe)VYu=LP{8=z5*&-@ryp9b)t0D=_@?`#q zbj`spo~O?>s$OW%vqJUa1fu>fHpio1LF=jYWy3RU@qqby8@33h;&o}gYTMcCtAK)i zT>+4zmjN`HPi>hRk*KeG*jEsZ+MM?WDhnoB6S3b=*0~jR%)^jKPFn?P8iC3l(OLh| z&2=WG7vxF%G|3W|r?!h?$#hIFgECmT)NJ8G2#*#=X)NDAw&@d)E1aBadr$ELm?iUz`0y7h4}|nxIL!n zPbM1T-z#+_Z2R+$^W9MGfFiC-#yva;U=7k|qDY{zC!%nS z2l7ejn}BeT87Hm3WUFu?erOgT!?wNPbcYO#046p)k$yhr^@)t@i5Cbr#UX5jRYk_7 ziPv^nWFi=7V`L)ouC}5-EZ4CQ!OVS}EK#v9m}xRPsNokasy@ng`A$k+>ek~fKJaaX4H4;Maf zu6=-9_k2?icptpDv}j`}sTRp1QAM_C`?#0T#JkwLCp0dZ$3t;!zi%iBM}Ya1$)`8f zQGPt(YAC$$Zf}?A!A?1KA2c`z?5U~cneqQ0kS7=;P7?w}o9%2PF z7MzSrRTvqU27F9R4voVl2zkpw6MF!|eL~~t4C)pu?*r}_Lh@D;CzV)WLDVk2wy@8F z6!?z-T2fx?puZ)(%Mrhg)nT+JhOgIow!A!FpFp_Ql{2(!zQ~u%f@+-vA#plq$3r$! zh_R1Sq6a_~yWOjFyY0WTUlm)gp0Ho7w4@b+BvaEdys$;wGUUJyvDk_q5-#}C#(@y< zhbd2!BVYVX$T81p`~gHqqqr0%Mn~*q9SeM!RQnltz6ME#amP7IxgUFtWeYMRxOT$Z zV*FUd_#xmJV(e{0x&>(p=Pk?#Qh_?;_&WZupl=ZSo_~}wZCMbJHYOtUwn8d+#JK<# zH{PTo*g)Q-AdphtoTkDb0_)On3_g5NLi3k}4+a{0P?vc7h6>h%y}Q~+D7+&p^f4qC zre-$^DDDuHRP&wLWZ+%d2NooU4-7hh^6t8e07=r(q(ionK&pGp1uTBS3Knk@o4v`E z#-L)}j+x08TgaHn%qx$lOg?SlQ#zi!n?rq3b{Rq*LYa72gZ%WY)Bt+w=-wte#M;q zQAy1|UHEeX(`E*ZY3+LaaGKbtHk-W(QaQ*HQxzR$ zn$4o;p&_#o#HM|0R}3Yp*o2DIF|9Givg|#3y*;qWdn7qhaKte!eJRmU)hV0S$Lz_& ziTbz)eQa89*(V6C%CHRBHu{OvjWP4rnx_X^5oP_RKi7EUDsVa#&5!WO(Mn2O7=sVK zuk_RgIwk$#xorF)KCsr3ogFN=xIV&I zWK(#~CdDehLxXC-vUu7~UP8kzGfl;Pg<9ET!JACr&3G;J(nA;?_oO5l0fMY+^v16^ zIVRltzUI~sG`F_k`U)?D1pcf-zd}pds&@ly!z`#Lbz}T-wvA?aLWv+yGx1mi)ECyv z2h1v|TYXnNLo-{C9c#k%f*yBtA1RCPHZP2LJb|uixYsf=V>8)ZPpZQ0?=?IRSXP<% z0~j9TT6~=-Hee)F1*TKW6NNp34+HuAD=jRpIi%41H?~0;RcllkC;TPvUttyeg(?`n z5G~peuY5uQ5xog-VO*`;39$=q!bJzSm&z|OMsLC3x|NXKrIv*7`ggnOI*p9z7tXi+ ztqxn9Z__m{qU-S1yz4$kCY>2ph2P^RrB5t=9|`?uJRw&zZ1tx#@M;F-Q;Z$SN2E1^ zu5b(#h~YjTjIHerr(Fb=O5@cIHQO+z0FS*(GR;x_xE=11WJAWW$e=-)DEHQ~J+5nCU-bcMF2Pvl{h0 zg7}WObNTzUzC)RqMMeLeUY`t3gbx@X8U-Za9*`JgM-J>3fvx6WAq1A`A^BQ5r8}6>4VH+&1?&Dz1iJmb ziNL`cf%Tp7*Jk5ya2Nc^QjYoEWsCFH3Kew%_oG9hh9$1Uc#Zp1YD!+hL{&5t=TS$T z!1fP+i)~Z#aC2Z4_oc9}&aGz@!|wFaEwTd{mi>5Oi^}#q{A5Ub2z6VK(Jbo=2xZ67 z55r5AqiXxTDnLSnTx}dew0ohNdHM#W1I9=j1OZVg5-*^wJudKQs_ZTKrX%HP0-f>} z+q||g!yVuybcV|JC7qI~Pk~WnbqE5mvN`ajZ#u4hi#6w*X1vBv_v=9pFZ`j(3swr_ zL-uYrTd5E!*^(zc1U)%HNtLM1*{)4$e2{rV{a*hY|P0v+A0M9h_Uww+qsfn}Ss2jqb

Z+#dXfmlwf>CCpT~?_VL!$j zID(D1j>l5FAUz<`fy{Y~U&2B5)XfmLP|Ui=|KhK`pRN)6J!^d zxg+-Z6qCEnVBZ+`Nt%Y{+)OlTaoccml;SvxuNEUg4wg>o=4Y?sQ!)@r+)WGnA2mbq zSac~0*;3nda-- z&cFvJis%+u5Ult2Z-1a(mIDisi0@UE7B4(Ho%8Z1x{PlkzRCP)9>gm(Gh+SaYu0z0 z2#3Jg(!ef{ zh^r+yP$07Q);5B}c+g*hQgP{`O!~&?ikDB*xkE?K=iKxRD@vIr$F&=7EtR{^o9^H#9*#w!URZc{Ye-pSUgvTk!w{()9k1`<%PBUb-p_$d! zVP;{np_Xi)QLl_4Su#eJFzgAkbT(?M6s2m4Fn`&gB-hVIu`Q zbY!B;E3{N(<3rDDb_^o9^EunRY4Uz}wi-7m?}DYHxijSbD7@u+n~cY~!+i8TV>y}C zVpMXLn!Sus#mjIY)`Ru}8*4(|-9|09oyo;lVDS`rdNYa2l^^i&&?+8;RAFgi9fZ7l zjE9!$@}Hm$tK=QAk|A#^Z}uzWuP~3$l$jIzRYT$L3*R16CQl0<33>P8p~zkLUuZYv z-G}WcSZ4)&BMUx^*=4lFIHN0sX+51M=ebc!I^r@R2{W;_!*DU_de~v>YZiQXw!heE zgk5YIVP~~Zp#P*>JB*#B`1>e3EZg6AZk!#~*B#so^mpRV9xC|MfbI3P$cDtJx?dlc zjztMA260AfLoFndg)c}XmnC7?SFhre_7(ZUoc}H>%?ntpd^T$UFW?X4!1eA{X+RyE zfkePFUbi`;$=eFD?U4kcT}?7SoIoF*qtM?h8jkde2`-H&?8N=N25*fA=F9a>wxG`Z zWdcEsPKilgF~*?6cI|roQ4g9pv)gIyPma0+SyxPLPMy1;7wqX&W~g=MM98=ip=EEk zUe96^RO{_aW7WrYsUC3b-`4J*KvgXPc#)?Wmr9keCoJ@H`p}Q8*RjKJ4E7D{113xX zUfR$z$sMHquQF^R4j<3XEStV`XD-ncB+k^a<_=xr8>ZjI?GrOjQ3a1mf@0&=`AFe_ z6?pr(Sl>!esU$SE-|NF0-aaX#^65FH2`MghIb?@ZzfEhu=&M#B>Px0NBA~t?vRT#^ z2SZC*F)$n$;rK$qkMTErEEJ??Q$@ozNdzy}GHv|nLv@%0DcASntL2w9uic}#p3x@o zo#tUPK;pvP!6@F;ZXn`S5R8hmRR>RQoyqm!I50a-dWJs;tnC>ci!WTyGm_i6uJB!@ z-`TqYFefWCUc_f-;Y&GXUzOushRpVoyxGXt_*CJ>>i5W7T%i3WT~Skw)a9=G54;q< zapX6Z7*`F0L?P43$9aLVL#m&wt&&WdAr9`kLbRdc#FaVxp;3{qI!I{}Pe@N_3@B)L ze7R*NM>+VIsg{d+ekY9(#}NvWNQxfj-*+oq&u7Q#G!DWCZk?ZWK)P346OF<|v+!u| zeE?@z3{zc6o@|?!qJKtdTe|uowRg92`>%Y<&-;BeVVB=RS*JDmuK64!J9ebusd(j~ zJjr&;oZg;8i`*jN#4Z{=8TRP)0#UI1_p}1@*e+G;U$8n$-)g;YsN2_)WTKh9`<*&EO zvztWadC54p!YPMxUndX{i`TD)Az%Y4XI=_xbX~^;YX7{+fy>7wW3} zmNIYR6H(}y$S0zsVk)1yyRwW|YAwB!zk2YLc?X|Jw&g$K6A4}~PxSa#OCxHNNKz}~>2@Day753Hn#9qO6tT7hTlazI&{Xb}5!p2YfhzMp^Tq0&;0=UR9!>jh#p5Ygs~t! zET&`dh&m1Cu6qNMuGx z4!)Y}t55O8{rbaw@nd$2za5X?=i@PdD^O{++#(()wE(GWLF-0@D?8r7!ihg31{IK> z!DR-JhSNk3Uw{V4NXN!ZBuJZ4HERzP zuwtMWa-bTL{{{&-MDG3DLsWI+xaH(a35HlW9^lFeK3z-4HI*pb1;0l&lB2)M@e@0H z7S4=bjUUa^(E_p92UxWG#6wq?+*ji)e&I%El!w^NclZvq&3FmzMt{Fz*QV(w=UUCj zbnS}HeYZcP7FD$F--Redxpmf@MrH?|UFO4J;%F9rFb6{e#LWj{zc4?lSwu+!N1gL0 zYtPqQj91w0%~3AZRp;ZEhQ@QDc~I<1e1R^x9C0`1FCem@OOWmiEA&?NqHA93ta+2F zxeLa)d6(4mz3nX54t%i(UG7yVJ@YCl=P$Q)+*N-}K;j2)grT^hKQ?v?ZH?H6P@>69 z4Sf3Z3WHsVHWIaE+7xPAz4ali&ieax{r1&e&+Ay{GmtDKBbHfT$J6RCSe2UpndTcs zk-LLIAkO{hBK+OmV#wiGoL}!)IM4zhy*|-!4KM9L_;H|BRh$$shr83&yb1Q^Jv-^_ z#>_=P7SBHfZwFJHj$9@}@!+PLV-(~Ap#4uBu* z^f*;{JJJrz3#1_Wcu(u@9nZJ}5foijfwxQuBe_4)!N-hHpuw7l5cZ1~9vo*HHj7d@TAh$gU{R2 z-g9@0wG2p|6_duio?Hph`R&&-2Yqg;*qTy%>8z}&%3iRGkNf27hrNA#fc&}3(ENOTf`t>(Zh=(u( zUnKcIQ1)@OlRnh)3uiF4CSbuMgIK{vV^X!l8H~VG*hmdC(2x7|3Ybh=OkPIKWMc;d zZ^O3VYF?tgHQ4z0AeQCKbjOKr)cu+$d7Qj_?S!tJ!vPB`qe1GLjH z(ZZT;2_H~)+786w(n3Sl2k(b4&vtT`h(m;j(>#1ojI?@t3M5^ZCSsOPb1}7e`(hjn zSJvU5fZrW_5q;X~N9@dv?$?`eLjT9(C7Ry%cia?)69mYt*nS@Ese+A3AH|pWQ{Y5; zxMg9lm9$OY;2*$}!A}4u%UbR&GyMJrO89~BL5@$4mV*r8`m}Dw$U`z_h8kxmDvs7U zum^#88pPK6Mwoy}|6+^!7V}cfR^b-mck}z|S@_@#^_&ZM%w+W}TyO-j^e9gPn4hcX zVm^O_XT-|1nE#NM<{9LK8|CEjm{AfTrGmbA@))iF1~b|241Hcw=hI_`e+400djzdD zFl`5(f6C`p^*o!;f~*#EI-f)8{q1~iQO`H?`5pCq1E0O>`ARC zXE&ZPUy8q)*9G+Qjc8zm4!}5kTx9hvln6A|_*)xl)calP{R?=%EZK)tNqUgBp4ga( z=PIwK9grz99z%;2zu&+a(b|dR@~1G<7*ieo2+|H$-7nX=A8#D7)*T#%`H@M2)HZ2e zUnCR7nUm|>mtk*lXU)C|wd*&c9UAH<@CmucK4I1oO5$K`|9G)(8nlPffdaB}!u)Ke zJp#iD!L@(IyQIP`*(Vkzhfjbtzv4RSfH2P5W0^P_4)5MEY`=Vl86Dh=^?CZ*ud$_% zeEOdtrzavk)VXVKD_gg9>ut!jhm##V_2O-#dZ86)EwXe~)f!qb@-~Y|UxZ58Ey%wL zDUB2Bgv(?1buqE#sTO5L#GJ9=kKjh^)zAZ-M^`}WK?UG%NBdR?vL!#t=NWgN!Y0LL zxiw7FW8H}NRO}q-fph^smp%JQse#Xt8Bhpvr1sMZXuh^dXo78AvNC2lIA9vHcJc<6 z1$~>m+i({66@<3K`w4Ht+RriFu8h8plERmRjv}wm4vqU3g?nHe=*P)ug?A^$LxpXf zCpJwcb1Sl?VQPDYbU-oC65qBda$vD!0oVXGnx|tC>(6rc)$3hg&mtUZ6c9VaS z#WqltNryPek#{yB|532RHz3Ks8KZG!A9X)=BT05DtD`1wTe94Gr!md^^q`A6Y#`%{ zBWyx_ef72RgjEf6BJ6*O!j)7v4tm<_2_1%!iS(JHv^9d0`!EWypvdNEB@i6g?ra$R zYCn{m31aTQ}?ZsCMh-cB1T)m`@%8o^Aa zJwkX=A;a1=6c^ko@%7SOY-u2kqP+{yUUR_quLf-YYJh_m|7yVYr3MD#-STKEqM~hI zYQXlTN~ARXrdT5`eK-mJrW}|o1$23nhAL{tN?Xl%GHx*!>_vv|wRzBMO!A%SM z)PBtbSK*Bd(^ksEI=T^&DB^Tfl_o>Td~GkEEF2w=S~zmuj?oE@rrpLT;wYa!W5H1) zz0rcB8hIj)hE3r+;>dF|pXghzflphmlobcN#AnM~Jrat5JCF-9;Sk0VTW@Q7MrnQ0 zM}ZT${+|TC%mqVa60!Kq$OXh?kZD-(!(V8OPE}f{mArh@NG{^T>)oNtft2j#$~W+1 zy4Z-Rs=_YFpm`8%nN+w7&HJ8JOTUywXM#ZbB3CU|_Pl#Tv4g8E_XoQ>el@y-&ua-_ zGS5e&P`&=Pa8vs&ocEPv%vX{z$=L>~u0d5Pfcvi?k0L!k>Qv^xQ0CggHs93@uv{^+ zuW{OuvDHbr{@+POBH^}9HOf$fJP;&5b|6UbPQPB(0UQP8jRNA zUi?o?UuI7fV?W2R=CiAV7=jw0Hs%ulayZ;Bt57FSKhjk6aR28@yha0=H1+TqPsK4* zE~KIvhT!1lui=^~3pe9!%rQFfzvG~YbzX_i+m{A5c(hgcs_b(Le@)KX8Q8D*E0g)< zku?G*`FwX8y_eEG3Tk~6-lpxB>y{$GKk{=jO7`Cu<`4RN_8vrP>@i@c%*V-Dh;q__ zq*FxS%hy1_rG=!g2b~DZlYdS45Ks=EkT^9`7u{=#yru`o|6N=x=h*|`Bye%FJH*qu z&k?Ey0+qF?IgL;QhdNiK(CxeVbkbF55Jtk|sA&2@)2xm#{IQtOo7!*IdGxgg@64y? zp{nB3<|Ir5-SX+7E4kt#pBA(lIA+@~=g8PQzLihcqK3_<37Pc2PzpG-&Mj#E6f4)g z_&4OwGL!&X)2GC9MmPL9QMIK_WZZHZ+Yb=#@Mq$50kn^|t-ZIVSD-mJ@I`LmRBrgt zoU}J`fjf(WL!=zfIZ$%I26S!xdHhXaC+lzo699NdWY zXL!kG{579IyW9iWjxWCH%%>oa5S{_t)7TEyq5^Bih0+*jI#WzgnPq?-n#Nw=!IhBJ zlQ~Yc)#U#x67!)wu*jy4ga3W>y#TUQeVyTcWH(l8CgsDt3q{S(fO+#`e3eZYRB+cF z1bgXSizTn(4PqDg_hr2w^Zh7uNz;CWm9r5rsC}8=;U%HH4R5Gid}e9wYVOjsr}+O- zn*9uSY5bejRso<@`!5&`wk16HiN~BdDCz@lOY{H|0R%d>3#-i<*~8FvvmFpkYM8hRT=2=JK$f9#^@DTml~<Sh4}{%v*pc>&^k4qq%CBtmrw%d9!DrWlI&j0 zU?*F7`u?0i7|*#JGaCcajEA{XNuj(7<3VnvGz%&B2vOa2lQ1&#A49 zLP_l6p*x^aQt33yZrD_R`Tfm76Rnlco?Bkp9Ndq`LRa+HC|R!%i3OEGq$dOE&x!Pt z9q+)9ND+Ez%&8iV%y=a9QOd#^9|js5#R!gK8{AXo1-f#-_yKn_h*XvooB`}|fDIsv zW|b5JYCL%=DrYwb58$cTKi!9+9L6JtauS``%=UbICoy=I4F)`8P!wTJs23h##o!C? zX&j7C#d_`{BOgZLkCW?mR^NwX$fx7^+`zg%_&Sw`b~euc!s;#aAs~nJc_|(*v0kr0 zuh@r0hs~o>S=t$`=D!Kyq+oc7RMT_t!XHZG(Co6$Fg8)cJN|=0DI@uDYWs`kuOLf` z{)Fev@8p+Xp}xhj??B5tqM;-n>f7USB>tv3cS^zJ(Z1%~JIc!EA>M}m!{u{f4NJVu zf&2KxcpKOKeByeL|EYKzkCfwDXV`51%6OaBDn2pZX1P4sYs4CRjaYMx?MW*q`Bcst zTnW`z@<~>Z6@22F=)g=qP2-b5P>iQ7cq-)ib!I=rbSJSg5JyWod0)K3E0#9qSu%l%Ujuis(lI(+t(aYEhkll%a^n*mcfRU%KkCGcM3y!p;H6k1Qij2~5 z!C~BUx$}-x-g8OeaW7sD$ZEenj=u{tAYy!2#XoXKFT6HW8?Xxs#|xMbpDvT>Z|vje z)x%UWMseI4qf(nkrPrWP;8^CO^!Bsr1IN=BX1sUoz2kThzBPT(m)s`4ikBUzb%_!k zy+v0XKlYeRJ~I&XRIZ`%sS^ATIDvDOG$1+|0CnAu)%;Q~{=&21j{1SlIGeReoZy?H z*FdpqIP8rmr+uW?<49(VlT&$pU=K4|q+pjM)N}v&Z#t2lWYDW!M<&2&X>4?;b5r4U zAdivP3Pxb|q<)OCnlBN_$eGhZ)<8xTa7}6>1_DK#ZX|PN-V0vKUhlgbA+oqzSGhX_ zqci9>_rHwWdmg$BQ!2Jz*I>|MPNIqQP(0?k;hL$a275GoOjK8WxHvOd}6o)vH z>%sm{Z{|h;CYhVDt(CI&}No&&MUU3>e z`ms-Z;sDPW{tS2T82*efyA(p$7>^U1n|M$^?@}rVu1}Ar`mi3c_rcj!4zfQYjp&96 z@E@y?{GAm}ptb@z;b$EYKQA?;qzEKp#Cxr7w$t*76?^Cf^o5mR(jjAfE++l6!yn?T#_Ed z9i>pLFMJt#NmM_JOT51B#~3T^Y#MyeWg*5VLx6mOhL|_#7Q1;NR(Z?OT>~3*uNV(* z%$=rHpb`f-?Em#Ot+(nkh~-IlfbP*U^r1%)9Zsri|4Wzs4n=QdR#S&x2X1k%Zp6O$ z_c{WfoeDIc4&nG|ctM+-Kx_X&eJsrqWS^UVX2VR3YR|&k2=A#uAL%R2^V7562YKIz zl;T95uYda5)-*+~%y0{-sBl6h1@O^GvkbZxg^jg^PmV*LW$XTCQWpE2eK37k z`f6nDf{dkK;h<$Pe5URd$vJ61Ey0=qI$?BDPTG7vPFSRQmVuH2Yj6sf9B})0sc^tc@U>>aPM80|7z;@P!nJ^$lfM>gzy~d2IgSSY z1z6Gns%N5Uxl%pQ$eBi*5}ZunK{*#ckw#dvGhf=nGPxlBrwM~9Xmda5-5IUKJK;!B znv6JuEnBMD($x?Qajx9x(GDV%$e4yDIcwYxt!~$VQo9I+cmiP*MkX!e$3DLS+3}fK zd!Brz{|w!5K$ic`nhMN)7pmGR)CEvDG5Z3cGU38w;q{SG6T<$JhVP;D;1S=5h~Ewg z!t82{eMpWjKV1uSBXAb4lmw@DJV8_3C`3X~$u2G()2QGOHaG{3A>0ihl*#z6rH>?3 z2mLeB1-*k|WfKe>HI@O?9|Geltn7f9576y7-1mLG7uEo@K)J{7g;~(l;}?PCfCCZ* zBEb3k1sF}?F@Z>fFZTU-39e^;Q}`dTYu6?J&7ARiOUukaAQKoSe?5f9-)0U0FtUHc zd-~|vc?xX6weZh`>*6-)ME($NcMg3pO4|gt!k+AfqVAwU0UMv%$>i;gpe5+}qJ*|? zX0#kw!aa1y)7^`CeU!uIZI4bO@x?!_`jggqQlB<8^p4AX>5ypRn*=9OZfC3V7Qu<@Yw_;%rEOtIYg)m|X%~A6DSkW;vddD^GXfo$J9K>1uX} z`Lhwy@CbLq0VztKmaD*&``u90ax zEUCa6;WT6_Fu=+g2py(&AONGy;7ql6se_!CD+FoZPF*4>zxf_r5m4^{)kGMx`4n)E zKsNuE7GGRy5A~%)jjTi?+C2^kT;{;LL22l+rE}r&RP!colTi0abZvG^qGpTGY<$|R zk(5+R0UQK^yS8>LQEO*ATN7%! z_sP5SKS+4Abjx|3(`*fav3peTbpZmu+i>8PS*c==2PeZto4Qx5QV6DlFij6E$Z)xz zTqjGk`X`A$7=tiuJL-wQECw(m9ymey`u}11|NI}8e=1RVv9FA}dLIfv{Uz&TT9)g; z^I8IZ_sTgjefJrJ$`&)!28J6l&za4Bm%3S1FU?2Wv##99KeBAS>aP|xNrQB;8301 z>3Ezp3LaVL$=WIU(Y>P2g`lZ9m~Q=o%uwq05%ZD#(W1}Lj?E`sThI#1y_l;d%f?Rs z9Y%yowyiz452l(U?!|vVA-liS3(*6cUg<3DoMLiO4Ipfnc5w$4%C2^ng-SmkMG%q0 zc%|=d@4(rP_SjnCQ?e+w;ru%a1UB^3d-?WoI(NDZ#o8N`epL8o{6;@UZY+S4tIq{Q zJBk0Si(k(8hI>#P)xtEZUT*>mA=z|vQh~AZQJmF^AW#@WFpD#g7hPnlDVaz1l;l#32 zHNAjVw8JUl-`*@;5J&<$?O|vY)wdaua=YXwZ>t1Wc^SA5Z17XmoXEdcIzHf+UwegSX|BZJck!tOUG3lg*zsNpPZ z*>Rk4(1MuZ=b!}(-RIjJaI{nBH=uJ1L){oDxDd$MKJ7#C;{FA!HYU!jR**UUigW)xU}K#SLh zvG+*Ph5Lm@tn+6@zeaU!rx#>!S88;%Q5T?TV`H7o1x}4}*Ck_oTxDD2;4X3`KMA`T zbJr1Vbb(r{&(ivM1mXyVRgF|6KZ^N_F6|#wN16UlP&Ji{aQtF^1OE)ab9un;|J2{* zlnK7?#&^Dd4SEshOaP@;zXce%#TWL3aCwGym&wi|IFOyw;*^ws0AA1B1b!eIXn%`O zXY=V5tp{z3YNV6boTB~q=~gFp^6S9*DOkBm6v4*T4wJ!I?Fm$)H&Vh&p$KF8NX3_W zGhqMA9XeBt<;9={-Oj%w{o);qHT;dMw(rk^SR^;+MT>9(cq@CP#bX#;@l~SVus>jR+GDK7wA2)e`fMP%#E1 zG43D0;fdgMJi*yi>sRip7!O+q0S04t#d#2bh10>3hB}4y7N}rji%}G86^+JPrK?-d z`QjJo+^gmjBBSP3aoZne8$1@qcY|^MjNc(*^1Yw_E_WKiC$N8x_=}nqZCP@LE_v`P zSk~k6(MVt;wr;taOEq`nB@6L18k>Iy-;sazRy@n^Z|UEs>EHjPzsuqd<)6lPvENyF zOTL0P+5=nxEXY!GEKWOg5u{JkEgt6;hgQ+MAQJ7{;vEFAZ*Rk)+sw&y=J?Zbhxf%< zJT4SodqpZjd6G(z^cRD1zh3960iR`U%-%M4pYXt|mjY(2|B!E{0q}I(zKTHKHknHi zWb-){_#)YNQGNKmEBd4$7D%F%p!?sUGUAifOh^CVhBwmF>sL0R7Veo?`A7Zvl>U5Gf4;9iWqktw!yD(L)!O}= z@i#16L(OT8Xck{xj&rolX&dy*_8%*--7B6h0RqFPu%($C{^(g&iu5_H_IO`_>3-xX z{7v(;q^A!$5m{2og77#{B@+k3dLnz~aMlR!49ll`@f1{m2%hM@@T|az^Oq+rJe}XJ zbN`0fbd7$&^f$3YX4``fMs7~Ze%rnHVtg^K8-YE6$mHb6YsKg!az_$wh)s{YCZN5I z{`wkArm**xn$0P|q00E9uBxV_iu%HPu?dLdXYo#jb({_$v4e2CaSpo(ZuO^x?$)MB zN~HRfd+~94KJc(2D80>BVzoS;@R`bp!k~>bINIo=SNJpU$9ML82=rjj7)?x>5=%cu zft6`^Yqm1B4MooYfgMG=*^gNPz^pd$vl2bHpqTK<6} zEPHdOcE3r%QvE`-XPncvEuET*CX4b@nOh*E*+&;5j3xETNPcV0@w?}!RS!E(?LSlj zo@y?Wa*JXsqHq4tL^B zAE$cwt_&<_b1xbnKz)FjeQN$+E$yCuOQ)V(s*3*|RRYGlHKO-V16tnX{3k!*PH*7u zN1dv#O-7;qnyxnfEaW|bCICR-RHknTka*aEMCFs{gv9AAe=1WuECOGOHPsoBx1dY1 z!Ji>Nf%Tcu_wi{DuQP2gLgDBPThbi<2yiTeW3U##Rbr+x+6QMhg%2^jrgoiZtBB=k-s~GEu%2iHuXyo@3+kByf~X`(`a}KqD7aLm0LotHtAHw=ue9M-n4+kb zKHtff)*fC=%FsezS4@RXfM&G$+A?f@;%ovHKI=Hy5$%upqO^X{A>YU($ypiw6);k7 zzJcG^Z9;wh2Uflll|^n5gls2J@L%Fgm#Oq&c*n{gqj$3n>=PY?9?Tq!H%`Cmct{-U z!F|+kf)nHTr*@qSu4V2bgx^J8Y7 z-xZD&k!;gwVB?qfY zrcJ#sDPoOZtEe9T8PNa$;(NsN_#P}(!90!U`oF{@PnYaT!)ZI%(%S_)I75TMy6bo! zuzST5%<-j89;hr|=H2)eYv&Nt_86~mk32$_Fcr5aoN&C_DB)Qm^4c z_^V1{>kcv&0c*k_{SZ!4;ASnnapdL#AUwYk2gpBEa6qWP4ak~j0E6W-2y(YU!AurF zAz^`uU}fxqTxvEU@E<><_f2G^yoLgAfWdT5-1Zdgp|T~skpnyaZ`hK%&ct^ruJ!nI z{{D|75^LTjcfh@6R`R?|#!4&VZmiZZ0cOvRIA+2t_y$7l13 zSqxvCK=~w=-;O61^;wCdo-w}yYc;2l1&N>N&uQk+Xkf8wC%B;G3#e}8C6lDznaycb zlT!0~bfCEKfSI8j9_h=RDyUm6Hi-~vo9Y9g#OuLE}h(e+Mt#Q9vWZ1yNA3EXrFDd>V@rr^l8lpw(v(Q;1l9++EY8W7%B8=9}a9tmEyWAdB!!hcKxm^aVk9nBfoR%Ch||W|B(liaFOqT zssTerRC%uR3>b3duqw~g0aZBziVJ(m`>WLZtl^ILy#`cy=6hV1xbQb?*suWuJOhRe zy`r?LS1;G)uA(`W#s1=wq0?uVm%7GJ%=5a2>Q|N1(PECLtb9(<%(7z7^m)@~mUyPm z_LuuSmkp@8jQz!aon7H8n_cc1GGIDd&C1TX@~WJyt6h^zDmudl_fd7T)p(G%Gvi;7R{PfQfd9b)* z8kcwTO*grQRS&4{#U79WC!%7-T?JJ|_X28kI8~9ds<78Yp=ni4 zFOO$Pg=Zv5S3diCPpAJTl|0}t!;pr0CRdb9FDsqr8FkwvPqCnXD68J%8F^2UznB#4 zRCywBQCU`8;+Zsg$Q4&!;bAck%SpY_s8{BjK6Cc;`#aUMIwBifu9#vDYM1#uQrc5d zQdu^;*fY>yHAq?-;~7czF1vR~Y1zy;Agq?qNs%z{>{75ei~0cvIhr-3k?FvI&8cw! zS&hVg_W0&is9Iw?VJr4^Y*|&&J&>p(zi+mOoLAy0on7e>4)x3e7lA#1y)w_p;*yy~ z^Wu7xR&JV{?-@hvc*e4TXKGowXI9zt%Gp)mvhrdO5Y_Vm_3TPt*V;C8rP$Z}l1dD6mZwN$ObuJAOpKN3^kh|;G{!T# zYI@nsnMFRJX*!tx9!;WwRV5{4x?#hw95mF!Q5Sed0)r&N^*NRE=gyy#Gm>;H2hU$W zHHQ!s`G}rx`7ci>;F%3xQjAa~++C*lgfM^0e|i3yzOo7s7m#RiqR#Ua&73)VE~USu zX!;Cjg9rR25Y}&L$m1!iB0Cdgh%G4Wn^EGKU0Do4*8oBCIOOt#t&E-Rp{kf$S>^)- z<@03p_sjnQPVXD;|bMpslNs?$?e`t9hXrmpf-&6w?kCoqM075dBKI(GqR+*%tvxuKXq{IxzIBKs+h-3_4MXpF^g=9kE*1F zYPO&>^G`%G+v1vER8`gWM<|R~tJ1=}giw!>3HuVQVPq1oA|H9Z`I9E*N##2|Bg_4> z?kTCXyR}-oDK@<*4W*2LOFA_$$130D^R|-8d!Uazv;Dpbzi+5#$Q=GpJqP`!(Dy)> zO4f^8{UxBTjI;!3u-kaRGvonjVdboqpqt)OxQ(^q-?oa8XOY6HguhOVNH zA5b;eQ!r^lj%VZypRXe4^2@7==3ss;nlTiX#l7YJp|dORy&Q1d2R&JJxvqWr^$dS@ z+CcX7WziTCt1P8Xe;*$I~B ztjoKreGO}8dww*#r1DSBu?3Kx|n zMa9%KRo`A$R~%4PPzCA!*2WW;DV`0bLM00chsc8x0Eyp=pycoM&n&{c4g|vLyT7cW z0#NC3S#+~tg>vc^1_h+hrQ9j|o*6||&|=W5F%|-iI7a}>vuM4}EGy@Pq2JN65l|Ga zCTokW$e~XlB{DytjUm(Z?1gEg7^d<(Ptm@ z?V~2$a?>s2ayq0iSALgq2pLPEL@~|k{_Qah@mlyHt@Usq zCiiH41S5#rpYAqW0{K)nG~4%)FT2i5W|sJc*%_$v_d=Ce*W|8WUGIPG#Vvc;8- zl~b#fz8Dj;nEK{^}kiZsFi|-Ozl$y@k?jk_nRuu4XJr=V^v0nCz zQw3{PS#D~^rS>c;F0SN^HcY{2FXHryqlmh8u%~kRbrxR6&h~SuAQm=tls4G0UUtgm zV9GfeddQ6)mhg6oRRfp4eM0ym9lA+vGX^Br<9*n5OKyYO9QmIG@*;E@l1SerDC6$^_ zIKj^>12Y4IL%19&=F-VpquI0QVDa~`ITlp@piVTJOa~5FT~nV62#;D_%*CYaFRv__ zS;htaP|r;u!JHD$y=8MSQTr>TQ!WL-txke+L7{om=}2OmmfPtf&+TY?Ks5=7)gWz* zx%i4&x66VC%!0}9{t`_w)&zoLZYx>l+~Aoy@AC3OPp9!XT7+_%?iZHoR7RX$-wBM+ z)pmr5i|l_`M;vP3Sts{*sp)0ERsa6+LTdV-@$a|z_XPgc;h*(eqR+V~Gozbw|9n0* zy%qngdOeGKCv&F|$JmUYFIR}H`Vni1JW z_e_TpcU^z|_2ekURhDzeGD4L~*Oq}QH?Y`0tDpcUNj}Xh3nezs@=D-tEYL(%0mlCCLG`WC6 zS5-xs3)&1uc`;m^(8iPVZ<2+-tK!~Sz#hEKu(o3sIh6&)*fpbMW`zrWQ6K5ntAKI{ z^>i7*z05YvQ4tzemZu;U>}G{*aUQDSQ-J4|`DUgA13&jDWWr&<%iO|%%`S%xMO9g_Y+T)M^AA9csUsaI>{?EOSz!Mub?D|B5 zfFvZLh)78Y0Rn`?Bs5zHDG*5`g<{3td&Ay)LtWdtuDbTMpzG?oy7sm2T2@!xX8zwZ zGq=6>5(4Y*|Nne`|Gh6K=ia$9bEls(XU?2SN@~59@io`1@)9fGwf=fcYDm=S$KjQU z4IfQ*5TMEr5Xk}h7)Hjg+kfI9S;=UwYdplujx7`E5J{OxTi$8>*%-a7nliTjdd%$H zgLF6a@~0C>8loAro|5uZx&C%;yRL}Ky)jd`6{UI~R=PbaMa}K?NJVsDZ=7Z5VVPo8 zM_um3#6kKd&$}7v-He)e$RRAxdF3y|l^@0nqA?*f9;HP~y8Og*Qgz7J{4x&M-SxB7 zHqC}5^9AII@iHB;UnUn`in6n_VPiY$X6wXAw-1j`Da^YJZ8lZX#yv6;9zncmkH_GL z{dwCgd^^I0nmQP*^s$&R z(~BpZ)^H(*(`n{C5<?^Wgod?!(Z zXmo=4yl%^S4r)a{x~?d|>ZV1kW3_b3pl<74NJy|YLW{L^kAQumG*MlymNJKn!z)>@ z>bmnsJc}V$#+&5F#^r_YZS|_?{F0cGV}Li&P0olbpvBb`>jo9K(uHB`0p5v^q;`tg zS(E7l?Gt6ZFm-AJbmM=K?m>(J(tXA+nk@l6BA+~WH7zuFFJq)Pf6{qtXqI&!-GJo# zdf-5!SiQm<$ZV?pkB(oYhmcVd{colv@<|MlW|so`!P<;0OhL4gIVYV4SgU}lr~25d zqZp$XQ|jtqJ(?8aH{BX-p-C_HYpvYOoK#}iuwiDX-#?L%d`esP=Xs_T>go+e5}Hu@ zT~71`s*riGMm2;X)A|;{n@NLo!LYc&Pe|l@c2SN_s-v>&eP;X-$sUb?$uVuk#*chg zmJo!s6v<2n@==-K`aVnLh4<`Fv`yTybZ7G$`Iv6}!;!4EAxv&+Xr~FVT*xS+M)M7eaKSd&g)qS8R7PGRfm_$jv zNCir*MEiBPQ;%wt42Ybh$G$8Xuto0;MkejD{vjb{Tu!2jezTJhL3@#KY4ZWw&45Fy zb@|fxVOVE4`9zMi*- z<;9XdJC3D>K~&RHiyYt6Q0P9iT>_F25doPp!R@R(F1_`68XAfFbAJAoK(EFeeWqKlu#QK9PWI&^S%<=`7bynXaQC%;SC9g^7SPX-PMAyzO z#)(KPq0`0!MJG$*$jT&zS+$rJ))N<-ej($`P?^Sc(Avk*GU#@pM0@G6-bL)v-igez zy}iCuVr{DnrI4oE+xl*0ay1ch#+mAx4pY3e0r?uMEHXss0heKzG-R>}KE!rHn!FxaV7{`v zyP+W-T&GuuUw=7DKis%(Lk*|DCTmTXvKCf^qgR<^B>g465o2Yxml$P^N}&ZMvPxkz zW-3H)3ej@yO4fVO>PjSxi$QyeTYI9Up?O{+QBu8<|A}%kHW^7Dab^WqLT>fH@PFfA zT?~pig#$ShEGCdFF&3CGk|cYfUeev2ePz=w(VO%qGRIP!nW!o1l*&K9 z?U?aHbYW^}+V#w-Jodg_Dg@5X>jctg3%u1hi9F>J5=4Rvk>4|xixQPtBae8*Q!NW4 z_$w=zRx~T}F8shMr7RWTZ*oOm_(jrv;IF)>qNq|!aa!7$5-_NSFPpgXqRR3GiPp|W zQkQyJPptf+$mb26T8b3%YdyiTP;7PGc(a}=8=C55?v(z*lk|uR1V5$|r1NGy6*kq@ zt*qx|yc&A~D&H;P?3{ zb+BZ~V+J0Pn8~=^T(_c%p;fp# zwrWt0nfzp|=e%9L{BUA(En>BVJLMdbw*MXGFC zNmbG8g2}UrRPnUgrR7CR{1#PIsN&gWb1GHooJv6`mI}LEhcLFKy}dzJEE`6&2*oki z0nhe}&jk(!YJoD2zU}WaB)2TJor`MkHCAt=fFwq*F6Wg23P}h zv@B(DMMQ`EQ?uukOfD)Pp3oBT37LykMP)f!2);wRDxNZ2Hz4bSN@-#G)yBk$%4WS2 z)+J4WGcs!B7xb;EO)5rzHjT#y&Fm(%rhs>1qS|bk0u7+ozp#};j0QMt%$Wt|q@OElB8B*?7Uq74lZp!mrw;Sx-mTUyYVt7`N|s*V

J)}xd_#Zly4|MR6{s7 zUagop8Z&mSWJ4R4?ErR4Qp1WUf;dXne^1LqJxGrVGAkZ#0>Ejp`q`wnDrmmEEYLF1 z{D|oatkJU&DGH(5|S2TddnfwCx;A`kxKe$ zr0Z(ET~XL%R^-iX_^{u_sALJ_=>_O%Xd;&hysY2o5r%~8>3JG|dA;;Ea=rev(w->b zn@M&Si_m&?hbfbuQtRZ9exziLtFprySxsn2$~=XMg1>BS=1X3lkj6`9Bp${<>T-Vc zy`N{ZL>$R>+!d~Je%MfkZD{0LB354k&Gw#@$+t$y4RogUil;M>+!d~ zyqn76B z2}Zp*Q{|rfYu}IyzmGJgwm@%7iPPVBDRcwQ))TM1iP1~a0#c3sC-K0JF(~(lPY0b4>8Ewp!I19qc|l+X4;5U zth|g+W?k2pjmU_f2b`9j5kJ9VxfeKs=e8ubTKjxZ$i1BVodEfQA)ge!)}1CXx`)DZ zP!FC=m0MY^G0Td%S-Ja?l1Nw{GHb*8BV|r#a^S~r{dBanz|J+Vf|JoIv?43j5|NPR zrPq#E_^VTNbg@dM*6fFSq<|~h`)TpPCj(UH!Y;+d?%$#Yw4#e zC+WSii%^z_?9N1bx?VNa>V^%Wew|zfjPl+N8<|a~gi7~4U5}n7qsT(5wCu@~&U(5y zx_zxTJcYs(U4(_b4lmwWra;^^B(rm9Vwe=HjYB&ylkN0Z8E74{0ED!GO@TqE?%ISI zk91RlGp}VOu1-(OjkbGx$5J-e`uf_^#u!CX;!0}!GJ>};b3_`CYFTxo3>d43TWjwU zSjuQ#b(`$%f>6kwgP`B|?OV&LWdM%E=9h8r!`pR~B*o+nOM_L%4)rlJ`xfev{(3Ms zBmNlhEbs>KDexl@&&!DK01N@f0$1a9Gcdn6Bfcl_<@AjBYrvI28L%U8&5VrrslYN| z0Z;&}9BZIrenxx*&$|Mf0{2J>N^*bhi9x9xFzi#ods=u3X@DW~p%alj71iw9)H zj{~Lx*HXXR)6V*H{}SK(J!Rvl!@7>^I=SntuF?GVSB@IpbyL^vUAJ}J*>!i2Sue!eO`ljn|UEg*o>>6yY2CKc*Ftv{w4i}S+ae^F`tH!GR)HpR>O;8h6 zk(#Qesp+a%%}_JdELEz?)B&nORjN5^u9~MZ)qHiJI#?Z|4pmj^Fx9MDRI6%N9cqPI zsaC1O)e-7Qb(A_<9YbDL`?(q2^;6feYF~AnI$oWiPE;qWQ`C1|pLe~`bye4?>I`+J zI!m3c&Qa&8^V9|ELUoZ^qb^aGs>{_C>PmIBx<*~Au2a{m8`O>JCUvvAMct^PQ!V)>yfUcr8g+)^mor}fZya87N`Z?gf?$p&~L@hbHEGgxBPz|coBFBSO>fe{CvL! z>J=T|`soqk5Qg5qw)Vu0E^}hN*eW?1VKdX<_$Lf=muYX3_f3Chz ze^Gzs?o0Kx`bPateXG7xe^=kDht)q)LZnZ<1La6 zvE_iRw%%sj?FMeY!;U-cyvwe;?Vi|U(4Ko`4&Hmn&|&)w&&nPVsF07_fBb}rlky8D z7fvafI&FIKjG41aW|x*7P+n0vXYRcD3l2Q!;6o0rT4*%Q7cXfzY-wXtb4%+o)MGlA zuUNV2@FR{q>gZ!uAA8*KC!BcF$)}up+UaMUdDhwIoO|B+7hHJJ#cM9P^s>vZpn6WD zr>#*tsybD#UdFOiAC;l{s(vc2Hc|c6rfM@rpe-0*2B@tVfo9UbFT?*%YG<{J+LgOo z>D9NZJLuVW@pN~$F>I*{bl6d9v=|y@1lxfTY$78@J|n|!YIp7?Gj>d2JiArzee+=i9Mz zYqgF3>QT=2DPK>hJ=7pf)9l6AZBlu;#Q_D#O9|EDjJIwbq|*CctV^TVf1YjWLwSfo ztWn!SAqHAMRcfKW+fCK_p}g4EsG-ES53NJWUw+lj<@Rn2w8C>Wc#?fCw0N4uGn4!o z-bgW9c_ltg zye1XqIk=a*OS&E06eH`-&Ss3ZGVU&PynZ3=Ib4e$r~{mG=RHQ_9i5$=&EX-9wQHaU?lv&D0PryTK2)tARI(1{J`_-1@$6h*E+_QZPf47?={^%+A);-V?Ew{!lBKdDUT)}roMzD`PM*aKh?j~ztw-#PYUwuZ09(R@OG}lE*m}8#hlHY4%NwMwS}{#GXPs;+c*QA zW@iUyh%?j~=9D@6IK!PRr^cys>Yc^T66ZnH;2h>GbsC)}r^RV?mN{)syVK!xI?J6E z&Pr#MbGUPabEI<=aUBC-z|lDte4KNKIUo}l=Yvj68o@ULQF}q}Xu~>>zW2I_7gsqBEL$+=ixc(+ZYnx#!-bE1Z7Aac|>zoOfZ@CCni-2GC-nURyGe3Bnxxpg%zIUMGi=6gVtVpahqF!YMYjrD^RHHJB{>ma2I;>_I(yCRf zszmuhD>SyVL~MlQyr^$P9YbCc6)UbW}wuF&i1wuqQToZqOPMx z@@%EpwX3j6)llP!_VmZ8+Z=554Z+66Icb*0S5pRfT6&4fCKz>RYv@ez*E58z!ku$KqpWQlmPnynZQ=S ze^zG1KLcI^9tRdL%ZOJ1lYo7I?SUBZRV(r_;2Gdv;40vBUqj0AQEHU)lY zqAY=Rz{9{z!1=&2z*1lyPza0wb_V(Z-&0Q?0lx>H0PX><0nPzFY3KXEqrh#z#lZ1E z3vduH4HyaR4r~hi(8l+Hb-=^GO~CoUF~Cw_9#9C30CooY0pC)d?*h*Q4*=HzX9Gt7 zr*=|Tz=6OdU@u@3;L8ru1w05`0UQS`24(@dz(C+%q^EK@^$Y9<#DGr;yAHS?xB~bb zw|4+(lP%y8wA&bP0q_T&!(du=@L$-ooyBgx{XCX+h2-moWQSfqZ^g*41tY|!j24@b3K?&LOL|>z zul;)TSkmL$HQl^zvhFt~y_b<7ddWCodUn)3`ZR@gouZIa>g3OR8J2GyU)DrCZPnR&5{u<0)uBV|nN>6+}nEVg%L zzDsa(5x14WBXw8 zO5sZ=Q*W+Oz9(6sj9$}u8x?l%&HY_Ep0$2#cM#JyN@BY^c8~Aco0xtsKPE+9Z2w=S z!u$UJ@c&BSeOYYM_ z*#FOQ&u6;1a_rpY`Pgq`FT~cxo&)5Uqt=nRCu6zlQvJL=+|Y33Nki53u_4HYE{_dI z2PaqE94mwmu0V_Mve;C#2XDf+BJ8@@0{wqo?D3dz=I3iyNyHVg>th$i2C9o=Yh#D1 zbMbj;?8;cPx;mDpu8N%%yCQaa>|k{|?w7?biJcU?IJP==YHS`eymhftVkgJWj5Vqg zV-19C!`IQVV`H7MPIYYT@YphSRO|?KMC=GcERP+}o29X3v6ZoAndaVStc-W1v6-<6v4Ys7*yLC-Z^se#I5j>tC04}m0#z8x=l#Uk zS>$U>Y-}ux_d{c&@jEnjt{N5_!E;V*B){|3?y%l(i01;5X6 z`Of{s{Tui*cU|l&_alCP;rA8KZ@QnlZ}I$l;7#{6;C=TU;AQt&_a*mj_fNcimY<~e zMfbPvtK7fL|Cih+_&w=9=Dz6u+I^b$&$zd$=Xie7eTJuBy7%z^QT(oR?^buX54(4{ zx3~|vci?)5d%Js|`wMri`+&OEy^*_HdABZhrF)Zmr+c$|je8j`H@X+O7rNJjZ*VW* z?WOM3{4U1zOm_`%G4IZCSMxjDJ%jhByC=G*yT@>MvU?iu*TqhCSMhwbd%WA}p5z|q zuEgyG;BdDc*TwGPZZl5}Zmatcnp-vQQn%i%!9{-U?xF57d%sq-<9l69e9y<%T=yWi z8hn^L->oIYVt1bVOLZ`JbKKePfjZP|x5O>u{bIMAw^Q8;cZyr+&Tvcdv7cMS{~5UM z=g#DQKR1_mkE*FU1Nyi>I$ciO{m$v<{>xbx%i!)u7UH{{KD>3^@11`;pF00^zIMLg?Yh|K{Qt`N z-1(dHcjsg6@{RM6^RB*I7yB0cS9EB;27lr_qds>&bcK!_h zo%6Qyy807gpHuHT@9(2LSTFwry0jm# zaxQv0^34xfJ^u&|+E01<3T27U(V+dFxAM;X|2uj--=V1^TD9Nf?yv^mh1QPv5KSKX zs1hwO2R$%xRot(OZJ|>snmgN~bTLqCiEX0YL|aVWZ^!?goL%_83-7l=%S*J&2BOO) z?wO8gb?uDK*M2;&i|wvmc1AO5SKNo72_>$hoqf@U+7H((bfVV9M)F+9^Ca#?OKOZ$ z?9AnUrZY?bUl;QzSVN8-A|`e;WB=`ggA8ntH9ax{~cqm8sK zb|gATN27ss3VKOL@E;0;_R-n=&gK4G=LG(rhF;RiXeFKMT+Y+Q&gsq?{9Ni>q+CGJb{QLk=t8+dw@-)(5k-Kt*V|7-N9ZJd|*{~CSmGj!nYceZh!!Pto4cSyck8=*+U2Gt{!49z`hu>;fl{fX|S-L2w&;!EFqV4T0+z7TYH_JeCb7k`v2? zFBt{TvTtlZ_?!J><26T<564re`JAcnJ;kvZa6+?UC2&N-7nQ>&RmSGPOU;ihfNweo z9_tYJsVcatYIrQ+yBgrSmc|<4!dl?Q+TrF_z^AQ($2%f+Wb7!ozGL9=j)TWLA$B4> z;>mD^r@}X$0e5&-?CjXNvGZaV#4d!-yg0T7F7mS21F)q?zYvC2Ha<6o+a4&^h5U$}uxQO%N7|wRj zf;%_^Ug0$NRQDA3WcLJkgQMM}+#}!!R=O+Pi04?wcO>QH!z5!ZZ2aT_G z4{;BM1DFq8p9{sWgu)9|FL7r<&1bm9Q0u8sb)ol@q2ZIKKcZ#sW;-f;flyvFGGDm3{OsPj4~?~Be0jG4cM%03PKeN0o_Upfyt z4>}Jxzi{q_#;$ela_)rM-tOG$-0a-s-00lkT<=`xTQ#(>ZxOn_g;2Nxbblu~6VVeM56v70l^hGT9D|-$f1et&?2_>NsV->_@v3pkKZ+1K+iT*-%M^SuX`@(%pUTkHgS13u+- zxR+PaG=3S*?G)~srK%; zCZNyWo)DqSL!j8haNh?QuJ;AX-oVl1cnte$##7pppt4gapAyRcEq5a2$kD)*Sq>#w zN(r7v3C_?8#xp79La28c<-LHiKA)05L~Gm6V}H#u>Z6t#o3D4?Tu+V3np`zCwV3)_ zLY=k4H#ew1xi_$a)k?Yc5!CUKr0r7n1jsJJGiX(3(zfoWojpvu zJd2k0YbgJBw7Iisec#iHGMpFT2p*tizU}^sT@stpH-2D8!{60z^p{NfT?4&oEd48& z-dN!Dbq|9>$fGxHM}Hm2%w#0m`7`O6lj*Os=-Knp)n7m#Z({T*VMJ3F#h*3TRKHxoQ{k72SB~bhZxPTj(8Qu>~ z|3Fjm2cYd9CI1ZGK&bg&pyyvQk4~oPKf3>buK$}E_)pBug|h#Pk0(?2O_S*RHq7}` zY5Z=n-8G#LX#CzGDxVdi^CLB-9|Nr)3$@>0Q~F6z{qe{#3L+GLdWh!FPNDkqx}p0u zNLK2Qz=Y|4ljZ|9b>p@FBRvdy#D14aayFoTNxHZqw3> zn~_}z-{El`mm|Zt)V(Cadz|N8C1eOLrXve2zUUSar>1KkB7-lPKVegpBwp+IhgPwU$al?OJpF1C;7~BB_C?8Xkd zm=4kQLaZecZ8$w*(NC;fo8zb0rcu*hSPRU8^PZD&Jsi#8^Yy_Ut^j*M}MA=N|0 zGG9ka4K_-&zC0Gh^pSw}kPNZS)!rJMn4zOv-nL(E4zblN7ZaW#Gcwkop#{Vl}(AY+)Hs9i?h0a#_SgFaM-Fc#IA$#@(8O47&wOjSZP$fPMG zxGGxeJ62$u%Ri%7US((2?4MvKU0@5y8g|5tS0^^q#L79lLfFqDn?m%t3+eotZ6&19 ztCgIHnl{~} zA##djVEfY6tyh&^G`{U)eYTn8ml2?`PL3Xwrfm);vwTBwkMQu_b0tUklP7>Vq^!&Rng>h?#fvZgzsrR$>F3-9B<)wXtGn zD$nyO_I|{MwmqXk;#<_oId7cPQ&%Mi8gR1P26WKLo$X~hEDhGPziY>cAX+vp)o`Ey z+rQ)xZEZViQA4vX?ofCVAt$f+CdL-kb*zx1opbb|4g2aQtHS>4>Jt8A!knd`N7~vt zF?nsysq#IDu{b&C#WP@5$FXv3X)3IcLt_i(%qRMg-|q7whtO1!`lS3+x7Rc@m?jp) zV?Swj#$_iK$hi;ISQ?cBndp-G)CY4INrUuA|NGJt7KxoH_QOd(>JTfwW}MLm zC5J3GX_H^p7?mypMi}ojDl>*I^6Oa0k8JdkN|xJ zq$!}}49iXw$mzKxPEzg1IWA%B z`isFoZ5EtXSlujr#kPWAh{i`jMPacy6*3x!)F~F`XzON3lihaf56=*bjW=oRx-sY- zf^AK8Y%%m{rJqhL^661TY$&!9y;x4P^)}T%9#`*p6rU2WcYYnRIALTq=X8g@!!XuHXZ8GN!eEd=&;JAt1IU(Y*hCqoDxvec$RB@#0n{46 z3|NQEd6snSh(0s~e}yQ=P7DUd7w^3r_33~pWuY(gRk^PO^VfF{B# zY3~J7%&nr88(aTus_3uEO6L`oCo1NYmCY(Hsz{VhovK!(y0S9XU+vjnao7U=N}7OQ zUb>4Hz^u_Ipwn%2lhm+bQk>M6(A-4ke(h?iE$qZ{U3mDw5;>>2=2nLb-W+j1xp;N~ z$7z^~oIJU>l%kaKB%rMH@m>4ph zMlo{k@WiYM(`HW@DxVikbZOTd4#iI4`o>kgoK{4`Z1D6zf79nT&pzKXHIM@WABAvSFsLmj%?&=dF9kFHgv9 zF!YSxFH8vZT=S=L3N{B1v~-AR;kq_eXpgox#3G=+;}WXB_l{9?YC-X=qAA%d_Rele zq;Q`4oNYcNQ-w6_rWt=M`)h}08D709&|>9IMcnHYDbH3a;|&HlgQ6CT^TMjqL=97} zx3MeX>tydbW_DFa3mh`Gp>-fH9kTOQ-(`M8-L7eF}KPN|x%gM`;Ym{-z zn;&|ct15Cmuc~6Cxg9%SRpgo5G2HIwdq@mdIg3Jx7LHvK4Hfp%Efb?GLkm?2lV=Qk zRwpX5%ImP?U#o-%Y)nkATdCy4K{I|v^22b2KRFR2Wbo4(g_Tbfm6w;6b9}{;x|*f! z96sUMb50+^^dHZ&KW!IQzSC^OH_=4r@b(+hnq;0PmB>NWR(fEp^G4HW&)AUk)wam# z#&Q%(VhKRO)Yj3vH9a72VoR2BHz~ED@cx-y%tWlw18ut5mWw8%nppWIX^a7c?dIC_ zdrhRLBGqM|Cck5Po8L5HIkBR5Wo5%g1`{~QG!4KMP`Li}84*~7&?o3NiD77KM%;wQ z_Ic=t!_=mv^W9xo=8mL`1zZlJl+2bxeahHl))EcVaZT)Ih)S$j!hF$?Fm2p>1eKeut(7Or%*@y` zd0ZU{XM)I7E32L%N*jhq=Gsho!tLnn2-hQG6_1nFR_~d`OPQNA${?8tyql3yzxNuu zbc>ZTz?di_!y_Hf=P#4`NOvXNZFp1WfxOHggY8Eo%_LNlQMobC`|De6%0vprNE2yd zv=VdXo)UjvUc||?J`6gy5A|(v8*a6MDP(Pfa62CV84l|elBq<)#DDf2Hin`_?I`tP;7Q@|$Xm$wZNft9> zV7gRRRXVf3Du+@uF%Tmnf`v77y1!b)ly+%rO9M9jmo#8KrFcp?bJ4aAETU8p%aXPh zjF}j!*x1sJhxSI!kBv<5bvSId$kbKK4LFTJe0oP|*^l~EJe*cI4w0EkV#Cfg#DEka z>PY;a-_n`(yeL1?Ns}fK!(5vT;V6P<1Cc;`0ose?(=GyQ@Tv|4sTruI}A7skm1rajmoiztb z=#7)9!Ts+wEN;$%>9W&%J{c~=AblO?*trp=8;S4EW_@}tJclN!0XxS>!*OgV*PE1I zBfU35CX>4!avKRNJMI2y-Y?@{I<=7Dp{JjFD17{ zpBN%d)3!#EEp?w%j;8H$3~cW!BQbC_`&*EA-NiRF@1b~pmb{1J^M;t9KD?=dF0UDt1=A8_9dV^M z9LPBtG9+}i+cGUKEb=7=fw0DlCbM1Mdjf=X?}KsIcA_dH2b9L^^;1?g5L3!~Ej$Xk zYoxqW!*6tPrHz-f&PC!9PFS!6k(97-JMhvK3ct|_3&(4QVLC+6BxU?$P9V*a^E*TW z(O3(yN@?he}5W!lW?XxAY;zbu4rxwRP`(XpHcwpO(VX43g6!^6n}v?+zDf zNDAIfJx9ZBbWuj?Sg-l$HP<28*~1f=?Zag(YUJD_ggi-fG~|~y`ty8W1mit?K9bhJ z@5X;V+>T_?tF}tS6n@@i;-L@z?8YA-4o>-iYn0{iM&V$R>o^oQVKjY*HKBCd>Ntq9 z4$jmwOc-S`qT%!so;k&Y)~%O2`6#l`lrc9N&Kp1UvV)zJ1dXcuy!||NYSN-xOKQO; z^{=qso(eZL%_e@rl!lkx)7OLVx95uJIc+^wMi1%pDnlp2n<56a5gntF%44G|B%Ge$ zNOu`;AbD~Gm|dDmXi^6kkASnfMmOU~pNod=o@wa*d!bP}4dc+;a3P9}w5_2I2mBTB;qqZVeN$ubFzmW;;KArvwWGSZu%r?hRiWtgr3{0$tqg&LxxUnuvPr~iF zKIV`(v!d!5=akCt;adF>=db`PKQxfTeH?i2&)6&k&H;J|u29)VZ=LHmj##Nyt1}gP zzFe3|`j6oE7256C^BWb9KRz-Z-wM1Vum>QopxLb_{M0Y=1$v0+a}p*4syJBKG=C|l&DY41&U@kJ({5gtGUF(!?k;M|C@h^d>j zd9xXog*zC+Q_^2mFS=PWY}uZi#*e(6Mi=xmRJRNfINZh%JD63q%VCVoh%P6HFgD5? z25A=2QMHg+kpQ)@Bv`jx8|>{a?c$$h6$;Fc-<(IM+r=Jys#7u^p5qsjl%z>MwPFQ$ z$=~t3M#?*>96diFCcY=>N~C->Rj;Zjm@M2`sEB(bGf51Q8l#MKaYpMcC0>TgM0wp} z&IV^n2#21?n#f7j*`pfuA;gh1X-4_KPm&BbtrN^4$34bx>dzh{C}yI?4s|qhJ%;Z& zYteFPZ>rHMT_f|Pux*V6%R$msBHu!>Ze*T_HX(hPdD(d@_4K`aKhh1L^~IbtZ^XmW zmvunBh$_uhKPC6;@ONw+^XJcBZzPel>Qr`FPC99z9oVBwwM)he<&1D>f33$&(quB+l+~lUmZDT%~Pup?vkSu_TWNzChDsf{h5M zzP?*NxVXv>vq6k-_hY*sUvl}TrbRkUq-;Y%OfLsG^-8eZT|66~tE4&#r7byUY10^5 zKeY1ZP+5Dqn^qdGnMfYGEyr+}VC~xf2DD(qH1?D7NqAUXty1iWsLI(zGhH#v*l3EO?T zC89gM2%?w1WWLcRy7QW9C#qXp8|{I{(eL&kWK15L%=oJXlIx?$-F<^_Uh_&X{OkFf zKT}x;dDc`N<$Q1Eu@P)>wB09_Q4;5oHqR+zsOFD_N%fhe6q$DeYW<5zwx@(7^FC&; zvg4^4mR;u3`sC&melv)By;TvZ!$`P|u7+q?MdCCkh-`e!N#j{0?&Pi{FKqz@gSX$0 z{BhWD<)LyyS`diNlJmk4^fS({{BNhGUB)^;8HRjB)8dU$p@l84({;NrmeTKx;$>AR zj-Rb=(xfjrm))f^rLPz+uKUiCoUf4oP{nH3loru**o`iZNWY4v=fCa*J;a?{#Od># zwms?bQv3Huq+r^X)b>JtDd6PUP9%M1tTgfBx96EaQvXg0>$UInnd&+0MptBV`$$UD ze_NS7mQ@mANuO_1nG#P~phqqHLF`VfsPq=Wpr~LcrnFTrZfQ>C>wB{}9Z54xwHGF3 zA={sQ^jFbTcs>J_uEIdChZ(#G;mw}gK%ZWV;8a4Zb!Qp9?!?r2fmVajlCYvW{H5wW zSRV#uc4ni}tRqdN(Vfo}xLAFUrgt1k%DVg%PiTWDWXli7gI;d_KNP)>5cz1zZ7l7?&>e4eW#o6 zrkg{ejK%<2CAu|Lt+fqF`kcj8;rF#G_&I{NSQ>_lUyw6 zQl&?uNF33H-l@S>K#^sbReTOLY=Epp^Aio1%r122*GTM=e0P%`<9DM=O43`Bb|j-| zu-Wuuf7lKXvfJpWbQ`g)GCY!^*ma!-(nR|>(2PQ@bmjn>o8s#MU! zcNloX3N(zYA@!MJD>n$#<57*bZkDbG4s}hPjn$$rB1)8M96Q_WLOu6V@1W^(E6PTc zm2t<0MC&|46Ei@{oo&K_&FRbIw_5iDM8B(eARn*W!YVHt|8vaLQMWC}zoG1Wo zK?RzR00v@*+hjJm&NNHRYIvfo5W5prl!FMO9kzhY1ZH~-vN?aly{;T3)>sny79OKw za`d?b2P@n4wh<~BAM_YIA#}@{zxNj%Ozk3m5}WTW?H868CQ4`O5aq1)Bi%hn2B||5 zDzhe`vJq8G2v&IR#g_1Pb;dd z(tdU53Z7j|?Rx*i(XH~tpTcl*BatG5eafPKzOau56YIqq*J{@((B0k+to zZ#)NB3Oox){GWq2+p%vv8z=+Btt=<=y+n z*IHNu4#P^G7w*pSA#pjH-lG$Zv|frz8-uV_!jU};2Xey1YZmO3Va>7-{N@u8gK%92Y3uv zPT`ylz74z(d=t0>42oO}H!)|+Jhrvj4=DPLG4X-kV;{ljIc5lcUvZu)7kZHWK>1_M z9zygLC#$k2W~h&cVcPb(sqam>ZidRasi1a+wU3IznO+d%P*Pe^Y=c;b;)>FU1G`-l zST~SJjgRsv3!)BX(@LTabEk%UNWrJS9Gt@-dphM3H@S3Of<1R#r#yRD*DcRp!_ali zv+NU-6s7 zAP(Io?TkbkqY+jF?`s;X8=AZ+i|?VoYG^^sHe^s`acN-*bZgMiu*=HH~~aRgEl2`CekLT{m|8Nx3*KIg%Mtp!e*HnZ-e|r{n-ZR`!f|y9co#e#1#<*VSt37JH>3oF!d3rVyZ+CIgMWl!5PTP)xA5AaeHOFvV*6NHc zi@=YxEyLe?V@UV?Kh;f7AFx24VJa*O?vMUc;mP2QgTEYirDvoS7+|S1d9HA@g9Df|2#KL7f6&eS*NaGjB|;U&%)#d}m_%;W{)Nlhy{~u}MpL zqjf};^tz5he*ddF3dPggI$F-6MZFXbtx@;YMVPg0PRu>Xh=>kJR~~+txd%z;LyWmg z3C$YUVg_bWh}Xva{Ag_56I)XKE;rvvmjWGk+V2NpSaIpBkrr@2W4 zp>N7sskbq}biky((~aK^t`~_X{IMa6Hq3akgl}qCG#cB<4ei>RaoXhSyhZ&neD&T$ zbDHp0Dk>V+UdOg&82ye%0c4;OMf0f5s1&=?p)@7ci5+i4+wIe5HC&IWVKyw=J;%jH z8#4??#gS5ZuvN{h5cXhQ8NHGKC)7*1bEBPo5sguW5-yI}>AK8{d9MEg!L*55K`V zjEt$#v~1`=XWNxEDsAiwC&~~~&(bD8Cs*Vd9nVHt*3qluo|RI&LNA8Dgky)~$udwX zjqx@j%GOF_)IDtrh~!D=ermW4>r&zJP9I;Wdxh#Yz29K0;XPZSteJN^8TML$j66Q= z?^RiJ-+jaBkJhnA7qHVLR9Z`4%s*PZZ>OmgbJdMzJx#x4_E}mFK}P0|#Ktb3+BZG} znAx6eYmz9npnj^SvNAU?#H)?K&M{kaiMO()wMOP(-es74DcUIM3YEKgp(-k8j?T$5 zD3>OwWfvmDNC5f3YCt5T3lLy{1>C#K&x@8hUf$AB2xj^mp9r*njk+y+l@TR5V zy>`O(_q03GwCnJqIh#hSTiLh_qgI;`g_l6VX;0a_DBlys6vTOUAyE34#~1k9a>MPz zn|6m=X%7~n!hLPI>;}XpFS)WuKS)QPQ!$x!McE1**g!BNC;t5vCQp)JB#Z=0dJ`Tq z(}mN!2klnX;b^{L#$Q`CZfj|2(t`?Hqoey+yHA7HDU$M*lp;i2cJie&)VnyP(;Ei0r!Yp5!LxNhQi5R7@?#F=>Agyi@@53)6b7$iJrX6m zrLntH-Hy3hAy<0n#rk(rdk)2EjJ!rzDe>NI8Vq^won$4_4np6w4NQ-E>$9=vw}Vi4 zGa?0PkeuoY4vK*jb%K57ejIj~m6qGSL>67pEYupJN%;-=^G83)jOKvQ&#i7^{}Ue- zo3OMg7C1&UPp(*y5PSQQIaCv|p&Tv4P@KN{uqeyw`@((0a$*n+3vkuD9 z0=1sPB}=pjVusPo>^yq1FVyNOj`ZKo-SBVcrvJ8C^)Lcm5(RG{{Gl-QVm!0DNiVBf zl_q~&lk$m3QnS}9iA~94Bz*Uh*pzU+kTUiZCb>?$3c>oMUm+>sH%j`Qrcd`APd5_q zqdd$gPyDbhQAZ_&k!1(a)i_w4T%cMDQ+n}U|=rr+! zq;ElW20I9oM3AAdkVod-^wy>-7DX_Z9E_wXv{+RiAKx?~UDRG!i5oz1RvvKu2hPBLnw(Y{$EEIi%I5mQCTDYf{{3Xi!A8+k z`h7k~XJpLul4%F`?&={Dru)y?F(LStH!g(wj~{wgQNi4zs3A{6~3$UyDsVfUVIY2Iu2aE(p5oR=a40vDgSi|v`K!hYkN=Cti37ec z?jt|bIKS{y<6irT#tSd_SmV{Zex&i$?SD4!Cw{2$V{1RqSl#iy#_v7xp2n*`d{^WA z`ghFxP2V=|FTQ1-Z++9?-T!EC&Knx%fA+e;PrPREL%-K}^)s(({MhHeGtUWwAKT{@ z^L)a~2Dh%$SpD>(!3V!!aMtq%|Nc3RS6}w5!N)vf@DWd&_Y0pg&s9$v-1&rYKl(T3 z`B#sd=ifbMp0_tx-S%taKI0LC-+$QPOMYqa?1u~<^q|2%+;8wF_Zj@vFAV76oH2B~f46eW4;3d}? zeB8ALUwe(gFJEnN+~RYtG|xk>F!+Vb3_kKwgU4TD@Mdca{`w+=-?-4=-(O(xpU$`M z&og*4i$|Sno@>uBIR9*epFPvyC1)5s;BnyIdxW?dxiws^}ZSZ3Y4OSMf zKGZ%RV&5NRaQ=bTeL=onH~-^2gCClkueZ6}ILG43{1;!~y1l}NFE{wT1C0A-2iW+^ z@<+<`?QHYBy2OMZJj?idVTQqH6z4mN{WsUe<1z4d;0$mkSQh<9gZqPvz_PDm4tR5L z9e4n^6TB7pB=9!ii^1E0ZwBuGeh9oH__yGlz;A+g27dwG1^jRDZs5(YkH>ch?*>kQ zbHICm^TC6_2Y~kkF9PocZU<+Aj|cA!z6d-7d=q#m_(AY6@N?jOz;A+cz+ZqzfxEzC z!CP@=+5X@?!EzSsXz)~UF?bI65b!DBCh+OtW58E~&jmjSz6Sg-_+Bt5?Iq>=6z^T| zt6(YT_rZO@UxWLC{|(**yy=amoOc3CIS&PI0p1t9C3qTG%69>HAh;eZ<-7tc<$MNM z%J~|wl=CmZQqC`ccLl!(mh%1!Sn~I8a09siP4W2G;2pu=fV06MeM$QF<5|*Q2$pou z0!#hR0Vlu>VDTq` zuo0fmU#~XW^=#o4^_QHgJY{55!A;3btrP>xr2Oe+aOX`lIa5|kXG05%c7{Kk2|!>Q zKfUIUUP2IwqERNw@w9gm2*qU4Lm&EP-sPr!m#S!#X%-h`vwO3g4_js?r=lQNLV6mF zxJ~DJq{;j!gGOtVmqS1nm1GY}vm8oWj%-BFkF0i)C&RALyBbiQwn|5ydwC&ScG+gn zDNRh`mP<~w4pUKD_u&aO#~v>bSsqn#YOfMg6JmZ}F=JM2m8zxE+LS1-XDx4OWD7i! zFl}Z-i2y-1>q#D{v8?h!4!W((;z--zd2D5t&ar(zDyJk%=Q-qmY{O|e$;! zBlG5DQD;Fmb4QWwa5~3~nv<2gZ{VY|aOC8ith`Xd#YO0q(^keG6_MuvP?myn+vX*>Hy zzEzl)H7b;K34@ED_sRpNmW}e`l&2tv@&^*>ZHYw8%^dqL%Vd0&~M4ycq4V!V&c8FKD8j%_gPsyd%@VTSqD^(^__HN_$$c8Id_cjPV=TVBXLfjTjW=EL8ZhM^g79Z z@b%8Zk#v^iB=ePA5@UPJl;Sd_H_eLdhVA^7T2`oJMOV928Y5Rm9wevFW4pt;F}k6S zS%;z$gOIq}f!|URi}YzJQM-3)D&N9?VM}A9wiu==%?Thv2qB4kbR%eY$sZ;)U+4TPW9yDdXa4oRtABWU^+ZkQI%{fB6|3)TL~le$9Lg?s z9Xi*_X%ksl39E99Qg$M%#`gdNx1Koi))$Aj9^tLS%SLKzfY46{Qe%6c7t#uOq` z2U&hQqUP2r?^I@wwpb-e5%$R`9Y)!T(@$hMBwhGG$RgTgvV0?&U*k|_;23U^7s}C7 zC}^;h&Hfz@aYpl86e^%AjZ|)9Bu?*}X4|(pS3)01A!TOM-QL?;Pf7#g zvlyp=8k%ypnM72$VH$Yyy?NDbVnHX^yd(uJilasrZwkzQC)qDYr?jo&#F*wzj@c6X z!EIWJArexzgF50gu4dVv(^G1xyewMU_$*U4>ElK?%;qFE2je>ut!`hotZG_1F$gH- z!ctppDDjCwvx_H}E~={=WYosk5g;3ER-(UUZfrYUe>@Zj&8UZHbb9Y0~Od5WTDH1*~65NKEzst!RRu0df!79)zwr>*ISH+FWqBV zXEhrB&2(85M{J#8cuQKPgbZnni^>HS2NFYAU2b4EUf|#JSTAM{QF7LywI7p?6-n(S znxt%RK+qbiy4pf7bBWp7sjn(U%8EBj`+lnoutd(+~Z+p)%!ouKm4^d(vV z3bph&>5IZ+2IsqMSo|h#&W^%rgKc_VBW-S2)Iw8ID^{$?mh*xevf5XnyVca5jfhs+ zhNj=vH(->nF&pbwrUs=dBA3i-9bfszcfdw)*lWXCJeG9ya(ZUbE z&+liP8QtH_mwBAN^4H~O`1g+`FG{Z+|S%!l`r!tbAPs;gPVKgAv-@%{Nqj! z6iZ*Fy69*|o7_KP3CF5MosCPif`^EVjY4C{zrO{(zOl1?31e0!i!K-hVqAc<*?uO` zaK<@1z&rJR$)sq_*q0i&C{4&m88_t+RIPL=3&Gs!!ISf7+S)`@}gPE8G%FBks zr++jpVx$VKmr6Q3&z9b3A%405HqUAOdrhX9QxlTn52weB4x+!y2bx;~nnXIn{-U4v zdO}lmN6iw`PLur8+PqPANwsW)l7*xChQ*!cH1D)wboY?a!A#A<{wZHu(V=?wiphl2 zrXr-j`Nrb>Kxx8sYBQ|5WT{be%(|~cn#O-^Lugd5ZeGPYTPTas`U{tvtwK!LASfzfB|4U1&srA2Ag)}68?Hj7+lSHN z4Aq_)l%UAeo>=y?i+9>H$?%TJt?ks-Bcv2E+vf=DTqZ?0E-0n`s=c|TWqKiM7`m> zsm-f5=IEr#Xd_kVB{Ebdo;rTI!bR@DVmE|MKD=WJ3#|{goWNG}_Zpk1k zn*n6Jg*j-J9T~=Eu}-6|V4tU#0Xei8m4+L%NQ6_1urd;v#whDp)xl(-Z6&&aFhv*$ zXz0)l+KvRG+z^<+P6Yyiy+p*n>B{-6m8vw~IO|K4!Ow4WITUp86Q=&7ANl1x8}c_EU*L z+o+PcuQ09}mtca*++du__MJSd?U?B*vFgIpr~Qi@O52k}7S3T+;wPLX0(uR?#f zrImxhRc(v7$s9gQzLa3=2lmC6)YUBQuV?mI6SZ@WuLPvM8pqtIV-ViTKl_X1vAA59 zhpn&vs-SYeoQm8$Brsc_dRx(pZ=BL4qmf*vZngEEm*wp>^^SRC>K^Twe#YZh9C+8O zzi#~PM{gbd*$+P+J0R|yKXRu*H_RPA?SamTwa;Cif96|{mf!i;H*25o`p5E5-91jv z8Q@;B&EUm%Egv=IkvTK&c;Z*{&wAym<}W_^=aJ9;{g21z_D`I<^$xL{R%F-TS2@1u z`9~(-{>LlJ&i?9O^`HOejgIH~Og^(>VD(k4i9WY%m$&!BV`jbd)VqiN^$-7Ea?1Oc z9DdukzdW&gv%-s)?Y8imZHHvsyYINcFTOKn-Mjyp`$;|X z`SzbGU;N^sMK}NB($>@CCmeg>PB)!@|M1`3@an|(ANV>y{@jk``@A)-cJ^PZmN#`B zdFln~#LFJo`o=qcx7QPoelzC1$9I^%$*cPxIP9ZC8%uvU>gdM)Hyr`gO4oP?CBH#u-iu$e>Y_HHRq1I?%sQ*%y{vjxef2GT)5e1yS9z^*Tgel z@AJ%6e;@egTh2)w`|!Pa*FJUNteJmUb?B1!cUiLex04Rf-r|#!zu)D_3tt;{&2?+X z9(VWoQ63l?R4F}`(+f9!tt4}Cwr;`iG=b=%#8u72peoa3K4V)kLL%|E#K z!wH8C|7N$9TiQO9HHFcNd9R%UdA8Z@faNi5^eMZw&)=NbZmh@EEJ2Q>_b#=_VMW3I z6;A*@6<&O@-nuCLL-TNQ_LCjj>?X(-WK;Es8~BbtzYS*GLNX#;rezt^`K`tBSIv^d zQkY)0(@aOWU)#pSRqycEV@I0!jWIHxy`;}*u@pVG8RNIvs=|n=vc0nqv=l&Q-@Xf@ z9&~k8wkjS`YAgz5`zr-HrxZ-K(kuo=YP9CPV^h5+MQmW2> z?5`4uK|sQ*AqB5ltN+PJYDg-kk?~!$E zSyPuw}~0S69o;=k|${))tZL zd<}l~oIakY2$$Yp*S~@e0BP_-yiUG82EGUSUeqT(5Eu-M0j2_TfqGy$a1wA4a3gR( z@HFr`@GW8izB?1_NV&slZ&I9#{^X1Y88%2;2`m4ZIF~415pt zy_E34U|q&wfKLM`+Ma63GxSiLHuz4GWZK1|H(cYKQS`y-+zt!)%X#d zPsXJ`O26~2Q=}90bgjh(^BsNT1Ax`HVT1Z+@Qr=rU*FJI>x?}1yP&P7i)JnTROGK> zM_Br+*b$cgE_Q^4CW;+l(UB25!lEN1c7z@2)YuVr1)qTSs^D&}M4hR(_iFpab80Bp z>VENa76x^h{C@4B(f8r;DcvUqtTAy7xR|oOfO-bjo=d%+W!$F~7P4=C&LV{7ot#)S zDtlzss80PfdZ>9uh1Qs>^^DR!c6p!pD}#fNnfFB+*0!-Su8ocDfVpN#m|zKq*@KkpLneOdpExVBRl`Tkcod+#6H zHX}YXCH(D=c<;a7F(W=%GfpM~^ZkFn;=Rw`Db?9m@8i9H@3@Tkx=^z>>8mXD-mkqVBR)Tf z-j?5~Ro?pn7iYxx6n!mwneZ>3;JwdZn-M=xXiVgN*)87t_kNQR|4{V1BJZz#+I#=< zI~nnDBfPg>`fvWhdp}@{zVUw^oBaOha@Q-LbNa@gPbvR34c`0rF6|q?(_h!{;y-Db z_x_x#`o<6T*F(Jb15WbZOV=;eeb=PHz>b;jPJ~E|!#j3scUmr$z zJ?5AQO!%DD-utpu{o=VP<#X=^-urWo>KETSG$h&Yul3%qJ(FodO8S4g+j}oVM@uMu zog~97C&?J_3%HpkY+kB6hbSew3~YB)k8v-{da@d#erF$;RH{F7U)xe;WJl_I6J$|K zdz}(ZN>&|NA=2xC=pU$^aB5kOP_1UE&iN$$Vfkpu|3llG$4OCLZNPP_d#1a(s*4^# z*=2@iV5Vgm_EmP29aL0Alzo$Jgkc#01w}iTWxoK{RS`4M9v$ zi7_q_jm!5u=TsNnWZv)l=l$mQtDbu9bMLw5u2psG+*4?-)+O)&KXpEQpun#%HkM)+ zO(i^XywfZvm~ZN>?ud+qA`#I8<+Lr%O^?9>bQfv`4^gtnRtYX+gF18{CC4 z96KrC;GO<1tvv{WClNRlIi}x43>rpb=2D{Z@-ot@kHN}t)W*zd)z(1V*AX5wB(RpE z(ijAV^HMf}nI*%IZPKvT2SI#yV%-k&OY&T3l&|($HU@USaC|Xo+C=P`w6dSL1q}Bh~r}nDOUMT`bvHU4!nx00^k6uAFKu z55lG_tYnz=Mh>QKR(a4@mf}k z_Rju3)H`)iFVxmS!0KvQ%dpDO?eOY;Y7q1E zJh;GG3rrCMO|8av6sK7A(LrZUv6kRpAoe|+&X~GHUzb`v&|8DkYjMi@MNjAJ zMe9@G$qTUt4Fx%Ft)FuPSU$Shahv?M#*7n?BypI;!&gXLi^)0LQc1{rbF`Q6g z!ucBl1C2Ow9nFK|E8)+ce<^8G-ivHNZ)%3SjM?}EBMLBzr&#Q+vM%A-T(<2-p3xte z^(xQ!y(a4@&uDsNorp`=YzzzQyx?T~TFl}I<#_!+d;T1FFR(t%o+9|Pco00- zdMG%xzUIk-`IBc@3mlwD|FN#eSe%VfX?@BQ3@+atrKd;()BL|G1Jjtryz?zI+TP1Ze4!^d=`>)@l8&zSz$mq^(uy+xd z9g1N)TBD_}&znyF3v{g?jO(tDQaODN$EnCaL*(=RZ4{W1$b7-XY4~_Ke3zkvO~Wg= z1y&(42tzrZGhq8P`r7(2D<(M z?O$CzUJNT}IRQ^|){hd#`7;pwdXHUfh9%%hr39Ot~83bSg!}M+) zkl@)S{C@?A#_>BL(SsQ(Rq8nXoa*moZkt$1i|;u*owpy=Ak!IS7YM zKsM-TZV)Ei1=i&l45;A+jHJWeI;ug^p+o&EF+Ql4yoa-TU<9Bor!KKxlnGdB_s?>Q zn)_T%SZhBktJ7Ty4I0eyX*or^O2P4wJZXE}xnSV%!Js+PJ%%m_yZD7O=gyN$8is(x zDv?-xJop9`$KLnw3W`zWm?kWQKNO1=G`*oOBrp>8B zbz2i4ix+^I^LQ75fih=-)f(eZ!B%0htV=__|Wn0SlpuH zt|;835qSE;hgZWCr{?mgz7Fj}sxp@fl1^D1(zn$>XDj~2TrM}eFLQ|;VfFD;9IBa> za&B=>VAWJ~fq9GPS|6br!l-yfyU1$VA}54z3oN@+P6*}$R;Sgxb55Z6SF|4Ok`sh# zUs!3KJ~$@`N$HM|m2YwXj&1Q_ts!n&PUH+dQE#!bUF~9t!N;{PF-QCx53+CuHePdj z6^|uE$OL29YK4m(Au=Fb5x}Z>)A5ZB>(gVbbs8o)6*J%37Mw$fRfH@U&Nm)Z&nm`Y zTYMP220h~y=!c8?q)B0WF^*2{d?36B<7zSvz3{80Ti{2?TBzZ;!<3t>APW95gnt8Z z*cP7~H{hW+-8Abqt zU=lxL5iFRq;^6cxf1#9;XFZ^8Y1L~99;uVKbcuQF3IJ?PMKvl zhiqP+Z-v1*IsFs{Vt0R5KnpzNgzAR_;0Pv;FjPD3xtI#Wpxg>Bla}HW`ZK}or_rXv zlzslp$ze`xRmecA@@f6#Qp-Au#(+5v?Z&g}Fj9Mo#7Fi+=wZLdfk^1p(l_?y!SP}_ z$wa}-#RvJ;c%J%3MTW(#z}VOveH)bSTo;AKFQ=TC;;RO@Ly4W7`ug9I@VUzWu* z%0Ip6a1Eq0)NY0YR|(lUmTh&$D#tuv^TdjpPMD?4P58MU_ZVCoV> zkwPgs)@=q)`$PNKl`;+g0ZC(5F)MouBdnIw)({c9TBfhDn4V+TO6bSPJGMqn7eRRJ zy0?%b5-pg6*!CF?Lav{Okb-E;K1lv%@`X`*0P>FAM_?x@cneQsQ9cPpOyO-{?eX}D zw`+!w_<1;8A8&mS|D5fZyq%O8%cN<`se~@h2_}JPb~@^O0NtGv0~mLDqpVK70D8_# zNaWNHV9NO&ly@2gu(6mc2`u)dRFMvVg4*m)6!aT*7(*x_22b5a1CJKv*lPSXIE zIX5w*;sCaCKBJP&Ofml1=?Y{C*xa-b*wHDa8ZCknb#;Eh<<7|ju&4897NM1SS<2hT z!5y3BR2c63wbKKfVJvSuGfd#APK-*nH?2hSY0igKqqFHLaFFu>MfEoCN#tQpUlwqX z`C8y;hqw98-~f(sMiLGQ;5g@O!l412;G9o5%#=#nDb8F>P0olw=NZl{W;Dw5lYD1e z2~2sGvm<^jboZR6Sq-j(f$lt`HDbB5C~lWlNYuRyecjouEgVO$_zdkHi?Szp>>w;o z@(^-nDEowjo{MNONH{5(CnR&rr{8eMOLv_2#zK7KpolMC-Zj9}v!SpMp@g%VUC4?vsq!b(f&J z?i{GQVgKR(uZzrI#E$8nn9y z(|2-6F!J3`5R@FMDC#~t3TRli&)A#M4$0vZT6-|=1Xh^j$fW>vFvVNRQ9Q-uVR;mL zEe`2UO)Yyl@=u;V9wD`dxr@Xm2IwD4OH$#KTo`?h}&1T(=61FPPErF`YMbaybTc6}Yg{zGF5aldVxZ1cS=pM<%3fCC7 z58)DpYmIvbI&!jF;d21b+lS-~+StIG!jT`wyUFz#!Nyh=qKf>wlAC_HT3 z^ARn1rNSe|-A0|SQuvi|moxHeh2I)?ADiZCg-4D16dU&%g+CdWPXWnm6|S(|Vy0cA zaFy-0XGYg4Ty4AWvL>%rxW;xbA$x`e+c*=~|WSg-It+kKE3-K=o4jjlG|O5Uoiu+4U_WaJGBAGY0IguhYvi0yvCjBew! z5lL^i-3+z5ojoOz-eK3l+EVh4PY@-N-f7q2Dr)jB&Tf(PVcY$Pm9gmuVUO7EK+M3& zyH$%L&2(~PgULAQZF$U+?J3w+%oYsBk?_n)a2?D02Io8kyO^Lk=w#YXppEJtg1BF6 zS@u&H?8$prLGcd|dt37UTAWX1^gVDdLNNN=Pgtx6sT+siV9p7f6%BCbutK&d8sz?- zF}Erj=6=X}e@F+;DEBa9Zc}cwTg~D>tZ0l|%9xKR8s|>pFnOGc*y#!GJY4CLJCvK^ zZe>gVR?!UiVW#_?qS@|?%;8Bzl{&h1Dypi(#o*-AT#K^POQdCQnYDN79OTnjRA;_d+6q4!$B9dy1kZ9^V^yGq6E2v$VT8=7+rAkn* z@zm`IcT%TA%_AVHC`+IN5rc) zbJ`TER&!GIDtRhbhI)96v1(DpAI3@Q4P_rGlwWb`zYU`tV zQY$6a5Q()3ML?{{&^XoM8;#YeBV#>@F=3@nhT?nB7X;X2?G^fOUe|t;DTMcA&!TC2n~J@Kl96 zO59f&`80()OWdv~O&0Ddai!)4{U~beE^!}V;j=L9PN0&51GdyHLal_brQ3;-ha_+v ziKKUzyK7Lglw2Jn={@Cj&{(NqY-&uoo8TaZ= zfK^)Fw=-_5fq)C!N!tAxw?D_uqG19*%((BfM2l6)1E?IrB?=E^{_Fut?-~xa~68tNk zP@{jWXIb^-Iidx^8^{lH!!+0%dBvhd2-XOvg%NlLikFgDv^_vW!yc%q`CNeDGbNbC zl3;{fh;x;6OE$Ct=Uw>$zbxe0tyGxs)JJ0mnr#eYvd87b45LHbOJO9oi$>y8E2u9* zDicytI)Rd1kW(r2i$(cfs-a<*R54*(aGepVrD7jFj&FdDU^U?N1ToJDW3v0!7?b)V z=CUv*d-icL!9Ni4?5@glA_DbNNU@(QRRN|!!2dPm*|n9=EwDkT7Khzect|Y?L)nWp z6(@aAT@YXo;5B49JBNd;^k!uqSbD$RNVava%z_<=UMg?O#8K4 zFo*a!XcadDPpFNn)ileT$`f9!HXwyLjlC7pplm(#jYj6*(8Qh~10rHhMbySfju575 zyjV_|qzR&a4*`*xvgQymmE_Mu0U|T0QsYUughgh`SZHJxLq=q65c9S$=GtR1*GkNj zPJsY3&X`7~88V4136ks&lPo!wWC@crZiGvDWJxI$vzi({LP!6{sP<7j@D~r&5JoM2 z8(M&6IEu?h?~?uaF$az5gRo|(oP$zKshNW!ej{qo9As0cCtK#wmw;Bu*Qj~3*O)~? zs=dcj?Uht@u<~a1W>f8xRL#u`(52uxRc<|#jvqf60c`dXv>S3=6{rnw|t$&`yc5_>%5knam`EA!d{nRCG4TNvPNi(_ZCEicAj?BI%p)|J%2BE<*)yOF zrzErEH~DM@+3UbQhQmCalg?^qZh>&~o%Ei4pg;ISG=3F=UT=XSyhSCyV~L(XP=?kS z<~uS!qbXa@N27gD@&a_@_Ix>SjuQSI(OS>6%nt`U`Q&$bCrF znr59waZnUxvE8{l^{p-Pt5KdV&$1WQ5!`XAW$KC~PNtoBLvBt8H2Ki##QSE06=NtE zsoHII;*GPZ7x1f0r~aG+^%4}RT4Orl1)yab1pEQ3(@J*hh5=q}y0l|WG!ony_j{IU zYMx7n=>Fr;t8Z zXr^v;cQif>hgoi(JT5h9Qd3A@V%|ND=HQumPc(iL4%68Fj`Xo!3rd~%*+AHp#1@(VlS9Gepa zU;Wf~!Ky4-6 zD#90?$ESW7)IUg9M6RuYUj7hzB@Rf-AUy)v8-UBT?Aq@NPp75J(s5>0y ztjN)PX{Ss;J3Wadn_km!t8-a|4Hv0eWqMtF@iDl2hq9A8!6-$`__CR+7Cg!K>AnvcQw$YJ|#WVekY&q zaqISI{6QT52~~JJM+H5%dOV64&*3P+x`8VCL{2O{S4D3?`#r}t9BubOJRXft$6+3fTv7vF1!@)PZIL_j=~H&1b>Aw%wdfUIV+P4$LBTskvTQc^L9kz_v0`xMAp2m1=W^+>Kl77{mMEYcel^64;9)5ae>AszTcxAzZ z4#z5!EMl_P?3Kq!C4CvFheoVN^(yJ>?dNKsN&ScP4fe-1(4^}B0rZXb&&SbR z>LN9o^i6h0+{u@7gF*S({27j)o>{u@Y@nPHG0DYDcA0%9ljS^Ju|%Y9Abq7hzXqDr zHquM%bv4kWo+4dsZ_lS?K$7B`hnZ{V`fVvKJ8KpPC3xrk|^I>Nd(-lM} za2@Ci8v$34FNh2a7ly=Apdd1c3qx315leyjNH5Dm&p#iH{{@G+=|;%ODTc8B1e1n- z<~Q5(Sy^kF0cHgA0a%wut@T@u3XHF#L6kB5?!x_6{Kfz@hJ^S{0hoelb$YPWh{i1kMa|Afa)5s# zTHP+p2!IQqb-OB%x9%4szy4OggQ)Df-R1!xQU_#Kw|fA-D+K5ffYuOPw?MvYM#HAb(Wf)E%r z7Di*yTS= z|F&}ik{aiHAlrEYzftE${L%~#>vvXyxkYIVTJWb1Jf)RnVY^14T(OcYZ2bX3JX%S{ zQf4G|OIseTBx5I-bpoRvtt4Y71~Bf?N-|b2fS&gfV#VqQFy+xoGS(n~bv;^1#u^5& zzL#M}jRM%%qm^W=aR7@w7kb4`3Se`OR+6!%0W9-wVn)RQY~|5PGS%Vw&mNyNLCV!5Y z{5fLs=cvhFZ87;v^!bFM#pKTslRrmI{#-HnOAKy^7WQfKml&djwERzyM`Ea=s85r> z#IS4yL^q?66T>OAj+*=>Msi)WE=~Rtqj-vuVAZ17({V`MG_|73QP9Ncr3k5`CVz=( zGf~ezU&$n<^AySI&YWT;X0Y;LbL7+HFELXyp5W8uFL9xwDLzg960;P|@V`ci#OzXJ z0h7PFH2F)+Q8dS=$zNhFEsyN> zY4Vp?s_aJN)8sF)OyMTu)8sF)T;YAjr^#R9QuY>_{P{HbORV6_FPi-MZ&JyXEGtd^ ze46|vF8htZH;n%pBd=0XhoBYV6$%dF!__&f(<6)q&G~xAe8MX*jCII z491c0%t~+_tJYIE=OLITe;jlom3u-@;LHS*zbH-q68DS)=ZeW+;{JC~BprPZ%tTC# zKA(d+@gQ~M@T19JVzZ(FK281-TNDlQY4VrYs%V%`lfT46I&en$H2F(xQ*N}+vLzl? zG{&dNU*Zu(<9wR@B_5|Dc6x$OlfT3c<)-*s+0wsNG{dLKU*dO)X8SbxOFXHlQb*TL zMOAfa@|Sq}Q<1quTILy67ft@8?#M`8(d3Vn9eoEsiCrHcQatK5phI_O7J`f;CV!5Y z{5e=w#VvxE{5fLs=ZMLlQ-XSpJ7V(Zu;vqvn*5y@_gYScD4!;OUfB&u&iM@{e_pw& z8nx<)$)A_L3GwRFpviuP$CnkT6nEW|v@)xDaU$Qmj zAXeMA8EYkiu(1F&Y#cTDi%v$PB|AK&u{!<8SYq<$h{>O$CVx?y{3W~n1;2IF>vOhJW$)8V?zvKYc2~GZdn*1dPD%@V;^9^J2RE0ZA{8t(I zG=)1$e46}a;jR*&CV$C6yG4!NB|c65LYVd^P|3joTk6x~FN7_9zPd~f*{5mCeZIL& z%GHr3e|6DV$zg12n*7NyNe)*n-Y)lP@|PU(wItnN?$hKiIa1+=eA#dId+^#UY+r2@|PTUrNA|rx-|Jq zUht5>wHco#f5{0i30$A?Y4Vqxs2Od@_%!)TPWrd78#6vl{*qG^Zp!#H`Abf#U5f?0 zFXPkXFFC!Zz|9$dM={{cX#%%pe46|vXI&|9d&Z~9Uvjo)v?Jrw{3RlvRWf5`<3_ho#V z{3WZjyl-dxRs#VSelKbFXMCFcB^S|uPbB?e#;3_&axBc0J8X3 zJb8)9Ujw-*K7#Ou^26LP4HjT<#G-#jutrFt!88KTK=D!%iylRUMut65$saW}7YZ5~ z7E6K=u>$8RXwa`j@>Q5)#ld zwy`-0?G*&w6DoKpmHe9YQEwUi}8K%@B{shis$X|*AH$!P)JV;>?a~O;kcnJfvz)M&| zTHqyG;PupdsVdYOtC{e@jJM=VnFOC0n1xd|OA{^#>uIx#EVG<+GgO0|Am_j)lED#k z4#a5AGmqswQzi?<#0+Uqm;54TF=I;8P1mMtY&Jq4`@5hF+o;g^@e2?l3XLB>7pJTS zZTs)o_E!2AcDIZzLb*P*6~_apXqr4WmxaHK&AtW;z~*SgjLkl0uZxz70olnm1={Q4 zrD8yKvdse9>pUy{H_H7&<$+|J9yf z1N|WAJ){rX>+SoBM!`$49(%HMfOb;w%MivM z&@R8^?ek0CK3j6hvlV1rfWvgk&$A6H`>2sl$NV{cofs;m#BjXGXv)1m4 zymOQkx&qXA(s$c*4R$;|8Pv_BZ?_la(^BCZLA^%$=KKo3hWhl?(zE1;Uq)wuMr-S3 zOW#*YPakhAec$}j_mko+0;#3%C#AmySV})Y=+^;R`hj_+KUGTqIXEd^F*5Fl!wj}N zBk$vjHwe^X(qrtiYM_^bdYJT?c2zztrQZSS6VfB{OaBp@VS<*Pu6vimm2U#m<6hYN zX&0X$rSFU|mVQEh=_g9@mVngKPn6Pc0G85E7J4rrOFt#A^i!quN5Hi^icWbJGHf3h z%<&S^J}{W$d507poZ1J*a@4GSU@SKhy(g%>EA~X=J8+mvy9zOmuf3h1-X}f7zNrQ} zg<|){VOH3W=hISqr-E8S`r^FWi$&i?rSc@_JpR4jcY zNw*sGYBYW!4)eHu9adhBub4_ucaeV6-c|$s0I2<>U$@<$vl-;t?6MLk~?({r2pxs;g9+%l;>I8G-;>YDRbZx2xF(*nVkf+ zE}xP~@J}GME}xQFupTDRwtNOP&ln1_*NtlnS6;{9m^QF9-X*sP{Smhfu1~)gNt21h zeEV6!Yk;L{KZI`X>(Kurb2z_vLYIR&gY+H~Lxwq}7y2xI+>R zUxK(#s6x~p0BJeJ7Y$>`sW}c)nBR3`)W}nf=+eC38<_Q>(K6WaY1i>Yqwf(07p92H zVOS5>3)Lx^uTwIs6MI7~;m$=|8Ivi2vkCDIxX9+C-!(v@{%quZHT5gDBgi^OeQBNz zpvJIyn%T|rX-T~Y^ruXnw)@sVe+8;O3T95QC*;#oH>A3eZj@g)F0b<=f?)-|$)9k0 z0fk@2`hnKX2pLv%g~_@ZA;aoKTupRbjg;O$jaV-9BV}A&3Cxjmx{RD%KrLjfoFTC* zYOz7|{4ltRpHSWAOo`hVlV`_Wn8?g%(;d^9y>J+-S;0V%E##r$EW@XlHh4feTO5|q z3|<=G9LeE2O8K6X;uyj9!U1i$RBp~SY!Q3mD5=t}`=aqlIL!5CJ(hfO8bs(#p!So# z-#n2|OM5MQGa9dCdp&O6%BLmuX3&Rl!20}OHPGLKYWWuEJ4_07eSF@e29q9V+SWji z05zHPC1ylEEvk`PP1WX_Ir+4xwh{DOOkHKx)Ik3k)IUj2H4o*}(v?WnM>jE7g z-ei5hA@yAh`k8m&+#l^gcg&sfoc9JFpbjFS%cByJ*J27+^x%{BP^hhbpO@c3qCUvqER0-1{Vqh9)r!x@`@xJMvnW!w3ApTL z{#--e>iZ={{iL&~nT>q<;X3(~+*kCMq5qT3P(H%_BC}u%G*NIiJA2lC7h6L^+w)aU z7-K48|0?O)pT;u@_G1c?AE@X6R9z^p2vsdegqOcVqmVQidN) z(mgxke-$GQ!AZ~2__>N`0#17O!A~nL64n1RJ~YDY8%4_KC$TKbpC7<<*pJ}e4FP+n zrN3c6B}c)+{TNG3aa*Jd6+X5EAoNbqDJXn#m(eM zj?U=0oo-^k_*}XkzsEuYryn8t(-xTfq!)h9PS^t1@XqKJpR*q>0O%r3`vp7y2ZYkH zU$8fGJ3`lDcA0;0v92Jp8#ZU%arOO@qlQ}tn#mOVPtK4fa_#+!V!ESW{i{1pZbcNG zXTK&ah};JO-DDpAh7k)QYw&TDZgK(rR>LC!eZwl2zAZ*_h zs)s<>zA01>fv|m3s2&1g`=(Gm1j6=>cM@3-fv|nsD^NWI!uCx|q#goc`=(Gm1j6?1 zVkuxB@el~xw_XC(Lm+J5h6z*;fv|mhTO_N8K-j(=5~v;mVf(g5BCCf$*uHHSs2&1g z`=(Gm1j6=Bp?U~}?VCdN5D441Qc0^G0%7~6I;)33*uH%(`34>WV}Xaj*wd^A@emk$ zMr#D#>G-B^msW^+2#n=;2waB*u_$|j#}2~cByYtDL)j-J^v7%{Jp@`9OFrYqcjFci zL#zz~#6w{GwA&Djp5y+5%%J-P!9yTp_d+a@3}_Z@e&s* zQr~!qS&Gy*ULwyoULwyoUSjT9l7afhOXT^+OXT^+OXT^+OH?t3&^KOUp~Ap7USg5L zz&BoEvBJPNULxN&UZPsrz&BnZ-#1<&-#1<&-#1<&-#1?3Qudb6H(p}JR1_}sjh9%- zvWC9#5|=F$82H9ZtWq@s-*|~D6b8QW68XOI5@PX=H}mQnFOlyXFLAY|4SeGzu2C5H z#!FnQFz}6+SfeoTjhDDiVc;7tk?$KXk?$KXk?$KXk?$KXk?$KXk?$KXv0gI@eB&i< zRv7rkOXT~;OXT~;OXT~;OXT~;OXT^+OWbjVRCC}PFL4)#It*OYH(p}X?ZO7W@e+5d z7BG~PX@LzU<0SBn$MzH)_{L)k2IEM4<1s72@Qrsf&Upx?p&SRDNZp3ex}3&fC?~%0 z68Cfkr@rwL_dkYfsE)n|1|lYBtWThGLfm6;_^F{BHHE8Kar%`I#~5~6ed8szDyP2j z5)V~KO!bYI*ruHN#!Eb`NPXia@_geZ9;YI9T7Basc4*8g;u|mVTSe*{FY!A?>KiZd zq$2f=m&o&tmw5UQB2#?hC7xk*g}(8~NL}Um#!Kv?v(;KKlxu=Fx&J4=@w}F_Zxi2m zUYTCj#5bN-u8L=U<9X?Mh$p`Byym3DH=b9@B;p&-%TOmMmn^@A%Kb0C@sh162eI02 zXDl(4OZ}H`ykv))HCCs08S8)XjhF294A{UoUb4qB+)#?!(w^+q>?vv}$8cUD#ItHI zown6CUa~hkeAYK!vdTJ?>mo@2AV z@sh*X)S+*@=fp5Izxe5c{c*(I{Me=I( zjh7rpi={|9@Qs(e;3|QEZ@lD$djtl)@sblYqrf*_auSUTV92b#@sd*%2EOr<)4mio z@Qs(8P9q~UzWT;X&ZH#~8ee_mC1*_%82H9Z&en_q-+0M6%Y_Ym<0a?bAu#Zbmz<|; z;2SSlsW9-3m%Qlr5_ymM#!JrERtS9KB^M|ReB&jnw7h|DyyU_^N!q|SUUJcw0t4T8 z$;GN<;2STwL}B0?FIlY@hQsO`FPZNfFS(SHKVtok@#eU zoURKgn!qU~r4uOG1wvkiezE9g#A;~RC69{>Zht6?ee^g!&o|z;VN5ZElky$QbzruT ztO-a8YU00Tf*%y}?5>(8n-$cIkh&wJcnNM0@Rx@?yS66HE%3b|&+aQcq`nY_vKMPA zPWqtULxA|kOD<=JvFRJnKPizSm%?vD(*nQJD566HX@ldO(4CGbffG78T@2Co1oosR z5c3>R=sayZK*X$J0X7i0D5_atcICMQ;j znTOJtwi2J~6|-=ZVL`*kvQ{%$8*9r`tU0G;<)d8}q_-C?PRl#n_JY>UE#_KOhoA?2 z3yIBX8ESfn*h+{T`4{ZXh1jbht5n4Hpx6}%DisBLP{A#LE#&P(Pj-&C2ydi*J(ZgnJqXmXPo>v z{@u>xt;|5?^;yJhLi{BMuyYr|{IF!}-zdSHK1EpT-p6ngEYP+Q_iCR-*wBN3+lo82 zFT@uO_G!KokGI{z6h|WLlLe7J2&s^by8nOpxka6k&b7O=YlzxhTS6<~75Ds<`x86k$xf;_W!m zGD{+?>sBBu_ETY%DFz%(X z9^QEXaOZCL;W%JTwKpv&zOSm?2T`{jgf9@JfvHJRs-6H<&CJLbsJ5Jv30(|o5$W?I2^8dbn$*RlheXQrX{j<&7SuKE}cbUybZCZ-H322#11=|a!w-skABS-0gMhhHc!dTbk#L{!CXFJ3=1&8LgHYbLj zvy07Pt=*wrY!l+1b|@Mj0=4+{Rvj64$jDfPFm|~+q{}@5)LKTy9nvlK0<&A(CEX&5 zHD(zKn`9_7BjixHTjF*iLx!t|?^PwE)UIdR)8m`eSyghNBRv;iu8~GNf7` zYPeH0+>9`4xKlKwOTh}!@M+1XZp%Q4X9Nxflx**ZM1J4W?9I!z#5~Vz-<94}j2wSC z9F1R!MEq*4PQdT7Gd+Z$Qe^rrJJaGa%(pW1-sMboE1`_McRBE$1UyByoBx>*elvR= zVjl0YXEiCuI~r8V`vU>a0!YjIj}JH|@jgwb?GJU@J})gj_9J#!d*NuA#6PCUtw<*$ zti#`MwZLH_W_G>25!Pb~g0H}FBf_;`G?K+p?S*=-LjHwVwf_zw&CO%^LV9zMHv%<- z71zS>Geu zU=x*T@3TIGZ6c1hAerAP*6~p$z3&%p(_ptohDw~YoIz+9Ff^+PyJ+q~Y^ z-h9VlxLAGEZbyWbUq$2dk&53v)@&AI-zd~X7_(Vy*x@c{1tgtev0-nx1-P|LUrWqD z)a}&Pz%qX=5pRwqZE&5G+mMTm_NB4yE3Dna zc7q))vT)<2dPTle@`0JdrQNwJ#H+%0`H{M%MDkXW&z9G zuu24PC4C;FUm>`2MQ~xi5-*E5W`^}Rg4+23$C(YY9r)Iq4y@-^kLI7lp%RYjFxEXe zvGiQK`H_F1n}3NW8ES7p*lQqywKy4yD-hQ_Ry2(FbIEVv#Ccew3 zWekzxM{msSrY;)#_`K(XzJ{rW zNBse$cGT|DVcNFKcFdkZPaLNm^OOLNX}1`%dP$$boLBK0`-sBxkX8!(%)j7J5r^q( zCPA4TwWUP=1a$(GHA74eg%Yh~iSE@KhhjuH_up_s2ci6=xR&T%xpCNzFpj-@<<6l? zdlW$?`+IH9_U91GY=5sk7WggT4l>=}Cm8d+bo2)VC15Vrb|wq)pt1``^P0HXz5+>m zfvk{WyG8CCb}+J-hHVWZ57SZnkR9B}(^u6txr2Ebaiumc`4)cQaKPTB5^vjciYzp# zouq%ZZ>WL(5mbloK)aDgYM@DtBAtr-u?G4DP;u0vdDiBq4v){f7N};V@pTx~aSkn) zWKu`juV2aQdL`!1Y^X6ZF-$-lz8Ws{W zic-{S(gz{&+E+g`@Q?df|LU^>PSbbud^2gxY5HzH0Qzn|0DL!}k|%0oWN~K|5)ZOY zqpzG)!)gE|r<?At?sdcR?dTo5gY z)1mf%zp&4K2T(oOWIqS^|NZ^I+%EL7r@IS#&`L17KyEkx}^;mNQ>MrZChHZ@X>8!_^jRHfDH3~zIH3~zIH3~zI zH3~zIH3~zIH3~zIH3~zIH3~zIH3~zIH3~zIHGhQuIUZ~NBrxa`cXS)jw1DNwMwBK2_NWMABiha0DgT+&DKV3_RR8YZV3_Zk%-r0}nUOjS2$~H_lB80}nUOdWC_9 z8|P+)!Haw6R&9mA!;P~+Vc_A$`HjNB!;Nzr=f%*&jg$A{-nrvCspjCty>l05j0j%b ziz5SP6P<`)WeN)|5LaX(9!q6aKyyu6Aw4egVYT#?#086vssaPxN){9 zQV%!IRz>RJ#>u|87Y{eiHs#dAjq|V~^>E`nqDVd5IFC~iJ3T=>+&DXwQx7-JZxyMB z8|Qb5)WePQq$2fjb zb@tQh6yHO_?+M1m{l$sAhsgP1Rwr`O$Gn?pa5iH6AH3VOb*Wkyih!)+-{2EyLI!Fkd4nI`L0ox zu56m%YQYet*OPudpnn2=67FW>)412GrNj+)%ap;)_5(bJNrmQ_ZfT7H>TNjpIYyYk!U8 z#jJ_092Ie-E0!gXu59=+z!E%Yb*H!s@I&VV0_=q|(!7ExYCZ{^=^@=?;R1X_h(_Gl z@55x)57GGbI5YyvEmN0&+pdKZvt z%%>Xv24EEk2&+JlscNf2Q8m3dd{$WoeJD)_FTNU`GZN|s(KMH zzijiop&$%3&Z2FM%@RLoBrt`XCgNCys3Y-^nfVCmKr*-O2+K^ym;q)5?+e9m5%INq zAUlZPDv~OIP>ea$w%C|T2;KNIRv-|(7!P_G`Q}uyc?z+vK-kIHlT<7-!z)C1=r1;m zm+XlJ0vX`Nk||zWWMt`~L||*s6{zd9k_)G_LR~Ak2vob1nSFDa4ORiS=Y;kWRO$Q^ z9!EQwUr@vjRS4@a6F*9i0JWNQU(*B%*69wgBT$zRxRFCd_V9~b&7+w>El zG-~T0E2}fJ_#O$%sNmt;9Lmi&HZwl`H3~vMunOYl6!dD`Ota<>O3k-M2=~4mlm?s! zq^)#NTIn%jsrrMW;5Wc@`FltkViLWFd@40vQMtr2f0IPmu!0_FnZHX9se{Mb)=>7a zG_6!f;jeIojstrza@B2PR#V*@wO1a1y62gKcu%CK+XL;DH%hOZfw0VGmiI=T=+mVqCEp@iqxUaol~2@sCLoWmaJ+;xT@j1Dd9tMY8X=LJMRPh+HY{ivQqq6|fSLqW1Lygm6C4~MDj!VGIg#LG2#Nz1ZX}gQ4p`!01?!Ea0Mhs)HibE|9dScyN0Bml5fVib(*Ww;jd*bYb?-*JnRyxdXZLQzo0~rh)V&+={Jk6T{Jk6T{Jk6T{Jk6T{Jk6T z_C}XebniyIv-w0a(!Cq;{Jk6TL2{+F)4F#fJ~)87cOyO|fVy`hJ~V*3cO#y^cO#y^ zcOyQ^=<3sK%YGAG*eSadY9yk+MSr^omFUu$aXi@25r3L>uH1KWg zPHTZ`_c&R((|L+ybfD?Zh>DCp9(^0T*)1Dh5oS?0yJf?pZ(}#RWy7OyV|O-hwX(jA z-RzbPZzm>BcW!6NV6Mlice7hIJo+|vvs*Si`Zjj6D?i?aErG%<8yjHg;nBCT8*bU~zGU@=TQ)rUHg>};8y@8gR@#x#wU2!UOjf*=-b#0w`_RyZS00y zHaz+^cEc?j9(^0TSFuNM%Z5ka#%{P}!=rCwH{7z}@!r%8w`_RyZS00yHoRh{4YzD~ z^lj{hTQ)rUHg>};8yu8>Y>&Q; z-Ehl>_aHM0w`_=SV>jHg;nBCT8*bU~=-b#0w`_P^25`eI8ygxWaT_F8e!P!Z8Jm^~d&KtW|HQppb;Qb#%n)oa87IB1Bs($NQ?RX=Ef|a=;hB}- zI`E{4a~^`Z^20$VQn}!90w=2MmJRow2Hi2H!=rCwH@juSY*QLn)-;EQIV)=ZK3HZBt1#zl=05-k$n#zo@WxJY~(7m07< zBJpipB)*M{vc8RzEt_GGcz+v?<|w-j$vMAGt+bNimJRi7oJ@~GJn?Oulr04C)?a62~ zzr!Mp)#*vbk}VrW;@h}LeH+{KZR~g3h~L1svEPGVfZ){Z_hheTPvNZXpCVs|NJr)B z;rG&Md$dR2#(r;hcx>75EDV0X&m2hLmJN@-js3nX2e)i^^lj|-qr%*>;nBCT-=CUs z%Z5ka#(ubE!=rCwKisn6(YLW5ZrSkY+t@!%2iDFKk7i_9xU0mYZ)1PZa#3S)E%oTzID{=d`Zo55T&HQvJ^D8Gi}2*woyzA;ZKEw`_QH#ORNB zRFdv5_inSe(50ra15}vN`P`S6MJKz~~F^74i+@o(}|IEJ&JW}q_ zx3NE(-=o3&T<+1gv457rZ_7QdA^B%3JX-G2x3PbY!k@~$$0>P?N?wuit|vTKVc^@? zA4`w5k@V_}*MwS)>n||yZR}q_EBQ!zZN@vmv=c5DxIW|2x3ND_Gun{x5@aVmA?(JC zN8iT&6os2I9(^18)7}vFzKloT#{Tpl1a8iFJBk5krfV^yZ5fZgjs02u1#ZuH-%|2y z&1gr)qi&IQ8m%y{%|?9aVK;I52!2_w%_c6Y|3Z)3kw;fooMzK#8h?v%)TG9G;! z`}4IGUe9>+ZR{^lxG&?;x3OQP<$XKj(YLX`koIAb^!|)T-^Tu;Hw1o|@#x#wU#va$)epEgK$Jr~IY2Vc>JihDYDV{<3=n!nd(Z@ElY!m5sEL ze1c;C6`Tkz09hPv+0btVZ$WrN`5B8;xMVyJwPR7bIn@ZSh7ouMikFgD^tS+w411ua z<~=Wh-<4n%OM(&d51gwc_9BhUdAA{d!2cTZ>{dBE^^r&o1I?O(S4r$~IWa|C5NU82 ziS441`1FLF=7!Y6Atj{~DA@%+ICN3a_5;*FT^ zgfZEDYmAw#i7+O6_Hi*GsT`qNes)*oIT3*x98#Br6njC#fS(fb?An?%x4^4Hp50e? zNWCu%WiQrLob*9GjR4uQ;V);0NkwZF{|7-uf5LN?RYW%_rsy^N;&s)t_?N0xLX!}= zIMiy!oO$7xj|*w7G*!eyw0BNJpyv9d>sj`2#IIFKq45KdP`6guG)g##3~B{eO-mj} zYGo)I5-ht>1;~?60gOfY8#+N|juCSYf&wSVa=Ms$OkmHY6XY#GjZNN*2QJpAXI^>n z&_rK6P?-7z))x<?Mz$b~r0=!*q;^FG59SP(|YLL8SMG;NwPw5gijtw3nKf`a%~Fb_j;^FtV?ASw7= zgq32Uu|%rC>xWhI#VFcABsl>|;6kM3&A=_M!4DSLO)tv*(iDR$FD!^>kYF`}%ByB! zIqOTFo{gXkWwb$@(<$SdZ;)w@n3KuQ26Hu8+tfy?<7rY4kS;Xs@@bL19n^l(zl^Mb zC1XK5^Y1zNY%AtWhPs;{b23FMvlFGwdZaM5^@UaiVpZZ;gCenawO&w#w_CNP;&vje z4O~Rkl|>b)w9^oE3I*5CtF*SJ9%Y^>OGFg66UTleFT?6W#Sr17Z?A)!D(J8X^frn) zD_6fV^CfE0%r?706~+qU(f;@<5F}{T&t}zEAP8;Lo+6i<6e9J*F(09884)ccPl$IS z;3V#Pxs-|8PsFm|3a&o9!sKnuT}(dKkQ;^MkK_0d%wMT3Yr%|_QMwM>XxQlE7*Q0z z8ZjThVJ74%-qu)5bVvm52K_pY_yF9?*3Ak$lqc|S7qO8#7~Dx>{g=}BA;qA0LA)bU zEkUY|Wep+mHCEaL1hp4E{>Wsv0Jam?K6@!s)M|>9J#m;n=c~n81|h2bVglC;CO|g55y6x>p>PKeI0@zcm*sPyYi!hraAA&m=#t24o@eh zGzi&E!L3n6rUhqm#M#HpuckU>SvmhesyIW+rLqbluOp7No>xxmQWP#&3NOKs<5D== z;dgZl;_FZovJ@WqQw8+;zgP;79$N~J{?}4?^#5%sydY;Oyx`bUcwzohcwzohcwzoh zcwzohcwzohcwzohcwzohcwzohcwzohcwzohcwzohcwzohcwsYm3g(SMg~Lzy6*f0J z1?p0GVg6EhVg6EhVg6EhVg6EhVg6EhVSAW4Gb3FJFYFxnOVXwA!u+N1!u+N1!u+N1 z!u+N1!u+N1!u+N1!u+N1!cl=YrNE6*VX*35_%y3Q+!z%;qcx&#j0$&Yg{T{&!kks_ zrAVNw-rhavlC&I7-ii~3auHQRL+3_Z^`-+QpK-B^8>hA8vdA~DsqhC>3IRp3_#HcD zJsFeLj`%wm7M|&K0iw9_HHCgW#ciW|lw+#DqNpnd1OskER(6`kn=KiOiOnFM#(%~s z_HxiQpvw;&n2|iCoUbWol%gn7&SN;Eo6$UtUy5r)u{oz>6b6cO7vkmIdA!;%<@1a* z?o2^Zw-WKp`HBi%Zg5m9aOhLJigqXCDZ);B?&ahrC`!3~i6$zl>$awpN!=x8eRl=9 z$%-07w<%C47QK@iQY|xm3r_2p1w4OWcPf}!NLzO{FR1U^MWC${wo=L>y+1TJ2~DhL zxT-HQ%pHPh1$JWA+=!)&m=_UL4>KI@kmXcwmf6Vlk$^YLbxbw0|ATEBBRBIo5;UM= zZ-g+wYIq01Uc*wDX1KUC!dt|>%)Iej)XF}FH|7h{H>pKqycB}@%ayc!Y zhokAKm?9zMBq`xzQbIcJY+}lp%~KkC0%Fd>F%+>c31dGM#%}s5vZ_Rci*YPQq>YGF zOru?u)q^>|qOw*X%C$J|MYLBDtwajV%~r4(l(ERBjZ5!NKV zPumgJpE(0n!7oghCJ_;`4+2hd3*rlq;JHvplL))UT?pg%NSZ`=iFp^O6xH7}!uqd2 zNHZvw3`*HRC6YsD5Xj>bDtc-}8oe0u&%-eqR7otW=&6#&3WPC_Qzeh*ftn+aL6V0# zokbXYEQcYI!(W+0i=E7%PE-mp0m9$I@hh0iB?D3{`N@OX7a+e*REuAVyY>^Jw?V>S zP%SwcmLp{!6y5ZXmR$v0#usTU!wt-0jAn5Fk?MK{@o`A?X;_9aQP$IHgq5GeN*yDT zp9QAoV?_n2oAV_5!z56=anYa)f5#k_YYxSbein|xA=s7?&(_j%$)P>M%B5p1k8;#h z0W*h7C5JQ%xI%I$MN6-gv%3%lWw?bo+#6IAq;JRZIH-rh9PX7IK1LYZ;od0Qx_GFz z_5GsgP$1^^z_Ic?D0$2Tfjq8Z9a)8t3Z7n=JA^3@kAi!k17YM?iDegshGAD z^O=g-2NBJZ1@YK0%NpZkE8{Z}(*a@4koYsH>ovOyC{pY2+HCDh_WRrsHotA zAQ%=w0e3}3MMWism`IFhByKUTD5%6GL1Utc+xI+GeGj+C;r%CH{J-DteSf0oPS>eA zb?U6$U0tV2MmsOT$#w}4CEUZD1UIqk0cPI9bDeP$9R3FZw^$EEFI063l1U}1B{BUT zL0DG538Pz5G$);K#&T&i zPizUJMeu2t!aTH?6W^!7wxv!U+J2I1Ht7k16LJ zXaou$MuH_-^REE6%4W2VLx|RC{8ZG%&*dnKe+^A{POxIVg;t=}Ymn9h`JU7~6L-^M z*>|5p9_MCpl+)`gRt>qDML9JxBgmvQ%DK^FxUk*FoI_E=d9)HNUqm_usA3pu= z61G<$udK!q_yHDgHklc-^^oy?l$=>T;k*gVVw7fg%$A+g9YF|7d$#PJJpk%vH9JNb zrvX;U&K3N%fYIO&xfTv6?>XT6Fw#z-{wloL-~+OMA0#{3nGVFbGc_BTWq&}}j|9vv zcu!=Jo$7t5Co_m`|3I!U01@i!z?=uP&fTbQaE*ks0jTLIwt#g$Aa(Asbvz(-)Eoso z8u)U$dLEuh}CbuO0?NS=r~ zFtE#IV5b7oO=o$O=ZSU!W-V9AK+7I*wT!br8p2FH2L-Q*a?y7Hj9N#s*0EaaKGZnA zCPojax#?_6$4af&BagL?m0BMHq_vKfTE8GXo1Hc;%9349QYlV3BE_j9#Th^ppFR(a zCWsWU)l#7pycB3`f*d}C8LtI?-x@O+BHf1c2$0WPvA&h5dH{JPXl_I*ik%E-4lH3! zl>1y00o!K5!2rQFpJKja%yzJR4`~Lnsp{5oeMi`?L0(xg>wm{E!qzWHgK7@QvX)*w zC`0n@;9KPi$$O;S}ME&G*kR{zoQi z|C-a`s!Y_X<-C_yJMvzh7k)2?dtp%x1tw~F;rDX57gnrwFRY+-FRY+-FRY+-FRY+- zFRY+-FRY+-FRY+-FRY+-FP!^c4)?;j@8v(Nd*R&oa<~`fi!2T*y02qaeBZq=_t30~ z8r%yjXx$4dXx$4d_%FH_eo5;6o_k^YUY-|vFV73Tm*=_{{tw>E<&~YbY4Rc;cn$vk z8O9Is_!M`0x5oxj#eqRJ8*>Mp1+@5TbO(=@PE$|6Zq{r}JpH<1voUulnN}ZE7snHf zJN!BTHE8JXj^HKUvSEsci>*jm5otE&j+~F2>O(PNbE>=39>YV3_>6R?^Ag2s;BMm1 z(4(O0>DQg9LaC=;_e_P<)32K~8}n#3=4Q>tYD_t=ZN$x*jd?U1b7wsx6{x3QH)}TL z(QM4knvHq);|0PV81!g1=328ck7i@;JXRko3OxO~)@;n9*_dn1#=PDHt=X7IvoY72 zjd?U1bFJB!N3${4nvHoh8*{DMm`AfQ*P4xaG#hgl*Tyf+#ypyhxl3L{<7hVK{hmTz z%(l{O%%jwx|b;!c=~m%*_cPOG4~3^2A+OhYc}T5Y|LG* z*uc}Td!>Sbr(f5ajd_Kv*P4xaG#hiTQR2YUuWQZ5JerNU)@;n9*_dn1#ypyhxz=pV zquH2i&Bi>Mjk(rr%%jFYrS_wG1cbcD2Hg@E|U=8Sr+)e+KZr&NKK?em#4h(uU8*?`o;FkvmJ?hHcBW7c=lsRCs zP6AKA98ZA*Prn?&U>*4sm{kc3PrpepW)hfYV_bB?mGAU5*MdB2Hs)@<2RJnwb8l^i zCh6+C?J@A9YU}`;bvt_~>*?3cnvIF4U-wSMsi$A}E``+7uRSp6(QM4knvHoh8*}eb zO7-;XX3fStnvJ;+P>^Vmdir&t@ZyJerNUS+g-+U0JiS8a$NY zKGsoqE|igZoZV$T{SqU66*3!hceR9^dGVpZ)-vbdw>Gt9IX`Sc?G5;e90PyAJ3;Y7 zoDy`BrEh?h_ybO6jmv7BF(;A?K9k2Ibx*>qc9KO&EV+6!5r92eOj+v9;iafs>&-iF zN+g+~Oc2g5D*@%v`~myrLshhj{1NM7yS!DeI`@Ks+Y5f8Feb^4`57_TZ zfvrDazaJ%|ahXTsGQU6j1bbi;kH%&GaS8_hfPHIR=Fzy!AE4O4A8-~7`~mv|JBb*9 zKVS>0KVW}QfK`9M7F2)0{@?*xR{a6{*0`(&2FoA9p{8+}EGAzp1!6^kKVW~@Oeq@p z1NMh282AJBM<^Kh1NKKM82AJBM_nmp1AoB&iCY8=`~mx;>BE)AWgd;o{F4+6`~mwX zD;W3#_Qxm~_yhLGD(58`Z$(#>9jD;ZObwpG^vAy_oCANr{;A&xcy*@6d2?n){E1ER zfGp`%~z<&iVuPPgihr#-nkWKaKW082pS!<1&A`nw|yz zfc=?o3O4Wu?4R|GfPp_?|7@)&@CWRlQx^{ev7*2qus^G_fPp_?f3{+GXFM90`EwKu z`~mytjuqm-AFw}HMX8f~~R?U|c4*0WK=p@B)9poWBCYA22C7 zV+FRxW%WhXHWm4`<%g>Ud*cOIaCz}z$X0@-mVj44@rHvhF#u{A&Oj~AeH7U%C7aEX zY~0 zrdyP>PC%(HsHr>T%Zt<4t(M`GR5q3txbrM8&e2Nv+Q(6J-oin7t)=Ajt&)=RgOUbo zDmrI&B}(8ASUjhz;yJ89#gL&zJ6lu*Ftr1GBa7$M)}px$?rHIyzJdqWi8hzBSW9u! z2kLxeh;f;JAty}qXLu?1mr-+G$>;Ar5C%Sf<#GXvM<;W((C6=+fEta&TfDi-80-9Z z19D@gRsl+?^Iv8h#rbdJX)IkKbD3&@-yl6RCK4Hn(uLw+s)Dl{AA_36q2vlS8=lP~ zP4A-4qKnyw0xu)|9fi)fg}MZVV2G4v1+SU?pc!8qit(|qg8^N!7%jZU{uqX=!l^i| z{MrU~%c^)2E?7WPl>^-g^fPbptLiLJHiIVUO>#i{uLXUnSxQNE8s<$#4ULaUI2VI( zboFd4J6X#;$SR&dVRo|no&(riI6p?t)GD1l3yL3s^2Y&*dLAdw=)B3zsK49AVr6nj z^yln_auA_?6=b>H6r$GakWN7EZq%%uw_O;ll0&-NSsty++zP2%f%Ie~^8jsCTKo!G zEq3B3(vnzWE+Y29989F~XKd)rGFF>`eGzE^sym@Zwz`{xZs(cco4MXlU7@%FyP1oW z=g;MA{w-W#I5FKsJ}uwEr3PPZEnh*^w}I)itok;Q#Ddr4N)l=O3Po8fqU;BC%{usq z2vJ_KqO8pkWo<~5wINZ~Wkr$cwT^2!E6V#|?1Qn{Afi|>EK1XZ6y-)!2+Cnd&qIt- zsK|5xZVbebwlxEK2pMh`h8C>EFv1bN%Zvc!MMz(P;Zn=+uAFw=6^y7bKN+MKkoh(p z!YmkO-uP)syGlB42}mA9D#dJi&sMN1r-D^M$4Ld3gLE5`SuGV|It}UDXvX2G3>SNo{pM zE{D7E(@b`Z{-hIrk3gyTCXyML%dcFI)T&mpT#wWSfF7w00GeT?f@8RmA*d@Fx%L>V zyu1-I(WvM!__r;_hu|h4;Q87gjf!CU^P^D__p~BaUj7d>D#|e#$`=i#)4LvSQ%BWM zI)g?4EpLxbNJHt!+ZEp|xxqSN4W%=bOal$2GkgI6(NH=gc!`(Oh)=dxAj!iol&I8D zIwMa(PN1Q5rriY1fxN{!(|L(ve8F{Q+$Vr)D4mdo(g|rOowK-qP=P@Wr4!OnIw1|E zGmB;(aB1ezCd&zFD4mdo(#ald6%C~m)=)ZO4W$#-P^r4!arI$;f^6V^~VVGX4d z)=)ZO4W+Y~u^X(0(pkczZdOC-T+Fsw4W)C*+X4m}N++zLbix`+C#<1#!Wv2^tf6$m z8cHXup>(d|;J_PPR5X-MSVQTAHIz4Y_uPFO?fgf)~-SVQTAHIz4Y_ujy>2)J(#oUFVf9{hSIr-niw8z6%D1cIf1t~tD$tZXy4#qtGpy~ z!pk~=hH@)pWaM#q;c-u`VPYu%nqC*->C#11-LK;gaq_K3e2VF&D>4Y?vPDo?vgfy1U0~91$ zq#8>nq_K2D8cQdnv2;QjODCkUbRKIVJVj&aJkIX28cSlNuRcesqALo-W2iuMMS*w>6^L$zFSn68@nqSZxHy^uFNy-EM$7nEPw8yDABFu#-KQpH(0xdvYq` z%bv&6smWfPwOIvXviIGfv=5F&S1MCc_HE zEEp&dlLH?YF#-jm1yzBV928(xfoMThASMUz)v~HU)Nq?Tok|5_atMdoDiD)l1!8j8 zCsH&}ASQ<^7$^{vBNPl2h{=%(1`5RFs5D-wcskYN>D1(jB?1Nt#N=qzc?Jr^3dH32F;Y>WKun%`v4DXBF*)%z0RshMa`G<) z3>1jT)3l;MftZ}~sbDv1K(XZM3I+$iVYNq$vFxJ3dH2OZwPUqKupfnQ3w=>$@3Hp z6o|?5wY`A?F*)xuDH|vdlk-z}r;QZ_3dH0B6*5pDCKoCgC=ip2baxLFh{>=5F&S1M zCMzirxu|6S2^5H&zXAseM9x@&tpZVFSABr|dh)}23HJGjC=g#jwh}n#RwdvS2)O^} z#c9h_-*5&hlCPr3u7!;HhRu>}`@tju5Oz@OPDFewSSW3>g z97;8%{}OV`+i=!t&xAt%MH+ z6srlm*2?KyB_-u&PgqLM>`IitQ*WW1I9(ObVFl_6i`r#T73{wN&){lWlv7)a<~I0A zi|6zeJh;AVb2*E(6gPdKz644Xh{+2%VJc}2c~~~k8p>rAT0?Uu-|2^|F;8zeHN(G+6`v4bqVfj(w zUMmq>jWG3v5<^IxOD1C1F-O7(jSgs5F-IZ^ofPD(L=Iw)T|%)(iDYviaiby_9iIvt za_CQ*ij<=$WLso46(I#2MNnisk=VHeMMMFw16U-Qi_v8BfPgq6!TI(bNyWL{Xm-Ys z2~Z-6rfLk8W=jeFmJ*F6=u86mIKgoQA0j9%A5ZZ0$pEFz-9Wx(!c z*}3DxSSd@4ILrIV!Z23KzI|-MsoM}`*Z-^v>?~k561#6l71$n)U@(VdzI&kx>>Ob3 zAok9~RbYuZMC|=(C>C?tTgLYO8JJ@m1Di1ARiJ+b)TcyuHAAXE?=FOL3<)P+&#D4V zRNE#%A8W1*qqR4IdYb4D?x+GwOr$BWZ||uBOH6NKFFjZVmYAOq`&bl{F{jN^FEKla zJzN;ZN{lNVg1`{60{KVvpXUym4)nio2uY?ka>5D#HPsN3m!f7QTb})sN8~`w=#HF= z92+^|Vcgo(6l*#!QA{Hz=xgx*wIO89sth6bNCp2}3?X?!!>SqGC9j}yR?X;M%(hxJ zqkGBw0{&4UCxtrdpAUPSlDGky|Np0#D#(4I!K2xmj1=Z4ZNAR;~Eo zZwN_2qD4QDA!JQ8gru=;G#fdA-DTB^#7JL-)Qaw|=IE{3uuGiIZlPMy+9lQu*(Dwm z*d@|yX`Lf>iFJt%-<4V=xYf>G> z>7Cc*9bjvyU82`*D_&%|pL*Rn6*;`LOZ0j$KdV;sLUxH>FV51eTG8wM04S|m(d)x@ zShb?pmjYY0qSud-S+$}URx5g8wW1eRD|%tIVipY4ir&B{MT|hLXhBsgdV>P2sueA$ zYDI4_wJx!u-Kthpm#{IY6>CDR=ndgeTeYGWRx5hLK9{0_TG1P>V4zm?MkpAl6}^!P z25LobRBb!}TeYHhVoL!7wW2q=r+|T4(K|`OK&|MVtYDy4^u{O{s1?1j$~jOgdgBya znyE>G?Gn9-_XrrM6}`z%3mB*sz0|aq^~pFk1`&e-g##&6EILKdS`1zfm+c!=VrkM zYDI6>uLKO#ir#F+25Lobj)H+&(L48m5C>{SZ?29)pjPzGQ!r2~dgp6<1GSxDQqWVw!GxF=p58t1#&*zC+@sG$>f_w~^67UKH-2e09{{%q;!x^Z^K&{vS z84V1ZCD|Zsi|fEuTQ;{oH%s{ezQ4tDS}8NZQy!%ZBs<+wa>nIQT5_;kVTm{{O2pSs zplB_rl6+=S(mDa9x}c`ckS{O(J5V(+oRZ4M(gOFb<;6K#2_JHLS08w-mD9IMO3DwO z{VgSDb|p&Sk2vk)bX7cu6{r=q=&vk_YQ_2i{!WYM)YhW84gRggiw{4}2XOt9&E+iC zQrz@``VJ^jD|#1l!qkO_SUT3Lp26F4q%W^Wm9*1rhN;gJwU^bpZRuVu{qck95U1 zvxr=l%te&)-!pRycr`l!M9cOW9onSD9ZBIFlKK=;G!gkp*3 zE|+CllHv)NZRYTo5T*EA4)S4Eg7ey}Vl8+)%_=@&IGdar1RH6da5^KIw;u{)MLc3= z5j)Mi6h=#7qLvZ;`DbBl3sy|bZamiB0AdP>J;$`E0!`GZL>Cx31js2ZeNWUvqTibp z#!BB4^D6tksktVMmcCyL^wTUn(rgQ(h4%rV{z>%9&xEn%9M?KnNqimIfkx?YUc$zUk zw(5-qC1_$P8+A2st*2(866^@2Tan#r28zhu)0`s2=DoCl=AL3RF$B0a-C1vjGjSfk zw(SV^YgZ0`nr!`*t)Qz$GOI5@Kj%m+*x$8=p9~~(=7uV;#56-Q%qcsoz!Ec%*a@#x zfhFcVVmE#s#>$v11m;F!Psou-Ux5oDzrF&;^c;P)+;jBRf^+oka*b5m(MUBN7^${f zik?U}=AlpF?}rSI`SGXgVC0?nbNC|xgq=2Tf}sWwcFH$l@=E|=w?Mwr;a)8P zgk5_s-)HC;*PhGwdnEwcbNT)rFmtWHJpW;QIE3>$z3poNVb}W0^E0@&yVkVT|1}!r zT7P-IX8>A%dHxp|8Q1#D^H;MX>o3p$6Lti*sd*jpX9Ebk#pWFWHGr_&ENGDi5Oy;G zr~!oCmgZu1Y*BB&1?#OaCkm(mgxxj<-|T?Z0K#rt^MP>I0K#rZ^M!yKK-jhZ@+5$; zYyIW<1KD1CF5e$c(E7{sPbO&n<@pl`T7P-|ES!*XhXpcg0AY6oLZ4HrAb_yD!@CNy z`|e}x1_>bSKCV3i=i_9zOFKjZ2)nzvYpM^rTTmb`&Y9qB#Sfd~uEhm&IVU7H#Xe?k z5I|VtzeMSaOwVNIC8jIK;GzMsiMeGmO{?%B-{s{_cEMk9%LQX_t5&J{QG|;H~!!H6L8g+jJ zFR=(C4%x0ik^u-b>i)#z`x`b0fSh?emEAfAC5)rhhq`@;aJ4}GHpT-i`ZYTU=WMg zzf!><7O{VofF3`&(2B81BkO#Q~Fb62v0rcnTcEBIXDN>qz41vMPZQi}>%jW)heh zbuK#H{;=3X8g+jw9fWBtV*l0}*b#K~-F6$wWA#ZaVm}m%*uR64A|g%t93Eo5Qz4B- z?BAu3#v=B!hPo1q*x#l&jYaI=qmafT_Cv9V{Rb#Wv`AwS`=MCG{zF<$V-fqISj7G# ziqlxc{!WE77P0>rBZLGRb^md87d7hAcf?3vQKK$9#>;4-zpE~KtG1IW9m8&+M%{*y zp+-Gbru^d()u^Y6E(4ut)KkSoiAG(+$cRQgm1ze8?BD4!#)CPUp>DdId_dKD52=oF z(m&nqZl&t*F{zGXsGIKcdtd{Ndb-7%(&|=7zpYD054DaZ) zhLPbcg+@Ic!EHI+dn+iZQBP|a8McEO^)x+Qr2A4}YShyjMuw76qn_3>6vkqN-kw1$z{D`M;}OKTVztw=TM=^-3yYSd+Jr-v%?rLwe!k$F?9eYGsTdIahj zuHYMG=@*6r9HHR;vh;NXM=E%*EWNoaz){rWQKO#LFfz5N)+ze9EUjT=N(DSzmew#b z3VvReJ_!<}Pgd~Dvb2VgQSj@sw1$yU&Py`s6|88Sf`LXoJ$`_2UY1E~7@2bg3^eNL zi9Zu?WhT9!WhdV+;F?TY!^mhw>oVyiu~Xg=?8Z!5!^kMOIg{2fGCbl;je1(c$duxB ziyHOxjzW~3Ia0uFnY4zHIak2#ne^x6e707!Ba_xJGFJ6V!*_FAd zWd9i+aVju7Ibj7(>aP7{7XA(vo1Bt=Kt?_JA%soq3rt%?kwLZ+@MDJ(@Cqne8sfY; zfcl10Pmzym={Pd#8@5NXLD&G-%4tA0w;p#%`2oJ%;yIs`ncyjpQU;O@wUnG{Ih2+h z>=+(atK)zu5#P6fqFt+^UbiS|oq$qZP*Zd1gGWGB-*7G}8%qmbA6Q(6gW_Pi}j2a8T3qJEnbBY-GU5jfyS~9Ww0`@m zytp=4%lv~ZH(0y ziB6Ww1#-zbU&wyK-zH{0>WKX;u9|Wl&u=9x>I zS)(P4aKt@9E&f&vNc$mZHX4& z0B-Ra2LhFIN;K=o${*LtdxNS^+k`U|MR&4tI-vuS)h)`h8wH{($!>3mU9)>h`;sym z0VW4KAao20(Mx4>szqN)@PT(HvRWeg$}7SZCYt38q-y>kGDqi)ZZ&mA#Jrl|cj^&xGnxBAvW01sRLx4Z*YnrtCF#i^6{gTv34Dz8*3i#u%Fe ziA$lDY|#yQEn4%Z2tRG;1*Yu)Tm|H(wo5n_$TJ;M|V{&-2+{DHj-&ptyLH;1AIPE+lc-&G$QTI zH5ih3ex6LM!yusgu=iP*g!Rxzk8>i{%j3j48+qj|NZdf+*u1Ofmq!Vgtf?6majTu0pdd9QcIbxZQyod4+9gwt_9^Vj8fe4BZz@;e;EGTZVl z>IAxnnD=qs7rgGqYd^oB3$Cvx&ENC#!K-6`=1_%->A%kS_D!nfrw?V4~p%|gZV zP`CoPvJosaLmE3BjcKzCgI)YJsjrkCEPjdCSLN|zTjx7~tcy~`^_Y3Bl!|ou4E!?S zr@1RN7tv|nLS+lO!PPuUw8p|ZDaz&Add^I^2R=F4i4(f>Zg9K}_*TGQ5hMO5?9$`9 zV9G5M7s9c5OXs(oeHOg^##kgaZ^?X_TOW)=jpH%5HpOygWu)_~;P_?0ak_9^XF1LY zbDSCGxE35=LNXVIICgqz0L;t>!oxKhUG`J-msuuD`(f~v&nnAh|M)GyNaw?-em4kP z(aUomQx_Zi2SBujVR&D|#|~L_lTLwGb|f>}OapOF0|ea(D3ajQ*=1Fr51`pCh@N6N zS93~B&)f&pABaBAPhP%0!?Ph7*0Ce$EcJqW7?=Lwbiu7+ma&8BvUuYCM z4@8Cgf(mO&h4XBMwZawFwiOD;FR-B6v%+>Ejv~y4lM~L*k<8)fU&6x3_D2+tUz%1X zjRlY+YnRF3%B9NQyvlIqLQTS_3hqPl0R9`?$(Z4AC*bJte!)7T-M2 zy3RUmb?SHvvApU2djB7-r?9tWQ~c`Z*XkGp1p6{yk+Yx>+tW^n=U4F%~KYWi2{(>eX++31i2da_WSM zr%jo9$DQl(ZzF5`zx#LJ`l`y^xqkZ%H*CB?QlB%X-E)7vmfHrLaPR%d`7i$6e*69M z?*`J{e*4DT*57dZ#tk>zw&8{&|Di+he~p@T3Y#=7DlRE+)w-fh+wOyg4IeRb)QO`{ zI(f|aNs~{%eZvjw3#zy8(79{h{>KfSHTS&p=iT+dgEy>Qo2|UE%nz=os3M+~@wW9c zxw`SAVnOgxu^YYRIIH*}#+YvOmg8I(0Q?Z?tPTK%Cd;q*DMr>9yi;M~bYoPq{5E_k zLrDfC%Wuma6#!qG^V@a85(L07WclqMRe%x5@;mSy1{ek(%kLNfj60U!DFEobE5EY> z@>=~lD()WX_Aa>Oclnb@(TyR-^1B8Aql@Kt3xIMoBftAzsj^2WuEf7ro=tOM>gvq1C3pd2e4>lEvyEEFRXhp$N7b|0Q=#d#n|*)gMeRH z6S-el|09Ry)s6%jtMW7YnvKx2714$bq9I5c;{4$U3=;nd}aQ^$Tdb@}1cu^&#| zJ?K2gemHgc;ncAoPTdXYZO48%b@}1cu^&!demHgPhf|jyP96K<)a8d$$9_0hi;> zV?Ug_{BY{n52xo8W5c}e&e6?jpu=hqaf{TjD)icrk_U*vv)DU_xW6dW+?&pFpDNTc5`B!w-F#D5w}$zhm@!7)c=CTi+Ec`C zE^Lud5O?bh#cTZ!5W>D7o@{yxS;+_F>hb}(x_m&cE+3Gq%LnA@@&UQJd_b-)ACRld z2juGV0lB(-K&~zykgMwlWDO4NHF=$1ex61ee(7US97Tb3D+s z<}d*4_5KEQcck`8)NH!?(!HiqjI_m|4q~Kn64hD2u@s}px-fsGqR1@u#a`0f1k(Cq zW4P4kG(xzwP^jUT$V$v9hq2x|M?wwPp_(S9p@!?3Ka%P4fN2E#m`b}`q}2+RYXuF= zYII=~QEp4XFlLSeas73e@yKEo^#`EQxF)vNq)D@~*Y&YiMxr$hTNF9ACnxFCt~mtA zTm%*kpW~u=#$`ss>70gp-Ho7Z)UX44>i6y&EEhSpkOdC~1%Ju9rQjhg_&l5Op*tRw z%H$(qqC7rP9*xbHG)bxsQ}SSv2!ydlJeQnbE>GkqLOMLTYp_x`UMOk!K4_+0=|?MukdT0LuDE zgF)TcVC5>#pi?VA&>d+jh)xAjNf%_Q)GaCXb4k-1 z=o^uK2a<8hOn}qeL|jlp>jzz0$dWOQ+)p zO29|hslz$V?5_He1_vW!W$2w@_lH(!_2O1{!Gbi&L;d6rB;yZ* z9tPTFHjF*yDz|25@Uku8U>ezXPa(4NIdq$JQ**HmuByV-@#n6-$6m zOho`e6u1;STdQ)4e!q-Ii+O-+vTN^`UOWvjt9VeVSPQ6)n1t>Sfm%Za^N@)05b0p* z`LL9F5s-*-9jc4QHHa&TU3++h|wPDeH6GKq7)tAoVi6r;i78UneaiZDG)u2 zRAWB61%zBv2c=JYBBzxEc0ZWpzAznd+kddw2g&j1G$@bJ#lMsGC$)>gr~BzxfS{a% z8%dFrzF$hCQtjdUrSLA0v|;n5s%Grn*HL;PoAgj}9182y(Fq02S4ifGq@7U1Id9H` z{HX6B(q~|J7wc@Ih+rpc!TtIS;MXCU3zLy{k8lk7y0Tp#M&?guVspdI^dERRYd--K z!}OQi!(imKAH~)kC-4NqA9CY5UT!6G0CQjzx`o(9WIaHzj{q*8jMnTW+XzQyjv&lJ z>=(S3J~a)u2_&=8r8}U~&d4im$)7_0)WT1sd>uGk2yAN@0&kS^XQMo4$40jV1e*ax zI_w4&=h6a~6a7QvH9UvCut-XDcopb|_p>DzNro)or_R96f@Cgpa~CkUeQC)bak&_T z{Ke@B=L00OGfdv@PV~8Ho|Nufg04LEOvGYegjZg?-cst8GTiNv)1d&J+x`uVhv_bv zqmYRW)C{3$PsWACWEAK7;^n}-Spa&uk^ef*Cx8c#*Iu3io|2DGHGTs4Lw2pgHxhn? z@Sga1aQ+r>$J*?O&z+8UF#p&@r-}Sq!ySHB!jZk^cc`#}qkb@6J`jJO&Igm4B>K5C z9!$1ef?=2g#3@AF$q|0Tp)~%bxaIIU=hllb%c@C3V~y)Ir&{ppJzs*TU_vE>z|(EVDCPCc0GWigaCue)$X1`)sW|WPK6m zL)H)yWOzTBJs&q=qqY)2$7aht{(j_NH{Mb^_&XT9Y^g(WOx4q1}i><;F|!2 z|8*exB~pCiFT?zMv36p}CO$c*RoL`a*Fz5`(KTIPNjNgE2K!o=8#fe=+wu3B6dD=-r^k9xBf)zbmqLy8XL=sNW^9^ z=U&nj203RlrvYV9CozfGLge`Lzd*M@z1O0)J7bZyD@k&JxkH3n^0z!EeHku&Iy?P2 zE>fiXF4Fd8*7kvUUdcWM**#gZgITh+k+z%Jl)UKQg=})%and~XBenW9f?6Lyt1?ec;H1bwKt z%L}~H^u3ZbG>|UF?d%ZrT7CCGLNiVt(~&g<%=#Y73T@U1X7ymfN!fyH;2x{*>k!kt zEkdB+$KC7uLk(Rno-e1)8|LBbvDX25&k!ZgbQ-xBFjv=XQ^H=l-|i2j+O^ zc8_@HcK>Vd+-|OSZuf|HZa3FEw;S@#{X@kM`~`0QS?}Ec^Wz7GymPx@@7!+KJGUG5 z&i!NjK-?B!kNU&M52Vj$gr&;H59G54jr@c7fg$hQ?iSwSVD|g});qVGc<0um zWa6DWsouGrKjG1+Fxkq(JEcTbOIEbNCBJ}d?1HmrZKylq7Z4g=C)j*ZljCo)FmtILO@x|i{XAe8bn zjmV2rETy6xf)gsB+90Ezp+MOpLo6{xQDXLU-4bv_iHR0ZaTHHcfVv17_2E%y2mT)I z{DW7%Ua4BYMyjKnfAHG^5Tqw?>06ykS#c$_Koc>ci~uAucOq@eXEq~O6akLMq}QT3n)$?+&u zA)xgr)K@_3QAk1SQAk1SQAk1SQAjy2(MX5hI0XYo<=*%y!g*Q79)=ISU|k3tGsk3!mB z>rqG#JXnuHb)g!_@hGH1?$=0%-a-YfN1>3T@_)~x5Eqraf(IUjIAH}2JPL8f2CwMB zv2We0K0|(8`3bfck8iPYp7BruakMQ2yaMVNKI;cAbvOgHG+#B5-544544Wm{$mxh{ zn^?r{{olh#THw@S(BTP0<-CoCmrb|p&G zIoIMjT@}w^WveafHx^YvAp-n87SE}zMRObcoW*nc3LacPvALYZS}JfFixbX7kk*3Qq^U4InWR@DtB6PV%*kxWZh(;{Em?yazw|~iszLq^#2dC~hDDoR zP7y)KS%Y|eO=0<(;Y2$fh+_FV)AD&f^{(2rxP<*R4ZId0nKo3bH9Hqs&8Fc8AOG-6 z%swcaOplwxSSi~DGMtBG`usACZO*a_fq8(~fjL|wWmIHkJz|uT>=ti832~I6v4_+-bF#O@ zT{{a8zLDzUN}{^Dl5n2J2H__MF%DFU&(+Dn+_dq+lj4~i4UmIp?i3A%lJaqaZakuQ zU`I|4CDXu_L~{6}0K}CI z(dXn0dP{?kl+>w^IXP2>nw+YR%_e!KLZ_#0iUT@Jp&6;qz!t$|M0|B6kvvDCb5c8T zpGnU8R4SO2qAQ7HHU?bkYI2#QTo$J8$1o=6RtT31QfHO|I-fWMGBc^VM9x!isY%h5 zMAF89OEtl_#pD9TUTspn3ECKNsZm%m$wi7?V^V)+_u3e6sq?YEk~Rih>OS_bjRBXM zOA&1hxYP}7>EiDA#e0iMJ3%LbMkrx16LBswVWmBx@hWh60cM6o@lBkK^p@u z^${zwG2l`eN)>V?k=)cox;bzqk-Vvy4AG%z>P>dW=1zkBIGW;zl;jaDlPrD?n5>gt zkt8MHcnTagLAFWK1cPP|9MOPBZI~5w3`Z+1@QfNquU&@nNR}!g1q}-;s z(Wym{B6*KOV^bxh%*K36P2nuj5mKtCgZw$?L38UD?4rt5wqA zL3EfPPgwOXgFy8NVwSL(h+YIhsVIlwgbJvs$f$29P__tFLrP3hl$gC)uLK-XVx`4X z9L2M1fZC3X264aaef&L|pK8B+uTr)4u>&5>Pqp9fQ>E(AmQ+XaQ|))D18m?`!|(Pg z-ebf|QFpFNc0TVqeh=pJ4#&Hn-&1cpqf>k&_j_@{!mCCqg3ZhCeF&7)Dy8UE!|%g( zP^*-pR}H@}1*TRhMXwruKT1ZeQi@(R{Qm3%deunLtA=m=RHw=^0FPJfjwUI3)$j)> zxU)%$UNy4dt|qBNEIUw@1G}4~=vBjlMXAXYa!`ORNzto@1xr)(s^JfI@OnT$)hT+_ z@K4Z+)T@R+gwuguHDsClLlyZ_S&CjY{9zd>`f6E1FstX_%*_LStdoTl7H$G0tQ|+{E2jZ3s*%M zS(E|)3rByzOH)c}ws^Oom;O0zWO3;m`VqfV(m& zde!h}D|UA#b&%LO3jR8iqE`+7+Xm8vgvj0=|(+(W{2PK!x0o&LOx^!GjsOvB5zWrY*FF8M(3f z)=zbcUNwB{r#eNi8vYSK)pCR4qLQt6cqCbYMZ)Op4Ajzm=0^5N$!4=88#&YkDW^5r+RgWaz!5ywS|0<99=E~_NXF&PvktrJkH3u>AQ(emPP zP}Mh_l9gzIYmZ#z#W`9D9}0gr!cubjR!N!d2}{YDU5OHP-eU2bu8QZdveg#Fd9EnV zf_ee|ZHwpBR(x)Q@l+EuhtpT^;My41+DOh~EyYbAs1C>wt&)EsCyeu2KTJu}_FiPC zl7)EcYPS`1ygTsTXWH{gD_Uey`!VfJhYEq>sfMKNs5soH33XD)4ct0gMb)d|EWV&s zQ6+AjqcdgJHS)-Gs;G!e1ZovkI8EhcL++GjYA~*Jz@bj5V_CIU8xmo8MPifXa)Dfu zZy}m_C!j`mQ;non5avwFewfrG>Y-trV2#Cxf}WvhGJz7UFy>NAzJlbaoF_7(!{l^C z%L!HCI`x&+MXI6mYKwA2d@?rdV`oc&h>XVrawm8ZM1u=)(3Iu8)#k z?NH)bgFP-%BEB)6HJrE2qz8T{Jang-3vR(fVbSl{b?*k%{M=UauC3->Tg|&U)f~vF z=73ZaY4QkJU17{C;GzS3g>-4@%jg`lPCAE*tPhbkT#ji7twM8Y)H>2Z^Vl5CTE|vF z$rmZ>%3}46ISl&0A?-n#jwq9nGWA75sw>M@vErt%vAPsy-bkN;aFQi#dW7%^a$IW+ zyjwc8ks4hAi*-x5HcOa+7;6n@80BbTF5*yp8#RR}@;=gF6#IoO_H8tfKGO0g3bHT= z7zx7jm%_9i#C2=YR&_dtYN6Dz6?v^h7pe|go&(&P>t_@SOh+=yO~vPcEB=HZyzyK^ z2?m-aK&?ai67;>mQ+sb-D?E$Zkk<9ptVt^I(@B?f0F>G-wZE@tXgmV?r?I_ct zc6OXv*in;b1BJ(>c@K}3YJ`+a9)HYFM>w<{zDn4?~um<{xBL$v~mo~hf%Je z4$I+U;{0=f{8;=KoCUZu1-S+Mo4921{qT&BJz^*XRK1=rJc?+cbN1^BidD#>;dXq6L zfqD(;eUx1f?%Lo@()Bg2#AK7bVUsM{3cwv?EN(KSjjlRJdV34XJ2V=<^(ei4Cy1rD zqmi=v*eYzF`%$Rd6$z&wnC8{Vj`@o0n0MrE{61(3$#|uySOB;iyOf!oC9`sGV!FRb z?%hpqFuogUHkc0rbM3S4?6Vt?B^|Q}_?wVSuiTDlIglI%=)Bwxbf@LmKTxI*%r~`j z28fKGA+J^Yu0qTkXQQhy1?XpEkZA+3C&2E53V|Lh`@wX;9k@77kVOU;KAk!Ce?tuo zmO+R!%nOh+N0J^R&_;T1=_z;FkwLN3rPwtn@)(PqVqB2q6q6Zs2&iv}9&K_^5y3H^ zZ8Eb$xRz&8))l%6dIP`sDja@-(0=`FyI066xF3031uJq_!3tRghk)uu{fD_W$}J$e z%5OEPfdxBXjUJNevnt9NdI8{`&#~I;lziTh?lRj}M=OBd2z2j{h+ZSGuJh}oyrV@| zP3Yck1W-Sdl8=G3&twRF4pMWxr1lm+{u`p)mU@dHf4z?My#g z$4cO4SuUG$xaf7H-yNV(S)UZy;@4zl{guGIpQGHr7U6Wo?2Vo;cZG4?siHB@3aSo@ zi9`plOgOtK(QDDSs*tGPtE|rh1M|ET%%EULK4JVE1;1p$=c5(R0Or5aVou>jGGUfr z6rV#n5e24TBz50k6zu!FYc2{lv-a$nD?xfUlKE-nPKgw~&mH6I;C^o~tom5-wX6m{ zR(x&m_h0M%UZ%n~B1ehL1HEo0bKpBeeU?6AL}XBV%itUBKpFU`UZ2nQ#Ps?*UdN>q zdiSG53Av6GU04X9i_Tv&$BNfQCN0J5A`_NRJzZtCmWX&=rMQZx*D7B39FcnDh}2WA zabS0`6A{M4NJsn?Mn1u0BxOEEErLa!s8Ie-;c<1UG0CPi-q zaYZlYwc}42e;V_rCVu*736DDgP;}L)$B)6^n<37Csko4PzzN{f9JQL`qu-l4ksbpn z4|+z|%rxo4U!uIO@96?-zt4zn#1z&=GE?$j0$q<8V31D+vIyo$e>f|HMNoJRVt=si zdD%rEA`L+HI%Y5azDTXP80xOThhvPJnGmhm47g$qf3D=u68@ZzpZ-~*}1IT_ev3-+`tf#i$#7 zy$YJvT$on?e=BJ!zTXx+Ox?rT_(|~i2(rj3?1hkF99E0Y#!qCKu16kc<0rCI@hrth zm``N2J`a@M?Eh@|BG7ylV0jt%vpi{y2HfK?n!+#3y1yQl(=xih>UE?~y_I-?Lb}&d zeV#+5*OAVAj~EN8HAv=f=4%jFY)4+jE&SQQpOySsiXUnJ6cAoY;`hJ*h|!wwMeBfM ztIemTVi1e=;7>dLl<|jO%ll`Ejynku#!^_{f|5^>^$Xu;jjr9q4d<@lITaN=i?jrN z(FI+uU3-^w?Kb4GYwybK+PkD{-(^?I=61JqZS=Zq*WN8I82SU&yTm>6t_8_@@a6t9 zRINRIUrrzDwLV^sfG~5|>R+2$n~;>tqd8pk8aIoMY~L2yr1yc6wgR&u z&-9|~Q_~iCYC=|9v~COU7ByGnITK$X&CUGe8eKKI>v;=s5>;=^$9J6p;8q3tca?|p z+sG}tYVbfRkj?G9`L_d)c6e63gTMd)1Ha>LF5otRgVv%wM!uuO=IvTMxGxvcU99~C zu7|fFYI6YQzI2oCw|fXlT3wfL1|gY;+8m8!EYRnXWasFkkz4}wCX)PoK~*GthT8`8 z%Ou%-JxPwd_7 z0hUo@adT_s<6v4y)Eh|Zti@i?0|Y;w1pDzMSRP)g|Hq#MlS7jH+9)Qo2(xl5t~H=~ z_GDNY7Dg4}U({yD9W)u}e?Oo)nO3J$-zCN!eg%LU8c>~=P=x|}!K#PdcuC&RcV|J9RW zJhmw7BnYTZb6bIffa-5&;l>fa6B^5^1do8~-{G1`VA||((aEkr^Y@x-L7uhQakp*- zE*nst2e5*G>cQ&s4sdd1ZFW4`?6_H*9gi>JZq{bUqs@++wb}7#v*TuMc04MT-K@=y zN1GitYqR6gX2-pkxAJJwsUB^1+z0+Qp9HIe<4<>@qmO?4iKfY*YW+N^F2+Dc3I|PXU-c{!Ja{OL9U?eV8y3TTf%X+`$<)2D*9$Db6m$DdMoU&%TC)JZ^l z{OL3S?eV9J1+>SXv?6=_i7`L0Z)Q9is(7<@322W$Db^l;QqUfMdP9iq@h2Sxd;Cd3 zd;Ce;YmYyDCS~pMr&K=0cq8M{P{muILfYd`3jPqspSY-GuMUnsal#559Dm}B6}Xb2 z$_L1=CqLXhvCq@r7Xn)#TWu5^444w|3Mf7P^j8qnH=Kcrl(Q{)@mk2JZ`dr!26+oy zE2lBp+$^6Wvsg=U(+BEHpn~I1oG_J+ zKMe%=zjplTJW}Gu9*Iqs%LQ`D$RJr zI=YHd)x=fd=Y=4zr4F!2&X@CHC=$UNycVey=Y&U*CO7pJT22sXWSdg%S5V|@By&u> zXd2)mx~jq#m)z4r#kr@2igQm373ZE7iu5i4-^h@RRT_*Blm-QmsonO3b6rmm{HQee zQEBkMQfVNs3)EA~ji+X=5<$)YIbw^RA9tHx0HPl_!fo{ru`k8l-h8wDFVqw-@Hv>e z@qf#K4VeZk#DkCR!t!%BxvOAPFL%HvH$*$ z)f5t?*3BL*@_4k!oyF4^|9c$RaJJ;ADf*ysJX+-ap6`Sgv#mT@aPLpm9juyE$anKuysElvuR? zkJJ?&$UM&O;?W}MJ7T1-LPv|-U8_M<+ewzbz;5Bu zBI~e*M~jkWd|1TWeGsKKS;U7vIa;I+YvgE=I;@eSMaj&)U=$oJO18QX)PcjAO}J!d ztHYX|0%aZ6JR?xnVGZ|hy6jhnH40@N)+F$0CR&trSffy7hc)^ry%t<@jusKbEMYVA z;uK4%C`UaZy$pDuZbn9Z_(~75k@JKlrYK6x-pn2?;&DS|MsXA$yiObj3L)XkngMZi zjSbxhfU5NnQXN%e(?+Q}@EFh0H8x{_4UQIh-Rk05okxqj?()qTozJ_D*Ms>-)7Wsq z;%Je_qeWhCe(ufD*svWr8XF3nqp_i6|Nm%gI*S-qV-tW`jZFY%H8ug5)z}QwvXwP9 zHN{~Krvr}`i8k_k4r|VoqE=(0pw-wYXf-wpT8+(BQua`pM}v9qM81ddXpzUGMc(Kg z0$PoYf>vXrpw-wYXf-y<*=lSQ42~9g<6jcaR%4@wX@a9g-ozq26!2(~tVwTjPXVpQ zMk}%!n^}Uj8XE=4JWL?fyRb2R^Un+o70hBPkw^!1%9}(KMhB=?mw4V0$u^dTVY;& z4uJZGGf+zh8k<#;&1Ok92=BnPa(XG7TTga6{s8}&#miYB5k>H#QLtB_e9cmF#%Z;d zlf=pe5zhl@bsQHZ3N$ul7B$17q;&#HbwMB84AJu9{XvBTq2H$kjt7dg8qQIz<_NFj z(6V~`1_H{JmXg!AO3G|cSW3?9N|fMq(Be5=70+P>Dvk^lr;9~ZP>2A3>un=6kdnbkoVTh1&>)2h^*JmbMjtYb-O5^`F2MY2 zTB6fQsahhrtU^oFhVqXRJzMM%kgKL=6enk4ljqR|<|HU%B2C01SuP8kOn?^1oJ8s- z-{KZ+PLj#Q&Z0l(G^azO>g=U)%J*%{cO3af8hy=5Hw)6#fa#7_x|zsh>kkBO%T|yb zTS79qL>GikDh)s(?E*QebnKCnO2;;!ib_u^H858}idavT!d%FjB*Jh{t`l6&0+Ove zRyw99S9Zfl(;Xa|<$+o54iqYq<0Q+Yl&?rEfv=2mCKt)6j;rJv(O_8YEeVWbKC_K_ zi-j7CN!eRcs~kRgi?tTdJp-{1_sg1X)RZ%4rw2A_ri2X&z&4 zlLIXL_+`AD$IBu~@|juOq4o;nF|Bx5$PU0+2_A&M2P zhxHb+&$C6pl1jHBuLW1mry!~WB6Ccvp91VK7lx1GF~y9K`c{C`4%W9IR38ln%@V2aFtC$vNI3ax z(euY->s!M5x*C-3F|u>U~ATQ3HOyN0ZEO`!D|?jSr)2s8f&~mYGiHC zBHe)^SKAuzV2v+jdCEuf&j59pig46-cR~%(c?+b1n^AN(E4Vkeg3^JUoW(jh_W}Lo zCLFw57m3_aCp$TdWpcJbUYVS(DK@-!EC$?>r)!#-`T%bN*lAE9c$Lc9dJAx8+4q|p zxtXjQ)oCfKDwC=GDbaG12*Tyf8{K6m8qyiHc9ng(m6*nMm5o`iBVG1^;v7(PT0>4f zg;U9TbU3HTGZ6d`2ynJ8w}IV>yA`-6kxYAYHOlAUWzi7D;-*8WXuDfEy{?tckK71z zZ=`Xku0!4Ia9_*LzYJOJds3p69PWDwHWQG;{Vc(!$!Ha^9};{?Kw_J0KpM$0UQMhF z)CH(!J(9UTN6tv;H{1sBkF#bw&~GE1fZ|gjP4n{@;mk;fEI?kQWIw5w<4_lL{lkyLs$q`Yy z^0z>}PxQ^9t}N}&Hol{~XJj*GB9e1MBr>C3R;G7kBWsU59)fvCw$C#G^^jZAfhZ|) z(xHdkl@12rSgCX!m_31HK8WVXqpA%?1duyKEYgejEmpjHOTyU<)^7mUJBI!HSpa>C zaq%}^w8lkE3`fg)xagh6Pa8meyW#>tY!OpHhG`m@tV1#{=hoSacU1C^ZB01+QLK4= z@OTQDa{%;t9~YOBHDedF+=n0G^?MZfg1ipq@@hAav*;rkfC8|46=@3!KL?h&y?w;_ z_Z+fX$prWaSCPNtNA{Ob#sg}+2}iodqTpFbJWZibJ^z%+CyPriBeH)l0nL3#=I{fc z%n?O|B<5LS|C)n|G^L5PSr-UjJh49Kd18ZH_mgS2F-rQbbJ)yHa?GUb zo#b|-oF?h|2hL%=?uR>(Ug>>*7|O}0){T@t#?|zw3a}sYU3?4t8=~2a6r|JrQITaL z@|w$JdQ43j@FOC$6lYE@U+<9yk~JIMg2q~t`DjFeW8_E*7faBIT(C(;GLY_)RyN`~wXQHd?j3A7tl^Z zGM9%d+{867D{58(S8zKH)}z=0Tj4CJ@R%*Z9{ePT4w1=%=qaGdS&q^uqSD}D7KZDn z$pM=fHRL}6X(;$@v2{$8Iu;=>()4St!jp8KnB5@JcZ8E%y+`0{5xm8(dd;gZostqG9q0fTd;c2sA1ivM}BT_Hf)AL=C%#U*hjW zcf5pGn6YAE|g*OxhVjpSOVdSltkz5-q6YuQzWvY$og4eb0FNwZ*o zxxqFOE~m z!sz}S`I>j)X2_X4G`bCfwtNtI-Je9iy#mC?NI31jljUASUZguufHz0(`NW)R{z=SF zkvE_NGEPStcm<$=*M+ifWY(SdAqVna1j04saJs3*N`-fSKBh5uKgBu2v?J!o3yie2 z=C*{>0m+0JWOQ%1 zXn!t_Pl0Yu^r&bX%%7GoAn&-b$mshPuA-|3YUA$1^8%^%m;#J*<;E=odJU3!*t8C# zWsiCH?u4_QrQbED04;mWtgXSO_5?0;Qxn>UK>IXl-!NBXY120cv=ecWL%SHXUy}A^ z^I(?t-Yo66M`$_p9YI?cf6a^LZ=ltoKOUJgk#dIKW`%~H1ANcDcy2{9kDFRWp+1m} zi>T`CgT0|Kh)Dmvf&r>v?I%Y^gv<8l7W6vMBZwXoory)(@*57qLS*!DvA&1hf{WU? zxP%u?aIps$eamry@#)(Ie`Bj2)D^b?Qmm(tspzBOih5QcdlJ%n$RBt-3Jn~Ep8?tI zfs>eZ7Je|*dAGjxo`mxfl5wLhg{pM77MixePbSTGrkphPW-iqF(9KTi#E$vW3?a>t zg(3Y$)C8ix3iq3=8X`Ek%-HC%a9Kg$57hfapBTNp3iRhdC9n~ivgn>LT52Y$Dba&M zx0*q@W>JH3&7ub7nnexDHH#X=gI9iV@M_Q@tg~d3%g~X=3{v;XJ@CxRQ&{}S7+DNq zqLIQ@)`seoQayOp8`8Y+WWsr)KL}_;m3XlN^s#u}2FhKqRMr0RHG+nf)9-c;$pca5>=+ zTuwLymoq|jg+>3v-77QT`!YQzf z?)2$SKolf^fsl}d1QHT35QZ=$ObL^ysHn(1DF{RvLADj*`r_dL5!pPUohd)NKG`~Cm=|8=ugoz$*pSJkenT|=K!wF~fn-BdFZ zJxL$?O6s5B$IcBN`$`%>FZWX=G1-$r$l*_WKULDeZy8PRr%D=~hxWHkHPee|_3+XA z|JYP>z2Q^a7`06`cajYL|6;0{+QR)*wyEYy6wYO;nPqjEYCd1c|1s6fV_!90#mY(cvpiMe5B zB{WPm&xW5)Xzr(CrxUYXw;}Z8J1`%`j(sJqN&^-%)qE%BO50R(9yrna{$DcHOhL@_ z|0Yw-_4xKGX(Nv)!Bn%f%qCWsW2%{m)RkvHRnq2r!E_2tHUFE{;xW}+&ts~&{+XQc z!|4_V*9POb8AVJrH<(PXY>#cAO*L;sLXN5C>%eWBYJM1AR<<_P{IXCnQ_VYtikWIY zEL6-?v!-IEn(JT{f^WOFsb)>pHr0HpEMa706yFpzCR~7? zKtQUZK(Q#Yw@Q+vD4LnI*)RhsBE}ws>cl9H#`y*c&@n(MFx5;W#{X=pndX(j)p`iI zWIt6MIRRH^C8FCW;H*NL*#uYHE6MebrkY0pJ?Vb5>9h>1q&Z&2a%!<^nQCS@mUMAV zHM7Ikm})Kpr^{5cHpc9jYNo(0Q_Yl&`>Fo>O*QurF&tCPHW@S3Y?Col%{Cb`)jU|o zt!=8g9`x3#pgR1sspiWhs$;5Ila8roO**EUHR+gYzC+?VrkWoY(lOP%MM%d~vnCx= z&6;#fHEYr_)vQx?Of_qAK~6ost+LMDCn-Conv?MUz?Z%#r{2`b;|%Kp-c;@~)!au& z$5gY<$T8JCQ&7iLvnCx=&9@8cm}=%b8#I2*RP%R2I;NVF@Oz#YfZ3*+bw-Y<<_tj{ zQ_W>UI;NU6>X>TQq+_ailrTG{nsqBUrkXYBm}=JLbxbwSlDLkk=G%pIOf_pE9aGJk zbWAntiS2*OR5Lr348^voW-6@Ewy9=ntkBMWDm}c&z9@Ov!^?H(aEbo&z^)l&hm?l zb~veVE>4FX$)y0&*|A+T69;TSZ5@cap)@3=6H>CXbK?CgiGF^#NL5tHTD-vc;$3G$ z9j%2oETibB>s(hjoK)YqoUxj4IH}pSIFaW82dBDfoXrY|Z|$@=We${2A#A**gHyG2 zRCj^1|CZXnf08({sSY^b@1K!KQ}Vn^llbpwZhG6 zF_l;?jgb8u#hxKj^W|7ixP;Y)F#>$r{PGO);S*j1?Jm>xxlTuN?8b=eCE{E}x*qNsL@aY6uD2u7Al!Pk5~JlVW3(65Vz4iT`wUSgI#FJ< zqZqk&up-`2E5WiFF7lvZ-0HBs5o61%Pqr(Sss_(9aP7gj8GQO%{gtA>sAePY0JB9? zZ2{9OaHGJ;9aEZdb&RnUWL@ppu5PV{W+2)YQ*B3-ZBHfymmpdrXRFf|QA4KRTAf19 zufYkf@KXuF=fPR-aDMG@=08G77U;f~0>-Q1z6JBWU@nlYA`3*ClOMuP1=*UmKwd1C zf-dABH4YK3gj2VvqEnzoMPWSfhWaZ?P@xtBS_3x${I4QS-HH{lD$DM|Dmz=+tr~2> zrxSvAfVCLgo7zhDXOs0M1ZiWlXLIb?Nm4dcosC?KZ$Y-BA>VVTN5?>&o`py|k=`*l zb$(Gf1uGH3@}H$(Yjr11L3XR*8m=*n?Z{G>c&$`VIl|ZgYo$*^TM97Vtd(wk1)yTF zE&aIImVOF!`*VV)+N}W!>>RFHhD>*cOd#Prar};c-|2fdIPpb zBIV(5d5C*EQr89EAWeJ@!rI74x+k-U3I#{MH2 zn@uAxjf%V+T?&y>pGgRQgG7e_*IjCPtV>D4uYzYg6TbWJ31{!)T(&|qG7afm2zSyW z*wux!wDPX7m6s#Q3VS3uofVS<*1mA+p1;>iKE=CCsbRoZz;!{A7Iq(L@h)@3q9Gj;<%3hTG$%JiZ)yO4 zk;R9}#}Tj;{eVa55RhGa;nA%*9tl2cXE4}}F%x`2dOM2O4{zyQa%roh=NGd1`XZBw za4OOKdlehqHvoDW?sf>ErETSsR{M?aZ-HYs+-8TXjf+eBjqdxv@dMoF4p&6OI0!cr$>=f`yX4Y-qsOVxjSM!|1O?Hld=ZKIgV zzZO$@YSU}7ptHx=*JMriGPuiRG}@-hIKVBjv@&gzC0yXK*x>YrVxs1fb2Df`3SW-T zr3%Ta?k(|m-h#4MfJe*wj;hfy?Kiq#3=wC*P5FZgFp#Lo3xy)y-sD`u`Wc>-{` z)ct(1_uTSvRNnV#$o49sGv20ufkf3ceYN;Ir(l7%9y_3PX%@OPL;H=+`QT^`LG{G4 z$Tq<~v|LQE->6eTZe7?RKSkksfgc9$xb5eFiow8dIPT*!!vO}j0O z(lwy}Q7j|>V~(J`b){}Wg-+XyN=16N;9I_~)ZV&M5yndG?XJ|`QmLB&=}PS*;!tCy zQZ@MrU|p#dQi)BTh*fH(&@E(W>?b>ibanKX@B;FQIuFp*vjrtdg;=^YgItxY{YK|~ z;OO)padaQL3A=pZE(Mpa!ZDKgxyY=L>O9w7qj97B5TungKuBBdI;F~n@KkUc^6;-c#g$g>VkebE!D z>zesQ(Ow3UkL9;YR1*l-TDG<4I@fp}>i{@xh`gRWHEUg2`4MVGIHVr`rzBNjO zz1S@M)bU!`5WW!bRZOC%YShdtBy%8i58+BxO1O5TGKuZL50d9>bz!X}2&ZhpMq)S| z^t#dui`ozxM)^joRsWR3ckghR-ya4twQ&8TZQiHwhGiEwqN=*I z2x!)Q8c%=Sqj=)#ciO4e*UX<}Z&><}S;>Z_y5oY3<-J?p@}s0&WjJ@shrdVwCAZJg zJO+Q+{_SpgCR#7HVW|*Fr05Mx^rCSLi^+C}v+KmZTfRO*>cuuJoreY#QyfWS=tZ;? zERmAN@>ao^ooeT9`EVVqO`N;s!=Ah4!=Ah4Q#>1%!~j&%B~+G}0VwBg`LO41`S6qA zaqgB6d+(MHd+(MHKZsZN?Z3xYcappxdXtlY392B4DW-yo!I0IKG0`LOqH`SAJBjhegV z!`{2)!`{2)!!-6%bGLl>IVgP1-SXi&tVri>`EVKw=-e$IZo`ajWIytyFEqp6yXC`I z5_RsD4}0&H4}0&H4}0&H7dzE8cgu^N>YBUd#ZGn2h9#()d&5%Ld$)Ypd$)Y}drIZp zEg$yYEuSJAmhO^j-e9Ka4NL6cjsd8omDDX5KG6oCTzAXMSiuI9eqtMdVtWd08-QX9 z+Wkn37%?lMVF2n;`00e^h9!17N%da{jcr(p?NZu|a_BDi;CX;KjD7(@oeSl~IJI-3 zyqJS=E|eGJ)Xs(SVw~E!P+p8vI~U4}acbv6c`;7yTqrNbsgs_fAZEI@`s7?FAASU~ zI~U4_`BT}sP(JLrP+oVb*oE>b!)2G!TaxBrTfrq3#L1_7BwU27hAR!FXac zJ_!pYEBz=^53bC@&}U^VMQW7L%CzSdF??mUSb_98+O`Lqm8Gd*%E)n33@ckxR?3vi z4J$_zDVRm9yhkWnQ)Fe-?SrQ>>V4b-(Ur+*J-2!ffbgkg{r-Z|5_PRIbK? zVL3CN$4F*+DBPeGuvWx;>DSphn4Dx+;R)juC7Y*WSKW31Qa{XOhl%%c@p5Wy0CjvA z6t;JBqBY`Xa>UpZX9khI`&n7(A92GB`q6d<1{4i6`wo^}sP&)N&SL!)V>N&2|b6lzC z)TExPQ*XSN>5jF(Wq*uxQdV^CvNe%r zny8pg+EJ*tx>!+(o1h^tkyO&k+9TBVf618I-vmc#Cut7R=*jXzHSI&Dq3uo>P2V9g zT$t325VF2VJ)D`<7omrXUW&{k#493euSh(SO;KM29!YtdiM*qkLb`}MhSD~Jv||}R zU4+%%Ldq)MW)O9pz#{2*_PyrKCZgG8Dr`0uxcKlkj7r8IUVp&7QjI&HAa>?O2>bGp{3#!Y0pF56v$K)u z)6%>*kypM$t`mAU=(LV0Nb4D)Tb82WrO0eBoO;1mhP-meAuRU-KF+|y$m0m|)yoON zQy`Ff&X=12wNRt($>Hlj?70yHwMA>XcDX;%bV_T6&7L)NFjrBO*V|#dkVy zOHk_s)}o4c6l7%Fbg9_&tk`Dk70(hyr{ECUViB{qPYbiY+P(=9pF(e`gF5>IkJ#y8 zgtZ!X32F^HT_AZW=&a`%IVAdd25C_neOmZZ(5-jlmkEvw?+vn6KbWoc*%JQNq975` zXJ6IYS*;H-tr)#wR{rD~`eCM(n(e}?XR(E%Qfu{|21?_SDp_b>)yuP*NHyq_H?5^Y z>nIl2w`AL_Y}P?tVQqt`1=Nl1<#vlXWhpc#|Gh42;eUFI!_&~`aI zc#qk3HU9gm7SAfIhNtZ&q28L+_GR(hHLLJlcnm`n7r0vkV7jb{3_6r?2;r2JKU z)q{hfj;qO2qa+1{2@QcTcwhH`7nay-0Pkk(Vd|wg@c7s9{svB+r9O`X-~R^Ah{M5h zheDgX@)q4qgi?rN6e$;EH2$2by2Bp%9z(>`PuXfa6t%aJXzvag)i@q~AXVN3`fK|{ zfj?B#;55--{a#tOo%W~6m}ZAVpLa=WX`;}(WlWQC{v%1+Xpzd?o9H+ErI7j4_wY&z zO~yBPTA7>3ocAljv@$m_smuxMV#<7)$GCc= zO%z%CTZqr!t^L@mO%3vbpT76ZAY!1l~zhR zM|LV?Up#dT);Chnt>7(SR~~`dm`v>{F|$rW19PK9ZfiW+Y(QvHPx!*mK#K>$4E72*^?+wuY5WwEeL;5x#X(Fjc3v=R zmQpW@if}3QqVxj2lzLG#S}&zu6a|wmwpF}F<8!EJd+c;?anjx6N_Vf5?p{~AdtK@7 ztx5M&?M2_-C()Xqh_-JyEyR?e>`f+4!jS#BEy2$$SucATmxt!mCF|u{#`SiValKv3 zxZbX1TyLq=Mx!r=`LaGfu0GS2aUojazQbBJHYDE=|BR+O(a3Jh!;((wdWF2 z4t*BrF^t-vIiFA809uy1ze{QxLCd7}hmgLFdUbPDik=IqwXA*ql*qoSm2F98)3OS_~6#K+|7Jc^YH*4ZMY$=?RW68n%f?R2Pf5wOoYBpxufu4aWtohqt;2A zu{EqYJokQ>5EMC?7mK1M`kwX5*>WRl)&Sp7I5pRoKv8xgEcbIh-om5J5hPXg6MR=9 z;i4n(JV=j;(dAuwBJhlUp6w*1ejF)%&(yB3Rca1Y1X56wYNUTIvpT{N@CQCV=VK=y zZ{X1;3rvN6lrxV%g%2ZI!<|U;6*KoS(7E^V!9CTvOYy*80e>S_fu)qG5B29@otOI< z!gBB9;|@M<;A0LRZKgBz=in>g&#?19!hp?ab$t;=YldG7xrYJdeve0+-DJ)~SWz(^ zGf>jP?(h^=;L(;B)?jTnr)W4lUji$d08jfD5Sj0+b1gm;9wpS_clKb`LJO!zx->+1 z&73Ca{XiQKedv6mT=xr$QGCJ2*lz^)48n^pM{2{7L6N-phDRcg1DwyuOP6~icVxy( zf%YQ0%$2N;*b2}vLJQybM(o6hM^HDZ;7|*G@uHoHz5w(yI5p3mtk#2Ugm)))S}i?z z6ZO6lEj68)4)b5r2*nJuwokBq4)O6VAA9j=L(^l0^?`~aCn3G&OtX`3E?cUm0=w{c z`fdn%gsJBHaxZ0yUHNE>N1H5YThVp!y@3iVTnbO&y?i{1N71u*^nDSYt?;}>&)e`E zf+u$rJh|uaQHe+4Y&>LSQ0Uh~kR}XxB(VTBjU|HSeUcFD52x-@*>T{T096ydU-gOu zZw2%@;WcWE7w*DZzXN(QnR&nES!JUaNZtofw~clow$Hzsv1=+x;7UM?2%q79ISzcw z?u6jGaH_=rg%_6Iy#y20vv8`V-<2?aB=*CsnCK5Y^42b493YBFJ9yO4Cdq9O^}~ zw@nTS^(X25qWWJo>frgJ8nnNt25Y{k20dR?gPt#{!T+8ws`8GVX0q(B7>WJ_te28q zA1mcPoKVJcR0{1kOa=UZ$$rBvO!}YgH@pt`zy3Lti8}Th4uG)jH_(fB?}o)>4XBh| z0ruz64-o3uZ{Qb3`*SG0h^Fl~=&L04wY~ovpF_R&8|=@aS(3peVSWk!|NiGtYK!Z0 zXfX=s`W(u#x;}@pgZa|`=X2oY2_t?{=?@` zHkkAi`*SGUQ)v5B6kE{lNAfw8SqY8Lp=05v6Plkx+395XnS;>S=TP%Ge3(gE73LWK z34Y(LO97|R-FkLyHuS-Omb$Ow)}Zq2a6}eU*`~J!Gt=wST+Xg%tmD;)@h1*4c55} z;h{PMQM*ZXp2chzhA9ZEMLoont97g_4)Yw}M&UbXHkU;B@`>*)oEWY2Zr~GB5LS&T z2uo%LF$H1eP$t_Hgw^Urrn;{K8ae+{c-d|=1z{B&6-r;NW3{m;e7e3`$12JdN?)yG zwbhiqTE}YFQ!stCj#aEFeYK9&L5teRK4|+9a%i{$vS?EfB%yFDW}=^B>EpPRqoJ@~ z4II!{2uM{FNP?-O@M~s@qM6w+F;ftA9h~B5oT4BqO(&%IBiUo||DQ}jMDi*%SL>U| z^$(^XB85{mSKD>u`Ug`Gk@j~2wM{`pO3uYAZq8DXj_ly9d|s^+>BMk;&E~$MNM}8R z>C2EKUD)?w3PP^diInQANe-rk8$fj;U0Dw2YMn?o3hZ316X{OLXbM6uLyq)d9blku z8m@=%h+NkIYXD8d**PHPz6M|Vx~AbbkYS{!X5P>=Tnsgfk(--__cLyC(`G79amqi6OnyWzVvO`GzAfntrIy*llIj*k+U^vU#$}vqEoi7)`^^>N!t`eqI8%jO?p*A{U=0sC~6gPk*X&pu6?ym77YtH^Y;nDb^5}CDJbpMAw;j<~^6|00i&_~a8>{K$U z+gIyQe}%RU!BS&|cDB3d;pahwH$2r$D4CYM_qI z*T)EcUxHaI2}a0w@avSQMVeVgIzE6Ob8xDa3#U8{h0~U-5pbOnHO|H9W-51>*e;rh z12%G+;6RT!kd#hH$mZye&KJrG?;zGebEbqJfvBoP zbJh#DC1u;wXb>Jt&dxfAMp$U6v#(^vW*Y<#;gSOx*SqYZi8wW`OYk=n96VC_cM}}a zhie(tc%PhMr0E+b-pj>H&L&WUhe4h80L3{duThl3_r)|h+7hX650g`Yd)dao3ERZ)SK6SH`WWjQX*LXNq8D;@r zMKqQRh6*weYBcN0hG}5R$8@y;anhy!8knrW=J?>%P=9yJSjJYGuhed4i*IxD*+glm z-1%%BBcmPh>y_f!G2++9@ay@m$jGeAwtH7^7T~+SU2sjd;2kc$3$&1`0*JVWw(?en1USEy}09^}!w722B=>^H2Oc%monpr4ti;7i-Hd3u=PhQm#= z*owB8YTpieeT#IOoFCc5U#S$N_`z5B#D#2z<6DDn-mjQM{eOX!7W<0H6L2+?&BR!yy<5}8YMZA&jI%}rz#(Fa`dY*k?RHe&nT zSngA7(pRO|?gRSe*VvhXT92T@bhEz7X8i_1twcLsWwR!{6l>O3*{rQVwv$HRMpUYA zG7`EMPQCeoS5QHTyh8NjU%jXl<2@i0L~VDAn)xa9{tv&%dH`_m{jkA7~1?}fWiW48g?jc`>j;X^MheZ4MZ?*XSG39f{V%)T7Z*6A`1 z0R9qOTgcnKVXTY*QO1i9Rss_*>-=1;EdteiWEHMFS}!FuvUYK%qG=q&@9b-Ag=nvsHzS5iye^z3GXNc6!QM7E5WWv##X4Ju~WA) zK;$$JVY-#ylrldn7##;FuyIC*Lt^AJN=;ui@4n-DMQ;hfgvyp>K6oHok zdX4Z-|B5(p8ieZwr+)HpiUW@aG@tON{*S$ISLz6%G*pfH#_!74$Qs4Q%frkJeESdB zE{me@Go~&+02F^N!b&jp=Ou7mwGLFbz3maLbplmS(M z(};-^3{{Xo{ZT`uu)UDiwQy=+!ga{bC6lxmq2~yn;nCTwREm3Uf}*Hj!X>|kul$Iw z7b*ZCE*2_A)1MSguqN^cGO1Joes1iuF9n(GK|@ zND;g_603w$%@evIz1o5kx{Pp!NAS#5tdS4(Dr+(D+ke8E32FN9szA#G5Hi)-7E`5N zikP`%l2)Z%N)H$pixkfwiBFi#ztuLc6auFq^-?(XllsOBOYIH^bQ|Gs{yzKjrX896 zu{0dcRz0kRUJ3rke?||7fEyg40Yd1}j?hQELLc!6{SJ~i$ZQRBHd1g^oeX2?NWGLQ znqsc-!Xorcz-!@fpMxu=k#&eQyTDY{z>dM)3}HS)e611yY?Wx1!0D&2y(_i>tS@wz zfgB;=XfA_vrHJ1{M(9e#m7kHhk(#tr@2oQp;N1JKn6{9|s`mt7C91;#8u~e|&1ir<@YNme? zQ*!RSc)DP{m$YBt93=BEnUk4wX5Gy3+0vLpYk`LSYi^Uo4?F3jIE?)fz(ZAqjTQm2n(CIs)wHm zn@i5>JTDs=_poQ5p?U-<^XmcS!X8H{R+&U@E-U78+4~j z(@A8ID-rEC%8f$_K@09hhwCgCm-ZXw^}vw^_m#tSj*CnC4bi?baBZjdJ>d7Fy4+1o zdCvqq8BSIC(+SteE3rQYbbzt%_IIlln~GA379Iwh07T{4enZm>na=Sl2H4N z^6B7M0QZ=~b)kz(`;GE7;8+LuzQc8qi%a{Bo?F53Hk{6Fgo{J_@e7tSc%ZpGxBaD6QEuTkY-||soUje8!_H7?Ew&E?&vR-=I z$Mw=%ptZ)n>!Zf{mb^bp>*#hLGx9C@XjbR`9Im$uKX>7Go5|k)o}~8!;&=Xn_Gx%GY`1e5_XF|Y4osB$l;2^G6He{&g)=;AP(BvH z)8P0jM%Ue^K6nkS+}dxHUjdGVa5RLdxxRLBX}|6QUxLd#f~@NJFJo3Nm7>O-1}KO% zn@aO9^}^*@e_+=++$JZFA6$88zfs;19KGN^bGUwXacRGnWFfdVz^O)l0~PI(MEdIM zfDRCj`cJC`Q@O_;#ZPHCRp9STxMs#EuSW1@xRD6gmGP@f1noDbS#Z@3*Y7Sa?KjGsf+G)Zr^EG!i%a_r8J%Z<>p?h`<5~NP?o)l_8@y@aePJ0I zIrCjA&c(V5Y(cb}j6m>1Xw49W>!lh%G@E)ycY%v*x&Y&`155$bi(6#XC8Bh&N7Yg6 zQEBoPz};j-pDgg_pnKd)9o2NVOW6yxKm0CqUG!JezN+oBy2)!RO=knwed*F#ed*Gg zz9jFirueQ#@qAVLX7+B)yf*n({RJaCY}mh0%#O!ULznsLXL%d;OazaD>x6LKuyb71 zto=s$Y;fENH^SkX@8Z&aqYITi=Qze`D*MB}`OK-Td4O%UDB{J_hIuVg-ND)aaXfT+mnzI&_7toA1A532 zm8Bw64>_W;RNlxL#sD2>nJbR=+i{k;;w-b{V0`};xygR%X6Lb2uCsp(W?;D0Wkv|f ztbYuycl{V#FQqmz+d!LCrtZuGP>tLeU5MUxNq(#|14IfJB8;yVGNm)m0oA#)iKtm7 zzuo$ltedU-Ube|KIj%pPtvg({$&`Fc9-hs&W%}UYy@)Np$QB^O``LDF%;zDo>t~m| zE!zetpNi4e{F?B6kfWIU-Q{iBJ4nS|`9u?;f^e#xnb69k{-QxI1Nw#V8D?`Y+=XKn zp<&GCLNn4Du^XUH3oSf~rz5ni8GJabG`oE(cz!^p1KYz>Mz!!Q*>|Pna8@V~yb4Y| zq^|MiAn-fEK=2@(x<{?{!sWLh_#U|D5kBwV!qezzH2enJZ8B?W@jEa)_I84GHlQj2%9j23(> zV_5_7JU&~*WIJHsD^1{+FHzVe6jQuaKD3bKVF!tZWsdDpK zZ(JF$HUl#7o(H!k@ASeFdne!{jD3!IC=N`SFJsOZoAsc4wdJlC2?WdFR0p##4*Wcz zU4-+@L0(vjMaV!WQDZ&hjjX+{1i!p$@*5UEP2W^Q7qsUXaqhhcN$~CK8Vp9sJV=|8 zgCcLJ;&(hEPEE8@Ti4fdp>HnPgQsVxC zWO(nOm$zI##whxh%OH$*r6}xg)W*9)+IUw;8}ABf<6R+byelMUn6?-p+jv*VHr^Ey z<6R*!-W3w#T_J6}E75-nQchxSexCgZypQ@Y{Q6$a0zhH=Ud><7CYF7#W`D|-Zdmrc znhy!-w?0Y2MvPOGCWDrJucjs~>s4^!UQJD=SoXb|nyhEp_iAdgft8a8=2V-xk;S{M zf{ko4%?d-VU=y2cZvBor44!6_S=O!0D9t8wEnZj{Y$m7O&GdZBK7!E}p=NrKWgo%N zB`UV;BN&?OXxT?FCP@KHE&B)t2Ol%NhgHGy7O1X5mRt4_j1oz?r?rP-v{QYB>}A1VfWUEc*zCCWl&Q5$$WE!>sd3R=}hI#TaQ_f>(dIS5wP8 z)|vq2!@ZgVCEtlgq(3~tbzw1T7u?80|4|yX3vQwptr(ra06B2q+EVP9Y<~2OQQ2F)DsJ#WP?RhhpnbD6xLcNv?<<}9H z$0DAl=|^JpNl3mLL>)h^%Oy2uRrvS%;mx2o=(n0R0TntOf*GN`&<_=wjQ}(B5JG&R zi0CmKO04}2F^i?blh8H8nj-8)McK4jI_q9Ub2S)HGtg^tmd^P3=~dCKsqE?blhCX>y^8Hid3j zmuqs7iqd|aHC>a7Rh0JYtQnfTO+{(H&YDU2eCf+nl=kbaSsGoTqEE1Xuh8U56{Y<; zYqlmIP|>?s(mB)?U-}vqUC+34zkqB!un~QaLe67Zd0->zCprH&A>UQeH_5y}C-o(y zB6+nY_p2!F*ICzS@&^^A{W|MfO&(BD+OM+~YVx3p(te$FohFZ{=yNEGb-gB!sc0SM zdxIwDn$a}IU8KncW|a2ptQ$4C(2UZ4opqBY7nxDoud{B}U zlaHBE+OMG-YS4*VM!)P1#w`YHG6XT^lqtO%7~W8@ouFa$v*S z#OmUK4XHaKQdc~%!OHf3h=;YgEtrD-$fu|KEkyUxqxc~^f$S%2~cpgy^vgTU?bEL zYNtX0s2jM}fjPN-51{sejpUMqWPM;Gxg$F`E1wfsawmpkPpV9$$({8KHaN-;tjS&2 z_ihA35tyong_t)f}?C1`n12nlWJIXOVd7vi0%#N;vq9zZTE^+r~M>j!> zlh3$W$RDz!TcG~QgYOgaKz8&zqGxLIV0QFOd^AcvOOr>kqh%z|*5tA5=u=F2h)#KK zPV^>{=V;PCu#tT3R!MnbPPB1Gmi#M-zxP=|3h(7IdXrF%#q16QQ0WM{yx#stejaKLSX^6 zPm~8XlCR+U-Iso#kDlw;sbo~|k8TZw`YUvj#;LJFpTN&s|J(IrW7R-}HS!&zAymvcdN&)m&XwvLmoruq4ktCc7ANw2&%vp#8fUWt`o)1V z9f(@c(8euZTy2FkzVyS&;z5p-o;LqcWmV*Z?rr18l=VYv(0!Et z#WB~khT$z{N?*nGEyhICT1S3u!QPjChiTo1R!XU~^IK+G=|!OX+v(qFTGuoF02{x{ zv<|ibJtjIa8u?^rc*L){C}9a;m51Y!H>BFTL3l%=AXl&rlhv53`2mMR%eqR3&9K(=($d zD9Zr-k|tM+y%3C2j07HoWBFr_lX0}M>H$6RrOBGpr%ap(z@?{C5h9$IgWZ=#&NL)SQ_8+!HftVO zxu`>kc!hPCX2p>&L~#zku++YRI%yt$A#F5!mZjdHSY4`$AiisCU{$8$h{{F=BTM^$ zj7&)C5oRgn;EkttISTlGrinfvBTL%G>ID?ZESA?^KJ}>+skkOmaZRLRiPT&U!+fU{ z4P#T1?kmNgRh0#1rX>s1=v{N+xZVZ3dmXs&G&3<;KG^wp6?I)SixU$)g<<^q+ z)0ysOtL;*1nw{vbSfaZm(PmendDTn0ik8_tFU6|p72(OaposMskycT4FxzIz=EbTA z{VkRZ5;Oxjw|F2beyU8?u}<(`9-%~8V%1XnX{6Qm$uX?y5oVGtU+uI%XVjoUu$>pd z$UGY(a|S6?2K0Ff8$N^}%CYt&g$PofA|VVr0OzL##%4Q=wyZPOxKP6iuWe zZ-}aVa2^H-VEjv~-%wT%5}=0jQZ#wGUhmrUZf; z!MWVwoay46KsnEes%miEP$v-l8k{dXoaeYW_pujuh^oclIzc-u^Yz06+<(fa>FNFiGDH#X)z5Jh4g_8_?ud}+8Ovn_}7_J}+hP91G` z2xPnO@W2x3F+6CSPTIb}$omROV#|xP{amnD!-YP;+w0U=N!I&ZZNJ`UxBcmy2(AJ1 zTITVbuU#7Gb|>S3FP(2vdrv5)RdsS8*cR!HMtW_~Cr+?WT!er&Q$cW$TMD8*%A@n06hd<^tQA8VK$|gmcnxoJR(=I?|VdAL0|bY;&!nm|rI7 z4zgRdj(pqb51Lt?B*mT%sw0cD5=m}jmUpN<5Z9Hhz()X`d!3}HImav&2J@l;hrq^7DQ-RA&(LO4U65eFu8gz!|wi!N)&4%Ek<4mj*S zUJ?f;)Q0dl#ShZ8V-xC6c)EJm3wL6T3a{p9q3;M^ zq`r;=6S7VPoTn1-^S^ev3AG~JO*M}L6Bo(I$mCBpsiuM-}Qu+GB}&}9OAYd>W7m6m@UjMFvaes4O`@^u5hCD(X(s1P3 z71JnH%R8aPo>bd`?T32<%`^}3yULLFWNhlp`;hZ^_XHW#4uJ29#)05r@Xl`<8_T=L zX5^Bh4#LZy(9L#YG~}kNvV_ebyMgT18_BEk1kOamKzHXo?L8AXqCtJ4HlS!(1zc3@kyUy&elTcX))>mLS6VBJ-#2j}ixr%8GnX}=ia(q6zE68G z|9>XyL2c{#Gnv`4zBj@AnY^*cs>1|7mo;eC$;|Q#@n=s%B3~(fNzd9smai3G#bk|S zA-~c76A<0@_q?_Z{0ZFC%(*j>=eUhtYDgJE$C@I`T(rxG=7Hc;s0KHC>$!KVNlm;L zVI8EZ$C|9_7pYDn**KFaeG0lgi#6V4X^(^KLdomk1oZFqEU zfI+A?Tga^H(WN2&kKKhqD-)jSyMg4x!?X3hdx>YwoGv_Fas!|)ydv~P@{AK`?VR3K z@W2p$pLzJ-`%WQx^?86qH6FgI>N$Nj!_#*g9`B?Df_*x|)8|G!L~*yJW0NWzwk`Q6 zX|3t}K|o}Iz58ZfSO(U!klcWO=?coz~s z4yTT*d*Z+inqu^a!)~tU`eMn8;SR!Cd1_QRHa>h%D+F^EEFlgU_{q*pBKtPf?`-e?$%KV>Qe( zP3XR>q!RTnL}N|i7Hb#89+ch#k?zr>(`0bGnh^+|26^kC@9Q3Pj|^0O5vEtK_edYV z3bbCm-Xp8mO`!D{uu||&d?WD)5;QO)sVCGjN<~Wy?JPWuj(orIEa0t_>T&ff;X?>( z_X8eUrHdiT7&urt=9vhW;8I(JZXvuz9rD6bvii~`GEnkyOHAKp7~Y3R*5mzn#m3kn)2oWaRP7ym}@qlR-ZCPh&k?8M(BwA4=p#3^R|Yz^;$#P0fG1-uK`mxU6(5&n~K&A3;25`zDL zdpjH1ptHUwnHKJ`)r)X3W9|sI1&1r9^e93DnD6`H!ExYGfX5R4AUrh=JRa~|!XJij zi36_zyo~V9@RMFxnr#>Qd&TgwM1O1g9a(rC5^aQXZ93u0&V~x1NEStCbHx>rxz(QhWot zJ?=ZwRO;ukhR}2?($Wc!OQFiYjFtI#tjxL-{uN?7O#z(sHYGbDbXEz+2JP4Fw?1jW z>4BgWd|10c@D4a^-IxK%T&0tO4+Gy~IHi(Y5Ukp;MeetxdAFd@$2taruOZ(KXgOW* z`zRYJeYIi}UJGa^;V-Qdao_`h(jbWX+-ic7y7HDjH~~<88XDw}zt0hUz%O$|z{p7B zDt(Fl7W*Xt`bF^)*IVo*uD95?y53@IKNn24PipQq*IR7cFK@B6U%$nEDq`eWMabCD z85^B2B9-C573%*Hj8s}%43S|y!l*4qgxa!jzt$EbLT&MXi&_+IQ6krL(xNV!J_1^+ zi%-hX;JcW*X!W8mt!yK(I2}18CzvxRq(l7l!rZ1X)3v|U+{Mb>NHmiD7 zJj6~GBL`QEgzD6gTDcOXiu9Zq6u;K2@sY-*fnXw}8NlYthzv!y8t^ZK-TVWZ zc|APs9>JsYWWZhCfo}uCyL=4K@AP~PPuh?09Dt`cJ;&gg4Nu=7I^Snq0zv7;^e{Vh zeZ-|Z7#XP@X`RuPlInWQ=bdZSM)4`r}aR8@;LsV|1$*G2+v2zQv{%=c~=PVbS(kcb>4>n2Pi;( z2b%&ej!Y>4oQ<%~r3mQK7QTm&SC_8vY^SFZ9{&M&&W5L$o=Nar4o}}%@Z5lU=raNy z={8JwIukxnODOipB1p`iiR$7AUk$seP1ZEGp)mXnuDV643D5WX-{ z039E=3Sp?7cDLhy=OX~RJPzMV3b+xT*Xel`p5ydvhv)oXP^Wv~!BEs?KmI?3fW8Oe z`2?=nd(~amN65S1lu@{>Yo&EkxjlAQA@D8= ze6e)_jxb&Tc3If|f}$&Tx~!D@{D#WT zw2+dfw~&^F#0*)@9|Wyyct+T+;qKE7HG|d%pm}F^mx`PbmK_3126wv}eHti-&w2-A zi^G|+((eUY51+H-cf_@zb(=gE&VuZ+A}@d^4evYDjWG{7; zl~GqOv-W{uM_+uPK?cp+#VVt&EVF`#FeixZv$}dQ3A(>nc{oWHPUe!JuAY-+)in*U zF8(PY*`U1S0$n?&2=^_3sc@%AS}%Z-zVjCZXx;}>o*H_zt)~zIw*xeqaFfs*ao`|C zFNRYEp>N~BrGUm0E(!%vxY{*z51_XRxA3e(^VRfjsG%DJY9GJ`{ZTuRmIhvR*Sj&m zwOH_Qtn1wz=#K_I6SOY=;sANgB^T=AFAlJU-vrF!FAh)}e81bpKa3ojRzQSX1CMwm zl;U>`0>F0IIyo0ugT%mhCm`Daur_^pATp);dcU=ap0c-HRuO; zOQ0+cJQ2`B!uJJ6#(@cKApAz)DlaS@bw421ta>Ei5=;LOe**ln$TIL&{RcM1gDQ=! z09W}tOqBp{BX89oWJAnAP+Lwij{x7!G#_>+nRf&FhAOkw9dOzpe3?L;?(Jg@S|;lL z4Z^g#mx+pI9sw=tUM>o}1hm%oUZU^YLF)#u4B5Z!b%R$5yZ;Z};FXeCM^N45?!bN_ zeoq|*S{mUnBx*JQLJtf*TKxAm$^z7#@UYMuURZ_zLd6^c=7zrY!jkyIfcG-?xKI$K zbybVNiwEM6J)F8C)WQo(8wF5NtSmLf(>?Nfv_^)ddM5($v4im?2<2Ibg}?40rLq&@ z6@=*u>n3{|egds4th?XtA$or5F7L20Ke2;!mz@!LM=_CogS7yR9I7RTf5?M*kPd-gH`V)nfH+G{0mNmNkzinfo% zpO|=kiKp@^e?zbVzG{SLiG``l64^Df#KM&J8b6$PhU7MB;~DSQM=a(7lA8OmvB5s8UMCxC0+(zO2s^q`uOYq~;=L%k+hn^mP1 zVjJqUM3#YSS0T2cwk2Xk2zv9Jo}z|>gM%tKf=mk@Ki5{rq;oJ{!l^eCPwnh!zaGob z>Lm!%s<_joKH9I<=Q%{)K>g?#JeLX7yulasc^>d?!kvOM;=soN8|i>cgUh_Is82nJ z*#SsmO~CD;MXuJTo`MDSX;VNN1nyAsiUqcUwMJ|Vze<8XcN;?jPjaz8i@!mV|<4!F3q z->4jYJ~mmv?Qpmbxwy1nkI@f;YbTt#DEJGQYu8+z3owhqsS&{xsEiA)WN?4Db_mx^ z|EDVt?Kdiif@31wP>1Wdi%a{B${FCe4sO1~b;8A^{YK@Z;MfGW#^Lhes5^3(aZmg4 zDHUp>XDTbGe=zitZhinU)6j)gYS2{-egS5;%v;7j^CwgU#9XjNhT)g%32e3R8rxm) zXOPnhcCvNA=iNVp{K$MY7}|@;-{V29PFI6A@;>HJ9tvrBWAB5S5a9u?w*^~Js9k&<2>2NB~f5IDAlAa6b3Bm>bCMb(5X{q6zfLKj9LJGVOPznT4%l)6nfg1qoN%$VWf_SxyI35tiSBt$xe3eZ; zLu=1s;F)6sL2QLJCY8sEI777OCxmgXm=WZnBx-_^xnf3;%aZn>^;|JCNTvLVv~2X7 zDe5)KhYFEb%~u4OvbkiSUI)$&a@~P4jh z6eq%sbGUADacRGvEtvNJq^Gt97c!fg*+K@}Er7SfsV%{WYQg+Hvm4M~gkKB3O1Rc^ zFk}=)8aTB*_>~u~T#4YdaN)98Ic{-DsQp^a_JfPHqIL#z!0gJSG7G^)a08q~?r_)f z`kT2otF3Z?Za@1ZWw%V_IVUULIJ+)QXcka%VscmtrX z2zN`I=7lR$CgOtu+;W8L0vvZ0K>M}gZUNU3IF*|CoHr3EfbU}bTw(!!4eTadt9(U( zw-G)V_}vRj`PyHC+JRI35>J5^xymQ+5~c&%$Jjpv+InG`78e8F$k+oszb|^JKx@Rg zU++1imM**;uZPjxuT;h~^?uoN_8P)UXt4my9L$SDF%@}0=slqIJo%vM`S$#vY$eJ} z((~klvV~|6D6MY~N#qrzZ>1z_O#TY^1$5R%uKqP$vA7NV4+}3o$4GrV31N4^sprki zKA!pzxZb5$jl-#-zA`T?)wKrD5mwhr=14Cru@3_dPeJU%=2c!;ViQVfj@VOttGuwp z&IdeRHnwhpS`dsQ|rqD!3*JFt2T;0>FW0a6B*K(LS`zNyd)N!hm~$qes|fe=99_wq z$jR}1JvlB0K6yIU4`F3g_KOWU=gZ_c24N*)vj6H@KV_hh-&}Pt52ah6= z_n6V}KmpQlRnh4@CsvB|&wx`40`0nhZdZs0ej?4|0F$csZBT}SnV18RCfEJ?O=zlo zrmJJctC_Vw zj@W54@M;oH^{_l*FXvd8r>n0NBB#y5URk8M$PqhFs;^-rCU%}wUkA`yj@D9rlR%3c z=OK}KaH>sUGSaABeFp&fkcS%Vt-dQcULV(6;VFZ01?Fd@S#LnB`i{$j=w(Na;|Z+3 zFC95fBycftD$b#a93@EPB4%U;j>M5;JD@KJH}}f%D(mBIEeB=T2iFa0u5jddTjaO| zVOpKu7CCMLt?T1mk>jP99H~g4E1cSqFc)dmu8(R!FA+YN;Axi?{8Dj`mV+{EnT?}4 zNVECCSbf|hax_Se$#IX!Q4Ctku~Ou?7_`*KpGc%B5>fXj1d&EQjw!RP9}&PpD+_7qNXJ*jw8opKvxmI z-7Ck+0?08(AA6$=Rdey17->E{C{`bHc&Xs9e zuQBHPJRNPmk3T)FI(m(v?O7Lt67fGm-o81I?;%+{ z)6Kcz3%szzE{34P;neu>>^SfkKr;#FhF8Ra3EfDzD7?uFOKlN)ns9s1NA1=FsVXZ& zYCEv&uMPySMp=Fw9IL67@`3M3gt4YphM*C~SD-57nxj=A`-^zMnQd_&)&B#b!qyKx8C=+-g<9+v(~BHefB>4>@%Nx_P+aoOA4$U zRF_Qex9<${jAD3!TynJ5=82iqLtr5`X{?6_?QzH|t(v;=qvi~tV+cUsLUa;q#_6fZ zvf6->*^tBP#t%X70^xoVZ@0IQ`1seLQl~`KL9xs;_8b1JIx#g-!-;;`PhPBQ}y=}fEwStpCtN8vlAiTjgn?Y3jTBun;XwFPk-wi@I2XU;v8>ITy z0_x4%l$N-)H@Ww?G-!f|eGKgKuYp{**o%>EdJXY_gQzo!USY3437V*RMBi%fJ_(wr z%|zd3f8j?pda*yn&PCD?8&8vRCBrvMaC!({K~mt8(z!i@s!W{TfHxLZGBej6+`cuvtC|-34LN zxMz^m543N$J0|_RaSzBL`^(&$HR0!^uxn;JPaI) zhXHJb;PORRNS?_hST_*NKZDhV`||9@&n|W%&({&m>%rDUAOGw^Ub-u;gUW{@y%#){ z=;JTll6of43lYrUgOmNK1-%TYHAKH3yupv|vky$~LEOMwA?(0=@nfC(7Dx{uJjwW1 z82>ior5LTR$N3k6`A6^_vO3{>;&q^YCHl|czx-$!4+l{JwU+{Y)VI#)RQACQc)?&9 z^TQ>q_T#L((*;7S8*y}pZeupi5m|M)T{T8N=wE=uBdrlvI*6}#DF7sY8C(v^i{NPTU9L@s4GxdRg6EnRP zRF~F4^>ZCmKi7z)gX^F=xDKj=>ySFQ4o1bnbx<8#2h_p!1>h7=2iJ>)X?O}s5Ksr# z(+~p(*K}olACc)SSp_n<$gt^H zI#SC8qc$B&M{2uZ+};hUNF5iPPEI*Du<2Mj(!d3C zY`nTzkwz|o%&X==7WemIu4>oRSgp@(ufmbU3wI?~K7 zQK?PG(vd}J!kbfn0zc>}rSc1xyhW6*v8``C0W9Vsz*l?T|@reot0uHn3SUNJm1&7;oEFBr>g6G(DEFC$;1xML* zEFDo_Q*bP8)3J19uq*Qfn~tR;mF6wUcd`|uW9i7&=narP9@)-naOhY%^0d~7<_MqY3D8lreJYt&AAtFEzOjdV4^|$w!P1D^9k5 zAh^X3%tg`c)Al2#`l(<)JpQa+Me7AS-??r_UKF6TJ{u$rZ`VwD{N0~CK*h1WF$}1bTb_~ zingaKG~40VI`$0CJ#Z`Q@Iz{QCUJBW?a)!QU8UecM&sMcdaYc*Ho{P!{`o1&<*QMA28!NmcGj-u_Q3f>rS=qTF0Nx@|ShmNA{WeTncICK`u(VrnfdXqrzSAJV``3fW3OgU z;jA6+&Uoy7Wc7&m&}n;^!?Wyo89O|@BReoYvEt>t)PvrU9ePKO_hdQf9oeCGnMO&oehj`v}m&^xk2@5u2A1vfWw=p8xUSHZ1K9C}BN_fznxCJwzL zr@$Re9C}BN_n#tS>}ukyLl5%6TxS%89N=Q}9ePLhz~&CUBgY5M)wBf;y(7nEb)h77c%;Cg zZG8MJ1&PUI`mi`A9aa{Epfy(7n` zP8D!Rp+oP;@o9?PRp=ZfcDjNu7CMtU0=#&s5br5;=p8veLtEjsLg#IQmngWe(4lwa z_)IPDTZIn2Bgd=OO4|1d9ePKOU%FGk4+|Z7M~=@@ArGK(2+mgUV4*Aw@j1FM94eHB zA%59N>FkFFI^$S5m)|Miw*wt|M~+`{pMda=EE7Bjl}u$rtT-oM_FsWx?gEg4|FnYY z9l4Hdl_})KqLpB~N5ChbxRgXf4*;lV*aI~+H@=A8EzvBNL?h-S zJS!*mA|>X$TbDn;!@r`7XSYhjQyw9z>q=G)c;&<%mqsbL}j+YkgzgW;@4!Ji{F41{kA<~Oq({DSU09m#4%(EbLIj# z)*Rd>{5*ML@R|9n{%ZLW*SXR1V{gk&@CJmZJ zq{Qg4f}`YdmOPTOAxiNep!z9Kw3^@vBxt0bXoa;T$k7CFl?_&bW%5QHGzUB>mK~R3 znJdK&dC0Se46&f8g@aUO+JlsMoC;(<9vcY%9?HM5d55K5YfL7NL2{SF9ULyZDo5fR z`Fd!r;WN*_(XCM1O%}19aVsK4Zv*nlH{%!yNtRYmC0UqC(iDyn7mDCxL6AEJXUEqF zn5YeXT_t3B6uk3aN0Z{tM2eO#=DqrCHJcCiHzK_2QQeqErO#Hg4WQVI&=>+Juba}S z^tpM8C|?eXZ!(lNUk;0Jl9J$*`!IMML#Xih+?>WopRHzfP~1F(NgkD6)2Nc`v(>ym zD25@ZJbEdkQs^^la|JlaHHFGPc1|L={M9me-LN z0TxP+mG_VD0a`7hu4D>+thPiGH337$B+MZ{x*gH;fqsaokEJEFik_u_ zubN%Je2GA>rG*eqYy8zzRTky5DnB-dLDGIDj87WCq|zh%I7QZCJju4oh%N_NqdPL9 zXMr?S3yytD1=j}RUX7^s@=f&z#S zIY9Bu_b0I133;_GPnWiA+|U3f8K=lI0Cn2L(wt!^e{lJ#d7b_wn=@Vb!z)5B!4S<%FzIa<=UVqV63GrL z6Ze@?hE4|%6AsRwjLRWejxIcS&sfg$L}R+};61~=9I^`!-ZO4-F+6xrx|c&*U!zz7 zYgtdcVVVwjAu@eC>dE*4h_UpZjA-Y}K=8jOwz^W-b%fKjEQi4#N!{r=z zmVr_7$cfRiTO;86BbY<=p8{C_VfQn!(@>dMBulvxiai0`U8vkh(s&~S4-u<6WJ*bA^x8M$`laZWh628%`G zLPm6B+TzBpK!=fCV`OIrqzjZ|{>C!x5Q&xny&YjV(iCA@Y$D~_L5Z-RZ6X5gAX7Mi zZz9fxTqxQU(mhLY0#&nexis%2*+THKa?IbE!zNwi!Tno=+ku&n9JJ;(F^3lrrDc|r z$jmx4LrsVn;ay3K2b1Qk?Av_O*-;lduOO<1+FFc-ikY_QXl;<5xIfNj!9!9`L z0GY*In#Fh#d=&{F00x;1Vl6I~Oe&GVRR}Kt(+@Mg3ci?`{D3IUL{8hQH8EBb$wWZ7 zvtS$ z00zbQlbMW`Osv%~+C}&Q7@qlSF-9|!`b|@rjAj*dB`BE)crid`GB!Pv3rV;f7z~Xj ztgF3d6qs`X{0m~YA%ljpZQILj+Xv(*J!&uW%Yw~HE~WwVS90kGi2VO1{Fk4fw-+Q; zV756QeD}=zF#A^%dwi71_!|VwWyHRhhOwHZulCK-SNmq^ zt9>)B_OaAze@zYaYwO%pUbJvc5{W)ne&Dthp!Uk{E8mKCdd@Tgx8 z9`)%pUbJ$Tfw2ao#o z;8DLG92YDPlJcq^JnGkjL2ag`tgGYUO@TgA@9{oR~2M=6<*~pfYvOp&cPw{?j z1y*4Y*!9~${OI%0A9S-D-;F`(%x82?O*~TTu!2w%g+k6SLQNHlI`j@>=PDF;9w07H zUTAVNohWhnWEjZJa#|B=789twa}Ft+D^zO5nS_h0?D89{mOkcc>7Az4u(19g(b7BR zt;zboY3ZE-7XmGM7H6Qgv1;iZ(L+!N!Ipl8>lR zD^9kn5K_gZmfksSI$~Tcy)$krrbE&9IOF-qLp-R09G{lnnW!17mfn#g2lPtO(mRvf zPAOV?$ET%td|G;E>Wh+rYUv%HmfrDc=^dYz-jSmOPfPE}Iu^`zwe*f(OYg|ZLNM3W z(mS%W;i5;?(mQ@Fz2n!?JAN&_a|KHVJ(g|b2ucdeVT6)K?rFX7XFyNs90A^ z?<`T!)zUkDExqH{(mQ@Fz2n!?JAN&_NflOYhvOpsS^K{91a)ucdeVT6)K? zrFZV)oWkpzsS9@Q{#mNo)zUlnaHxA)dS^`x3pFmIR4u)8uS${9(zC&2oVZ$gwx__Z zmYyx>jw8`DGb@3ir4NBIgTU0%bI^$rr#@nRT6*Wc^}wl?-gzJgC+#}=)@=np&Vrvq zW@kNROKIsHpO)TvP;siIcOFtmwe*fpOYg|uIFPGadgo!KR4u*Z)6zRzC`cezwe-$b zrBp4w^SDB)rFVQ65L<2UNw4vE}=3TKZ(W5U`-?P(iByqNPuEE(F%q(kHuq1HBNZ=43baYW5Ur z>66_VpVHDNeOmft89RJROP?%13QA8)pX|wUcv|{oFAD5w>65)FnWv>s`nB{)zm`7f z*U~5Zu^YfvMz!=Q(ACl>`#VsI;T~eu(tDt4>5~IotZL~!P_^{Qf%P@5YUz`*I;OPr z$w6#tPfMSa)AnGltEEp4?k-7PEq!u`g07Z6S*f6_rB9xwpsS@%4yB$E^N4Eclc!e+ z=xXVc!>$$3)zT-=P|(%VC(l&S)zT-=Qqa}XCxCu>HomOeS@M zS4*F~xJZayEq!u^wt}mrPhO&+tEErQ)bhGo`eaovN$YCqlb4<=psS@%&Qc*=Eq!vf zg07Z6IY$=;S4*GtYw44IEq(F?Ejh)&ap3juZGKyfLFgn9$0XV?QZH4mN;JyxPwEQvr=6HIq%93@Jl>A zyOlB%JmpbJSF(paO7^%kN{<}u-t~ysE=t6=HK2}psIDGL^!)-#c5Y4`Azvg^8&vfS zyQH%5Xo2g8SS=O%=m~hAmVS&!$?khnO3DwOOFT;U>=P(~f6~LVyDFZ|3e>wEiv3(s zZGfrk;(zq;?AnS?FK~6lYH`?o1rM%my;$~QO(jQykx+j`h?YJ%mmTH=E&57e|Em_= zcXaszDUTmrHaLEC*$_;5J!>d+Ec!i3c`Ob@urZE3pJOuK2pI{D0oz8OG2w2r28kX(SdN6lyo9^mgjS;&B-?8? zgXD39XFztjN4D1^8-iCqT_h)x0R2xypdh4w0v-hrrG zQPN(;F0c(?-k6!V=4oLYfJXu5Utb%H79m@!c|Nf4Y$ERh6#%TJ7c!TDlFNML@a%nX zq6frIFPDML@@-a;S4Z zasuKvFPE*%T5D7o7QK|zAu?9 z10j#a9s!~e&d#*L-e)H7BdVDk3BJ#nB(nuS?She&`7nq3`sHip<$JGM!xM4Ovvme`ctCcA-WaOMD5{;qGP`B zqYH_y1o~p8{w@f)(-OBP_F7;z5PLKYV-;*?9krqS_)-an?{SJ-hV-&V*jB+0BzZ`o3|LwBu=lOrWu@A}rfL#+w zjZH(kfc+SLL-ud@<)JdamqB&|7tDz}tc*r3m>1`lL3U#oY!SbO8RfWOQJlx$c2oGYpv-OJGzhWt zOu2yV_Qjp7U!2ic9EGT1uT!ZWZE`zLma*giu21LyTtSqY~MJ) z46@srzQVa*{6mV-(VQt@|2V%4vdhc@As!UxmqB)ax!D@8rEz{4WDjt`;c|NTz>>fcRh=kY^sIIcTrY-i9XBzAHtwz(Ce z<|#IHJ!qX>=yXx1?;7UW87A;fzni4^R`NSwoc?zT6tY6+B2By#M?u#7c%SD<{|F6T zPvIkanpKTF_)U%E-Pj8K#1w-!A|?|09KY4Yx!2DriinlH2B)idNA1EEeFD_R9t4&F zdlG(w_Sc9B+1KGYY)=JchPZ5w*&PuxALD2|@bfdRP%hv^!y=aEH;`o}|1uQ}lMC>i z+)Un(E;$B7$w!F@;Oiu*kt+eXUoM7z1Fvdr$s_atD66%XA=ZQJE+Ad#Jw7hE4v$Vv zJccrFdI`_TVi0F8;|D;j0_QA(nV-ebzR7k>@p&r$*@OGc>p~rAR`N7L~K^jdwdk@&-Z^6pANT5Splz zs3@LxSFfATLh`h`dOay5PrIwv=c6O1-PIc#&?R}=T|H-x8{#A$bur`^??O%+`GBu~4mH&>|Cs>UQ)AauJ= zRy4ag4N#K&Xd%1B1CS3@Tm#1;*#%m@kX2K3@Y%UzKv#=8`0PBQYEcKDozEn-sDsZg zBwx$<3KucvWVb#*uH0W{x3Ms;*gICC-LgyS3lvJya6G$hD}kcPB0}v?7bu=wOsIoG znaMrm(s8QbY9ucm3aC_}I!Uhf*As;x4B> z#bSk&pruR)ZJQ9@Z@9+}j*>D2%^uL#=N>yaN*-s)BbUDh;9P?L^~?tt4)}JTvrfK3q)d&bT!0PvAjTq#nGm3! zISe2?Sf<>d8Ap1W23NT`rGHLx1tN%B?Oj7uUydk< zKW3Z1h^QtlPzmN^ruz+GQ`uY};4th_01%tQPl-DZfR!5~?N+^HaXxqs-T>EIz}$@j z=b^I$N50P@DxcqG0A^yR5PMR7JBT|BXK{L2KCIc@l<-Nzi8{Fatt*>lZwc}i zG)CAa;Q0i_SZ}+i_7(#!pj&+0D*GpdD0~Dz+YvrR>6%xO&x?|96$qOLNvQB&09!5p zhDPhZ9zIzR%m*e6(To0#xT0_Q^C^C;mh6c$fnQCU&rBX^o+g^R8buSei|AdZ_es!1 zeMIzLGx{XxAAyRX^6*Brz>jXlyooxH55Ye&Y57{Y^I4b)TK|=xxnv`D>L}Ig=vq?$ z6QusXM^v8F*aXAT*ao|i{Lk2S6J#qe0dQ++kBg)|if7{b8<^5++YHyXndG+3dv4oI zmO5RGq|!E11bh@=F-F8x$tPI#+LguPv1gj(6Rg^IWgBU!YfZ@!l;>)UR&AkKlKcxK z*A`Ov2w<(=H&D8Nvw{|y`;aKD7TU4_=RXKHe9cgxWo9?gCu_hXplS3FSgbZ*_*s;+ zK+-c&XD!gl?v+keR0IQ9R{dE>aXC|MfP*k!#)9q$6iINGXBR(OrmtIo+Cua?U&BdK z#(zfKTvO#wDrllI(4OXDv*IM^+CUW%eZ6_ikCq-oR5>f;W?#m+uW~qabVn>`Vh`iq zF_snckMx+1(qk4NN_$L4Y019<)*jPQTC!2ARF5f@UeaEAj6O?u=q&Bo17t1G9l8jU z@r0y1bQLCx0JmiwuYw@MDeO=)53d$!jVm>A2ezY{)Wk9VVdZ4fV1osx={~+!hAFjiFtlL~;GEt`+ z5nh8R3sd!)EA@H=Q5_dUXsM(Esicb5#uC2s+;pa;kFV8uC5QR>LcAF?|NINCqCldt z)nxVtij(Y3h-o4#)A?MPUIHi))Vi@(9X5BCVxx4no`mkZmu5Su}tgj!%-3 z^6$WWOYD?1jMeZ>R@^EnpZNjF>dSe>DlTK)Wp!G`0`~Y9gp$3_=U#X6(0 zSJVN)JzT3Aaq(HhlK0@=+uZvS_Oy*Apex?T49f7S2~3~%6_ND(^KrS8JaP@sM13^w z&ooCxAZxR}=dVQRKf!rQ6OrvGrFaohTH{Aq$G3(s7q zrRdErz+|0dZNKuzpxs;wmOfT*YLqM@%s5cMRB2 zmbi+^x*E?JS>h@tOI*cdiL00_aTSww9oRToUtsNsTVUIj{tU9ZVsfyuzQ%lKtm>}+ zm{mlGWm=Z_kIC};k9m`P{%`w_A=B)X|CrwZ)Sz{+UCBot>%_@62I1VQaQ(;7UN<}C zKZfoB#KyrM&qp3r!2IF=vHzGFC-WcERxVvN}9JjTLg1wW4x<8f$$esKwVttj%gX zva)%88Y|f*P)JOAVr};d6m@uh8f*WJKyioXr?CzSWjZ`RjdjexCMu9y!{Pa9tW=>o z;sGnxWh62Vn-9BLG%(9GZLa$N<}#YCsaVKMMQl=fl^6!dc+h( ziCLTV^1%@)-th1gNAVN@sDA>L8FC7m1Cb?`by?#7CM(VVjngU)EU1c4CDj6KBdsj) zf0LEw|Hf(8R;fB%LMpMW%M$-LS!w=noX*36%~t<6PS?74(dE+ObmORG<CX6+ zWu4=*taHjZU{jWLPI&<+JuxDB4^rmE(oz?%1WRm(cHJEvt`4fI=Q5W9nCS?BmI z>zu)pB&lmz=L}KMwXAb06?84@oYNF^E$f`2H%eO9vd%eOoyWMAbzqlLib3pJ);W_kBiFLdnNkn$x-hF$|2NLmE&{rib{`~b#|rFO*6CbzA>!-Fk2}3wi2(=uIz%hM zH68(5+q77%0t-?V?1kWnH0%n&_dVbOK6tZcb|9I7=Q$*$BBj2&i#B^+}y%`89=jB~xE8o#at;9i3QzIdb0I z$z48|gKZP@ToAZXraR#FiqjL?cG;ahD(Dj5PLB5wmx zB?bn&iK^i=ND~c~L{udP1~Vs3G*}WfYXjaq`Z))oc3We0K(gk&5DDwS=BLX4kkw)v z&$(_0m{Fj*5TQ3H&jY2pV7Vc{%AiM`LNlQYC_9GDbWjc4nh~7_N*+upWyf%evgHC+ zS9htcO3>Ypa2IH4^j0LsYu!ouGNOv4eY&%K-X|z+)Scpg2e8!)9L<)oTvj`18Q5tq z1+RM%Oz+}@0E_>QAE>d+7-l(CvfK=G-V@O9AWb=}y)?_A%yJ;2N+io+-1P^S@0izC zyurKRs(J1H4Tdj+L;vPrc>efoVyspf}5Op62O&T@0y#t<9xD9~NxKHpuTQOKq%tE?0c^I77pp${qX z36PO;Yj%9jgS z&yYIEpF&4pX9_NV$_3Cn=(9+AJ=pH2C}TpOpF~okn5#L@CuxUSY`%J~WWay79S+%% z?>DtllGPK*_PdhRliYs<)cFM#s)00S^$vjdla<1!5&jf&kqa*ZTsj@jvKoetf^j(5 z|H^2z$HcKHLXH&eMG*9$l3u|h0Hst9L!^TgyGLjn5~fvh*=96+=RY_R<%c-6H|c0B zX_P_~VLXCq?JKH!@MHGUDj8OiW$ZA6rI@T0a2TmR-T{pbSmoA9RlsDafJunc{ySNU zyn?Xw-^n4;t_Q6BcWSEt_CQNc6XNYaYHyw{#r+CG!a`~rfxGV}^*j*noeuKE!E3!XP^###C1k+Gfi$NS2 z(83)C?zdgIlokBmte29=$RhY2;yOaZXu|BwrZXgvYiWXE&X$FQe_`Pi9tpVT0M?tr zfvU-!|HfPtyq@H>j>D?~O93i&;!+$0ume{MkMpB@WwwB;6~yVJkrf_^GW`ugm5#Ga^)TDOoUMa7Tgv|_aMCBcpt{aS zFwMhh!YYpOYl$oH;aYsx1?<{{jrAOqdI*1l*)e3UdyY2Vo}`0MQ&;35;RfI5&doGVL!U}JBU7t&;#qh_ae{>5J)O2g)+bTMugR& zCJVVWAmcU%h5XA4ux&!Bmm8!Cx>fdTiLRK?cC-^>cDas#bwYb0;9HQN46yYE0IgHl zUjvn3D+_W9JhVVlo96;k!2ZMqS$fG9&{UGyg1LDGNsiZ$Y&Y)&W*4#dq+#&o2#3?_BJ)0A7wpN1?uH02!4zF(ew{M^ zh^Rgow6F8+8Vit0_=ek!D`a1}pS`$6cVqRHZRM9oz)nPe2M-4*`UfT8dZOskK7(G) zh*||837JaL9G6{6HXY~%2<8Ay(@n;&eQp?H|wQ$avlWw2tTLfv3Pm#`A4> zXhTsi40H#(6YD}cV~bZXo)OGNfpbW6yen)33fG26?(R;^FJc403Bg=(CrBwaZlImAkSu|^uT;4 zuiQx;&Vg|Bj*g%oRdD6X>2YUCxy~L$K%?_*+KwN7QpXH`NOsl&;^X9Jkbc1_BENT8vEr9vEni>NDO2{?6uG zu1jS(NCU5DLMO(E+x{U4aQmllBT-b_CIy z_3E^&^%+?Qne~^NH9Q+cN4%L4eFtoxhM2m3eJNQ7dTNLMGLY7xzYMTLpAD3D=zqwF zzMQahXoc?roG)+KUj@jvr}XBpg*I4qO-=l($w2dfs(<3ZM8fqMRm*&VkEj|AIb)v+rF$aH&JuvcawY&Y{#weovf zt?09M@uyK4EkJ21g1bO`Vi)hqBe-WlGn_PSgFlhxYQz=K;?E@fl&u76;7xd10x@B( z{WHk3_hHM2O3)^0k=7^m*^+sFG^pMq0AWk0{PrZScWrXuQCjUw+fj(=;Ub!DO zYY66);9NhGUWdW^9*==ZlR}?$YnP3_Fbu&A^L2euG{1_}r`(QGSuL++7uqOG<0w#% zehYS_DDYX$QcL4TE{*RZst7aUM)se&y|H@BZs=i>1(u&vB1`)t3JsSPDFcj(5X`o2 zph>F(S&>=;Gm6+p(=fW%7!PvUYXq&PcXF7 z(7syDaPsXZolRY$v1?@swRRwyJgmEm~G>67Z@cS~;h6`+>A|7ycA60F(`Y97fbTncm>c)e(b3j*xfKRnAB>H3rahv`QKj#Rrab9== z3zlUVxX)t_<>vmApo!W>bdh=9kCxSeD7HD?o6}^2Nhw)B3d!E3bVCAv_|ppdbD%OQ zSi3+&beFXBg6e|FlHj zy?+XN_x{}~1@!Ly8zrE3@847by?g&Y6VBefe?JQ7-TSv*h`oFN_6q3T`=_9H@1KI+ zy?+XN_x|;hwBEgcDzkU*-x108KkofwHMsZwX^o`r{nH9b-TSA^qE;w$4-$kz>WpYyxXH;9e0Jo2VJ9^TF6f3aRtK*d&GYion?9 z5y%3ce~XD{Y>Gm9MPO`dm1LkMp0R0~POk`zO;<>-2#n2;iORhqFgBC8VCss%Se1gV z^TF7q3NF?w0%Nljbe#{zW-I7AAB@dW(7hrsb{XaKt_X}>u2}bqz}OWEu2JWMvAGJm zR|Ll9v9|_!D$=Yz2&3NF?w0%J=Ryiup3oZdTB}A~1G~g6FkW(&IGNML3qFiboj$1{V#4kj87I^p^n zVrz0@gY`w2R|LlH8xEYBc*Y)h0}G{&zI7LZl5^PSkU6%VvT^wFionVdPQJtlj79*VC-Rq)cIiS5ry=Mz}OZF63A5(&)8PQsq?|u;|i(s z!PpZD=@o&oClylXgR!R+nkgopvF)D31@DxY!6ac7sh{y~j3LeM*lUR#}FGYhSTHUEs#dObd5^K@$7&Km@-7cl-U>s2W zr?qI9w-qg5CCAx8dv+}usCAu>{Wi6WMp(~i)mY68x*iTuDCd!`# zrDrXg=*e<;)}o1C6xg#CP4uQ@nCR77G|`83;N6y(s8G^Et(jvoLy_t#0UjlYth8WbA+>NEt)vz8UbBv(ZqQh1$3=N z6Qgzu=vs>=F3^lzYth8$Uj*w~izdb@=vs>=#?c>~XDyl--%UW*S~M|noPe&iXkyYe z0=m|siOHIgYb~0Xa<5=rYth8i=LB@EMHAB$>spH@rYq=LizY7qRES+`(ZmdG1=m_M zafyPiwP<3dme;iwO;qufJeccRizY7RCzzhKXkwNM=~{~>W-I7gizepi8tGb#CN9&S z&XJxX@9G)j*g>~8dk*dR*8_eG!{^-zaBl$1_D$mb%59Mv)`VSGF1~ECA;(ql*n_khi5-ke7RUW^ReYkkcWrt}1SHI>o z5M^!Z4t!R51@{e8yJ5c1T7u_b)(*snvV!;xTMggu4YgmgvH2HL*e|!lOJ$y6C14q` zZ!H9vZ&-yqdAP0sU^86&K`?6XC$@!49JjgiN*1`pnRW+)g)VUodsJJ1MTQL#$gN{% z^#It(AeL+>&74t^EMPT?nzg}e zL1k~N3kDAahblk2Z%=^hf+>cLf93h@KNH5>2Vymr{bz#DXpcH5RO3Ss(^yGkUhoL4 zq!2VonelQc1kHEAH&ruUMl@A3UKzqoQf9m?RnXMQ1{w`)1xLx_EO{hlE^_@VpuFEv zQD&?$qX3wGpwE%gj+J`nLGd`*Gr??^E^=T&%PJ0h){#m(Sh8)Bx<|7e5J5A{OSFs;TEt~; zHTP))k{rn_Xy$>kxn9mGlv2$(TFouYl^jhkyM@^ZQmuoRwGPDK`DK+luMU!%)7-|` zPtwtZSwZ>mv3a_*l9kuA9Av{|2LIqDfn+cO8XhCqOzioF#~6zyrVM%ipa2;$L%{Tg zE}+@LN{Nx@HthG27;7Mh10oV*z2uJ>i$i`pMiTHDfPV!XIvh}Wqu6IQM6^|JA;VET zsoxM83gTv>5#A6XmmE=+Js2Sk`_e{<1;0BGk>r3XWZfOcNo@QB*iYcp{6%0|-i%0C zKet>h|3g;MQ5K;`NOH^sa{CKE(m5q5y^DvxkXm;#ZoojJ-n?pV!~gR1368PP+a+~iSqtahAoMj0q;Rx@ot zRr6z5U-dKAJ04}tG|KDBLHT3SC6*C$anc+B z)$^ahy#y#Xc$62XQMP05l}WP?R8N1N5pB@lSo=N7$~4N(|0I;U01S$z_(ABg4A=Ha6&GGGkdqzfzj} zHIsdi=HWva9+aqFs>9ze`5i%A2bo9j7v7x)U;v0c|NWBh0>B+*6h2^>Hn{wSxt%Z) z;SULYeHXB$ob;P~i9)wTmXDb}SjLK{Bd+*D{*1(r)tb}&tDv#|fuZt{xtcWVh`y6Q zOYzf&tI|JGB(pymq+>6#8f)rndxZ0Cl|?KW{>~ zu6N_%7#_N9z(cTV*WA)ZU>O9j0~GfPbA+O_L0tDEi0H~|Y`YG`NU&N{pkF~)!c4C; zwVCO0p+w#>KwU@l%|3axFn0pMYBxK5NIAN?0L74*z-<-arn}9+|h&sgX&{9G#4Yt%36h0%w!Fdjow#W z(XtNXH?#VWA8vV1J0 zHi0R~gYu5zP64Py=6Mx8~Mk8R$rBvI1uk z{So4d-{8+n`01QNcjdPqbq8T>VF%ilO7y0$6KL-uw@=A&LZHf@VJSNZQJE;b$qo!a zgB9Pv9ypIbm*A&+DNtQ*$5Yq)@G}QFc6kI3Qj~Hu^qB~_Dfo3iXF>1zCL{VQg89aL z??;zXXl6W$86PnTW_-NY3wk6{@>Jg(Gev&16!}Hu%Z`R?AJbC0f-Ohd9nAUEzyyC< z5sWh5#I*eb*ZR@IdIeBBi5?M1XI(V4JERHOvh_az{HNdHs%KQlx8Tv?CcHxc$v*Z` zs#(fGa1nyZveOy0Gw-nn);H!bkXygU%5W+?JvB;=mijUzXCh9-xe5du5TJBV=Tfqq z;`A|Q7jWMrJcI<3z(f~~KEeb`L7B|hePyh^0}`v`bFTBvkvkH-`vaV}BX*ycdvi%x zb1?e9q%4q>rx5;?dk%%q11!=#00w&zps@EzH;tJzb<6?aDh|VaHxlGGP8G4K!wKPT zFOxiHFDhE}{0gXJL~nF<5&bl_ zfyIyEN9$rX#957CHv7fi#(L|bVs8fC`3V<3Bg~13V zMTAA{?jrVTz#{fbVEPR+E_a55=ZRtu_z@raA(+w5ETT^k`#qrkAbPxC?9LSXqnOlo zCPbe8Grk5vmLr;^#QrG8X8Z|pD)vV))^_=6DX~9^tpPlWu!wyi#%5jtxD$65pUNiw z8GurXeB^Nof;kv#ge*=h#e+cYAUeb8PV@<-=nm<|AegwX6ur#PA2%qkrSh zaO63+X{s1ivOHBE3Ngk0=u%k>+5*;1$*cfrgUg?uS1w-!&I(Xz#4iNPj&Tsq7Wzui z_ZFkK%>gOT=g6$)zaY`Z!1pJVhx1=Apr#VNGmrrLwBq)m4(|E3(An~9<8z* z@EZMVM)YsUzgu3a%JxW={f4+!vTxfXD|(;PQ~SDCWJR9>xWo9KV5abVz zAy7fJD%#CnbDQ!S1wPqFGopV5W*m6w3U{0E!V1?GtZoxl_ynNS zv@y6xc%^Xq74-RIFTkcwVXNpI*384oH3u9wBE-)$*2kWN4-3~)#A(euEH!fqVX2vi zZEjs>0@j-Oi?3#;f!|sL^SHleDtjZ}X`1h5;H}>?qIZMG{V=}MlYwcH?<>qlKB}80 zCHM+3YigPlukl$JB=Wu5#UfQ1Vfo5#hESgmSh`}L}4y`U`P2XNAw)=0yQkAl!m1>o-po;xj44PQ+>DeI{b=CoGlsnN8ZG zfVJ`t`YLZY_$@&&U;FZfIS+bC$zb#qGcIPl7YMILJOeF-DP=67IrE zQTP$S+T9e+m#(PKWsBn;-QPf0zLLecD8>>5miI4{k8CH&df03v*x;yavK*C_$x+!9 zDNr9^^wn#sETqzyucAKwWUbAK4QuhAZJqx|M)Yh1vpROkNzfMqwT0-dv71kVHh*F^ zLNHgw9z6+~s98iWjO{xKny8gTFN*!(N6QX>El|5KcbjWtN$j4}dTA+772gK>J)&=m zwIcd^&eR7vQ%kvj0~(I~qUQG4Ab)B>cLr(>(Q9LqPJ+G`sGUTwi(P*b^xuGr8K5`B z9`vI{v}r&crf84E_|e0OqCEukn@s(e*gsB4&4oV$y*JtlsjFfcX#TWvx8cES4Co{R z(8bRH?wpF~`ZA!|=mYqZCA%D{WzG*;B?IXh@=* z1~1=zlJ8b8Uxi-=T=oukC;J7Tah~)}d?@**jcTy!0hY=?4H?WqFdx|8p=@cDAoVlf zmBGC0m-7w2QFL;*3ZS{mjzoKd=eM4mokY%Qh~w6vlZ~ElJpf3z2AxH!mk7(&po?q` zz6UJtTwO)TQ9iS zl>>?QMl+BwP3rEOQJK2pZ;5gX^EJ{)DQAHGDW-YaS4uU&VD_>Oz}W?hSM(?+$0b_! za+JGy0xQl1#`+ORE&CEFdwaz7mF?z|D0ej2KeN7yA0q;{cYQugZ!%kER*4S=OQ zPa%gN5zMOSJO1*B=)VD#4*|@rKGBPw>>cXXo;M9eSK1+RV2ipMHn$~s(xSl8( zQOwm8_(x3>&N-9AdiVwW2M9kR-#b0YCW|t!(HKmW^2O@pFdMZ5uuhay!=%O6Uu9Md zyrzXYkoo{EHS!?%y~TX52&ajpJB^2sPI_21tLSXjP$EO*H~{)U%}8`Kn9S^us-Z*% zNAFU^aTAfqpqBGqLUhOqn6@y`=DSiRGsZ)V#)L&hl+55ryM?e^L0Bz=CLE6eR2O}4jV|;x+7r3&nQ4hBsu+~8J3`!YXzJG2xO`c4)t-~7&P|0p;93YQIG+L{@BGrSD6(#mx+v6>^8IvL;M zR4lDZD;PZrp?Z6$Rpi6p`WgL@Px}wCRWSOj8n4Q%Nb~?Z@g*4@LHr*YFK#Cv1Ll&q&dC^sK}`viMBzw+{>s{T1NV8Rcr>uE`)nMst##X`fOE9&&E~*;bo7iV;Yq{ zTNR5zu@d1skE&}Ll|EY)t3a^{Asdyg6;Pf=rO#GHc@5McLT8VvB8^I)wXSak)h+~c zL&k6rr&WRU>{o&Mo#=rXX(-qH8}y=rIcM9vYgJ+_1_ds|A&H58abvwOI zIyjFW(7)G7|HjnWR=W2(>E40m(Y`VU*GmrzERSpFUN4>deQ<;Jls)Kf&8HlBOhxz= zn6o>k^0_-bpS%6}-0jb2wLhQLl2874=Cgw3xlSjJ>B!@bx{+ufVEC1dPPEs_#4#Ol z9I)4gIbg3QBqh2o%mI5FV4YU353`znAuRi`>%;8$S4~I_*c-weu=fGh0lP%znevIL z0jsbM$faTbfV@d~<4T~pP!#LYLJc7)l=+A^*?_`G-b0 zH8lE4cMZ!t9e|g|qJ8Da=BSR0eaPZ>glmw^hh8>EQ}!_&=|^Q?cq9F&j0DMJS051!2#rzm?9DtVvIRGP*QUmI;AO}<% zz&fC=2yz7+0aypo+#o+{2`oR?DvB_x)tVKvc^P3c2p1qLt+>^al{mYh%`To}W; zxJ^^;L#l%aE0OYPFXe6NDQ`QTGRX}=o|-)!MygxuV|*ZGM%PsF^28kJFydIeJTZI9 zoSYKBS;*a%SS7bo{AWUD4Om>#0E-uhF7wztBW%t=obC*s39()ld5m_Z7-==_3bC3V z0a{jpZs0c>!MqVl>xouLGaiV188Y*MTY>Nz65NkGwYt7MZb@}Fk1#%la3FdV;Rp!n zElmkO_6Uob@YSQ5R>&?8p4t${l~asW8}T~fRuke%#IZuENrg-Yr0toNDu-2+%T4n0 zs)MTpn->t4f$&O?)$?*s-m-(+ z_`vUO6p8+ZMEQ7AQ;9oB<2RcMiN*A?Ly(hxU&7)_prgR!0BadagG?J(K33bJvvk>2 zK+EQ64EW!SUi@Ao(NJ2O0~{YL0LemlYX0Ih0BUKv+Z|92J=Bpu|0zTUD5NkruU1;>k&th z_lwB2r>8`GDD~shU3t{77PMVIz{7iZ5Di(+CXwh21hY5z023Y8khP|TY7D40MDGs1NOXV16?exE zPUG>r3#daxzZ8^M!2>DHPPQ9}{5^=NEWOzU&2-tQ4|62g*pHT8K~yiIKk&7OsJ~7D zz4VGPux}_wiPynp6KG#Th+hn6SHNrau9Klxia2fa4XMGV9rZz(G=>8$d9FnIUCeWf zZ_+55$GPA|Rq5{oenV~~dMOf}g1j}K7e%FiAL3fUJoZJ0!{u>69a?a@e97U9#m9sj zqViohG6Q?0uI$t=3w=IL7xoCHwC*boC$#<`64@?Cp6d|I8$OM9(Ks@A9amU^cr);m z@*>fTk?4C*w%5g^`)AtU?QHxm@R z17K;gmf+nD!MvN;k7Q{BxgXz6h^k}<{4$Y-(w+AopqC~KTSZrM4sNF+Pe+=;EpRG? zJpMpkX?3;}k>??fD{(s!na33(WIGY^10XwyU2ppY%ieM(U~!D2@U?*RQNoT1wuKg_ zlN4uQ6<+W@3`2%efdf^e%8z9N?401Z4pg02HkC7E7vZ}C)MW!X$9GL|fWJvtcB9=A z;{iu51-D7%z;}0(pI>ne3Uv!Y7zNUO&k#wguYCG!Ron%N4G1kgs$pqV`fT-?fC@by z6y-l*@i7ZsiN{Kx_^Ej$nt{s06^ZE;E^W0KxH}NcGl?saJPj`gCPb}dTa8cL?MGMa z0_v{_FL-jDohFw)Yky$gb(w#k#AkjcA|6o#h(6yZUeU9x%}?WcwgLP%g*XF2HC~6= zQrrL2ICn@h5XbiaG|n#Twg0DacG4$+)b{@@&h~$Yu(ZFzeP?O=AB-Pw|1abVrcJ<< zN&9~(@Y{g31N=kQoIurC+5rv;M(+|WIRs{0(>SX=ksahdw%g zQR%Z)(H0av5He7WTE>^ssPtLe?G#X5ieTQ2=Yu${i%7f82kK#>_r%jsR>{>ILTALy zF5og-MWRbl#kYEGc80XscB%F%D3{NQ%a`EGrwY$QNRvbwbGDCXQ46keU+OAHp1&1G zqVI!8_5P{8@TIJB8JFow@}PR#)Oyn)Tl8eYGRB@ zT%u1+4FBKnoVvH~9U(r>C|J`zD*WB7&|dm+>Qp9E*{WpfVhC$XApBnPar#w+>Gr!`MVxtl2v|2e zRuydpT)Z4(HFqy=LLztJF~=5NfHcAz=!o78)VGPAUKBz(6>%!T`}R}|c$m-|3ob~r z<5*t_-j^xW$p}+E-cOK^TL3E`A127hb3Pw0BavU>F(pL}kVYu8O7ig`Pz{iW=@jMT z4s>Fssje4H27XhYWa@WF^Zao>A5CSwpk#$AW78Csv6>L9&P`KPt|I{*DN}@Iy1cW& zjt~kS543(-Hc#=>asyzUC$yCHkslHk|9A?&54iT49QaZ=zU0Z3wPJ_z;hihhaO}hP ztgJnq@@$pjCwqLu_g895X_fNrU^)$LE$p>giGd~G3rz}T)Lx@Y3MynR#rp1yDg(ug zfTB7?q3=3ftb@G1jK@rhu6Qh^Tu)cIZUz2%JT=JpCrD90ZBG}uT9d))B32B&y&=`p zMXEG`h)~b4Ld_g0!>$j)((+4YGFvm*j|@J?Gn=J5%FkqWIFs4oOlC(jIW3xr4~Bxe!(5XOmbza%x}`-D)Ieo1P`uL0>KqQ4#ky8y71 zM&VI}WnH2sNflWESXE@894vd3u&9W_zW`iYB$G$M^K?ibQ5nUny68K6i&}N@;q_Ks z1|?aB_=e}Lx(rH2)n%~o+IkfPS_@@ZFy2>}Ba#Jm(RZij=%$YIEW|S%l;+&z%^>cz z0%5%t@n<%EhO7r_&}DcVg(UIu#?v=1^}}v~{?MOGl20&7z0)_+3$FnF878|f`BTyq z)*^-+K(MOt(IKAn-R@_}qm1%J0CUtu6xlYd%gLz6m3U@>nI)i6t=uMcX|-~jRHfC* zZBmngR^BGUpCVnn#pQpa4(*#kjX1SCY!^VYz}9#Ihx6qXeK_0Oy0t$ zrOnj^0;*LZ zDt*`a$yEbk-os-~PJA20p*oh4_9>uVB6?xs%_v$lgs41LiE(v79K{I5mcj62pxZz| zW?kfaTV~fg zw(UXoCCPSt!?P=E+praU!{WcWQnl()VQ&!f>%SN-m&{{HIzBoc@Tf9W-}94wW%_QY zHS4>szfT^5sX8nAVDeoMhbnqh9fEVnUAu!y@Ebtd?cXQM z%ejmEZeQV^fVETH9}BIe z>bs5%bBAHq7wfHQau0~>j|@A3l9AzQBx^^86Km0o@R-iY*BIqcBg4zUzszL2C4Wzv z!pLw$>*1&~JRMMW<=4U5JgMZlY`n&b^wRaXPDMj}RGFFjfm{iCdgpu#!k9bNSGSq+vDN5e zRkxY)fm}sMK67Tu7xEOq`h`48zL3u%Ec+j3NoV&WV16Ob&M@8hh99o%^9iRnr-=n( z*ber8bA<9^P!3zpHau70@=H+P_kgi|c$OUN%XC5Lb5q~(84Qa3cy0)&P7hJ(yK}^W zkq|4MZw6FnhN$%2IpTMqNQ_FRei2Ze6{6C2=ZG>0s|HUNh0?lS9-`8Bt?Q|vT8+ot znW+GAsIH|lF9zy*qQ9IuDvFlMya}lNL|+j3s1gA!1HE(}DdS|g%jz-&SWD!XBRM=Q z+>DT-_>ucR+F(jUNhj<8SRtZ z=G0yaqnmjpb-a+(ZU$L@ypB~bwXem1G-K6Etp(Ietp(_%wqeU^qzSUA4FI&OP+%?o zHEeGI`mfCldl(7h?wuKPqkD6%M(=Ii+;&A{ zx+;yEhEP}IMq_2+HV~*2TQp?2x)mq3ag*8r-s7?4I!^-W#5QgkTqA)+rAQ!=D4Ku9 zIZixPG!J~oQ^_Mi5>sEcGm%nEec4_tiHa}VnEJ9k6Cv1`3wO4OZA6*|Taao@UD!T= z5Z{IEISBS$*q(rJI+9rg?MXH@HwqZIuvIW{VXI)^!dAh+g{^{t3tI&P7q$upE^HMH zT-YiYxUf|)aAB)p;KFt{i&a|bwlv_mli4F+;KEi*6u7WeFmPdez7#NUVT&(VBo(-@ z#Y`Vy;KKG%NjY#~`#k{z7q;t!IB;RRMZmy?t%89ITLl9bwh9I=Y&%Qbz=iDz0tPN@ zUyyvi;KG(-ur6#>BEAb-6^QS`RufCXoAGi)NG7NWa+x=aBi_y#N}Z5UUgjjBT@m^Y zfZR56(*|#;PGq~`J7^mTx3(oTCNBf0CGH{JZm&4RsLfen}y95bG{b zNFBtw3$X(Ri7ae9>+If+d%8mEAl5zOF-cP$#JXo{JarK3E>cJx#JY>w3BaAC$K8GI zIm87HV%;SQS_iT2xe8hbvF=g@t%F#1nS$0qtb3k<)t3Ru zbr9=bs-Sfc>t3dybr9=buAp@g>t3Otbr9=bsi1Wb>#kGKI*4_@te|xe>t3axbr9=* zMM3Kz)?Kflbr9=rP|!Mvb+1;?I*4_zQP4VwbvG(#9mKlVD!4NSRa@-1o3s|JgIM=^ z1+9Zvce8@lL9Dxloe*wtj(IdDyEn*i0SB>-G4pkA`mKn0PpmPgT<$Hul`66hV%@Dp zm?j4fV%=Mn3p$8pqou-2JAs2(!mL2sZsaNk)~~=Zz5akT8pbngf#ERrAl~^1?4fI5 zBa~E|fra8tNFEMjV{{nnZo3*dbr|d3-Wt7vw#FT|0EyNp4rARr$ZO0xjCFS^qz+@< zI~7ugvF=?8sl! zAgv~;bk{nH<>#=@q|3I5Ae_dh!5?rpO+Vo@or>Npl`g#sbj{}DLiKbfqM9w@YiCAj zw&d(tPP%+B2%P2#uiKq?!9^^9@@Hq*A3uwL7^Vvd@_H~GQ1$vgsV)?%aYD5fy&M0+SEHzLF?Mozxm#}HuXX4+SD7fSJ<$wO#@I}n|fm{R$ZG0pt?5o#yzKT z)wQW7LmXY3Hb!N6<5|^#Yg2E6GG$$xdJ}&wQLSrJZ<2!6wW&8*LF?Moo1&m~ZR#DJ z%Q9u_+SEIyvw+sMsdsFD0j+COZ>oaUwW)WUg4VUEH%&q7+SHq_DO=a3-th{qEpN;n zQ{D;FBxUQ`)H~@y0oRo`K6}x8$D6T3K5u-Z@%c>)O;?qK;3kYg6xB z+UWz=rruIz(z-VFmMLgmn|kMI?`~b2dgrU=Sl6cB@-3qK*0rg(f<3&tHsu=|mGnPw zZOR^#`YSM8n-Wir75E@NF%r)=hXZN3_~jP}Zz(^_4Skn`CZA0F0KrO-LWL;--+*HO zpGwqas7OvD5!?g;tqhAL!3gP&cTH)UAKH?grThRtB*0UxG%>-GAEmUMog7e7 z<3f}HIg-0HAY#2J5kDt@x+g&WDnLo;1eEM-PF3J9nRpshtqfIClL=^n`=1~!>S#TD z%Ol7-2LVtP1Fz+$`W}*!{DX2xKuOK6M+yAg08e#QJgXI`O9Rxt07WfmY4O_vJXKqx zh70^efT#Kj9;yB;2&EQlEOz=p(ZOwu9!|ZLRG8Mj2Th7PZpMJTje5{zK$}?{4d#oA zwDvt{GW)nWo0Rx6cH(vNx>R0C*%rCn38PU=8#~OZUcRDhex&l_BTM@0j_zOIi zudtPan*NweW|DY#&SuWztaA zgN)z#xl4^h540U6`!bRaL{O<&ZA}+4+#N&@BEua_26v6d%(_bE%bj5YtXCZ`@_`aDpVF^x;e>>9H>}$}=qy zJ7PjfM@%T`s0k%Kfr&O&6H0p0K>)=xp`<7C71MJk!(se0nZl5v>?g{PaAX;;RWI9WkM#BPNt|#DtReO(?}Qp`;@wl=K<>B?JGC9S#Hh zG*|})(-9L&I$}aeM@=Z{s0k$g6>8J@My^7ir zm{8KIN273o2_=0Y%Nm$a(rcy(7~HWVLttre$Bu%*9XkpJckCz_+_9rzaL0~g6>8J@M9hgv{YKxt8)P$0b zno!bF6G}R2LP-ZElwz1r(l^Z&G4F{L(}a?~r9`SIxMOFfVBd{-N3@2@)ia^6!la$R zgu)(!^(nA5nXm?JI}$vz5*Q|vrFiEfFij?GbkZALh0rFOkeqKaNpEWfoPWp8HRv|9 z_3h{iN`6zbb*3XGlXS#nl8%^6(h-wMI$|UA}#4r6`ERxeemzI#Y@80$-Rz)iq5R_jZ4&2>q~Yx%Mn;#vLncFV13DsBxiy3B^$NAWTV!XY}ERa9j%>CaIuVn z!NoEc2phr0G8RzmB#fimeG`-=~j%&%8qAM2iBKt)cTU0xJ#l2 z7t1IZTr8ttaIuVn!NoHFC2@m`W!@GrxLD>h0fUQW6bvqwQ82hzM#11>8BN(*U$Vz5 zxVF5Q)|c!Ft?;cCFSXW}>`9{pTvuL9>q~aVd;zWXC0n;vKx=);&eV*o^(8y&0l@|r z%P45AFWEW!1#7J@*}0zyXss{V`JM1pf}yS4JFgwu?SfGPTI)-8p=M;QFWJ*i5v;Yo zWY1V7U~sXFVy*QhyGTK6eaW76ix6AuOLno=LU6H+g4X(yJx9xHtuNUn4@z8XeaW7? zU%=POy;opQ$}UwVt@S0lOhIdX$)2YJgSEb7qt=&f)cTUGXMJI#lCI2JU#PGGTk8uo zR^WQpm%9+&Qhun!7&5*E?M)`GMX=foo(l;01{8;qWa8@pS{Z7f#*RHK;0Lh zq;vvGb~X_jgp-LYK-J1nB{i9V7Pv=(w5X%?@Dc0FivcCo_mGsnB!IV)_#!3p}W!%63zvY&Wak zuxuxz5UJZxg2oNErHg%LZ_}hKv)dIiUQY`2cAwb~SneH)OL#A_lI~Eb$eT;boeDMZ z9wB>oDwOmdC3|;iDk*O%Q@LBAwD&aQ-J?*(n@h@jHD1oUk~!R`P($xKO!Iz)^4>8_ zWtT#YoW?!JWAj$N;jivxiCCl28@hZ5dww2Oux)2%%=D_|yjw$t#FUQIXv zt}F4{Q2w6{K!kYd`Vy}bWB$IcV7HeP&xXIhhM#Xi3&hL`Sn_j_9ANM)aZg}DjN!LM zy!74@cLw2@86>fHl|b@jOTHSO#EX12IC-b>Pf?H-`G)V330F98VE7K!U18k^6K-E7 znUd>(cqvqOGLSYbC%JbGh3A>3Xu)LPy^;8=#cw1B%s81?2MtIj7_Id|O0kidfc)C< z-K2O&Ro*PT9wFXlhAiSMr$Il?B zUBPtRE@V1x8#Nt&NJ@`#tdrNJ^2(7A&Nan!+!p@Vz|=&sXvIy>rhd{BSv&3YmLhW6 zt~I_RViRE}g$21ibi_Pm46UP6@$7&(cN(I05QFbihVN={ei7Yp$&)PM^TyPH^fWv_ z0Qn^W`SSsJ$$wEWFBr23L`(4e5rq2!!WVqP4%q(sf?+YZ>APbkYRfcFhzT0jh18{Z z$}cm{Cqc~S3CmGwHEI&H(%mC&C-W{N4@j8xh%|moGIbmxc5LPsWq=>i>HI!p?vYF; zgDx=^W^vFy0opE7>^;omJ_L2$ht_5urv84D;LjOTz!wNs@L;ce^ZkP0%lO1rFnKw^ z1DJ)GM=0^G0LzYm3C`>zI2vF#R{al=dkndoAC~_dVEL=~fvugV&rG|RFqj8=37$oW zGpIRM-i5(-F>-h#!n%u>hjuacZ2Jg{mxuNOzXrHMvh5IKzJCDRgZYj@f@d?|&ap_w z8<}x$D}La=hNs~b@GXLjRUvx&Qe?&TPH>unitB*=*}W5-+TbupshmIWoj3wH;xI?4 zY?ke3T9M2PI13Y->JHgO?A831(J40 z!NCv6#(_*Vd^^c5Mv&;?yP#~m+W6z$zjv4Bgfpeym1lg*+ix-Wyr;JGfyqb`((zH1&+k^YyM$29X`G?5yz zKvXSCWll3MMA1@@*8u%AV~;fC95$N_v(_Cd1N6`bwJWFK42+Jc zyQ!D8+KDa#{>OMWBGNAc9%`jHk0VSAFv2f@q`M3BuQJ`y5fOD9O~sm|=^g;S)3Fd0 z(%|JiDyB)2?m>iA$aN=Eq+-f8pkg|nN&!Esu`3aC>Tz)70ZjiAKlZZ{dojYgLo&}w z*M2o2^zF||-~J6i+P6O|DF=PKfdWE}OtzErBClF#+|9$D|uL3CAUN<$F+JMJo6U~rCNZWgSiZ=QYP>oPf z9EK?Gi1DwyIe#+vL3`sIZ!{vwSe|q`zC-!0Qwh}}(ua6nMn)HcM#u7XA|XtUsXFWY zvCrvPL@k`HF`a2}P(K}W%xh5Nh^aL*TVhrsj8SL%QMH=phyWG?Db43)q#zfXT^z0d z39P|sc9Mu3t~(xws1d2Uqo2z((d~UUmubFkvjV5ZI5@uzlvdz$(dD256>PUrWqyJn zj{~IDd7|F|Rn!dx4<`xw9H1-OVxDMD7I-J&d$DG0W(d3&aJ5X3Pm#GPu3xLTh()Lq z^!I=s_%eEl*E;wk)vQ=yq|$e1pwk;4Vkn?Clx2R`Ck>@P1TYVexiZoK2c3v50K*5Xf*m=7&+)jz`#ZJeukq zp;Yx96TlQ_F1w6b1hNnCdo`=i0WCnI7U@g$=wm>H-6F;%w^lBOw z%mKr6;lUhJnPbpRWqyh;?H?dWx+2f1od~%LB2)DlK=&wgRjXrebBK6#!sQ;?)hTxw|K;62{8!>~Gv(^X9rp?T z$Kjf{<$ug=im1lrR?3+BHT))AE*J2$kb_Y$&D;{)3Rd*PYQCO=i9~~{)*rk%)FUI-b$T}JxsPiz(nR%2rSvmD45C&LwS?UEtttX1*&8V3+6Ll_W-uE zV3W)iR7bLv1zTjwnNe#Cw#hsR=_K1&uq5MwuVgz5cFOz@q?v4Q!LrOX%&5eI6`5bg z0d_PzafHKU8GD$ly?}i(oykTQTcVoG9}@t}EjT#y5{pn_&XfYyX1X)qO7Z!H!(^H9 zEN_(=F4!Y8Nix~nbe5DyXI>*4{V*wGzGE`4GO1zaLLnZX8O{QZF<%kz*vuG$V=Xv6 zGl}3h3!aoYj^I%itjnBCaJ(r3H(007oPl{xa-!vVUS4YQ{^qYY{x$3+VFl%s)#n&r4i z;npc+a$KZvXDSrSagoBErBFP_MGE)SlO z{q8(w4FhG4ixe*XG62e>KrDnOOBL?Ymjd>dX=KaZc?y{vmnz)z6^iA!RN*dHD4yd| zg}XwbM2<@p?n;Gbu*DC9IW&L7h zo#uQB*psCS_i~=?$Z@H{y@IclESD+uZY)g8LLMF$h z3U{LlJC@^8g?p{y5;-naxYsFEl;cu`yGfx2T4mQOl+1Cd!riP;D#xV?_XcJilJfL49b^GFj`YCPq>ZD^LTG2OBKy=`09TE<@E3s3kycL z{U_bcSNIn8@Kxs&7FzZ26;Unq@D-!9)WcUlL_&`DOMKUtqAZwEplH) zp6P+gY8xzx^KBo}Y|ZhtHRlek2|@rYCYBiiC>8k-oKOMv3Ah9YL!{WI7 z&|i|N`U5XR(F;DQkkOm>`wl}|)i`AGaY-LJ>j#CWh(hI@VP zlve!;Qj%C-MU4MutX-xNC_=FWy&fo%n7d776kWkQ-vO!^=3P)tpk;g!TaXcinI z>NKDzGP6DswbOkR>mw@G^}xF`@wowRKSvrO)<;CFEw{kbv==Q2w5)$Dyz~?)?h`4F z0$RlQ4Z%(WC=9=V6dj~!o`~i;kGW3JT=T&9JQa>S5a}$;kR{g%lIuMP(#o75g8wdH zt;`8hnVqo*Q7dzjt<00kP+yaUiCci|bt*GbxD@p_MUtsxW7vvpccG$S5dL-sHNgXz z+=1nFPQiK$yT~kpcD#9F5 zgt16cYWjG@SjkwYn^vF*#S(N4P$V();9od`7P(#x)E=T2L>Llg8D4^n&Eg2I&t8h+ zpopdt;(ldzGIbKT`6haazHC1S*?cZTNZ(nI)Im0$oq+lsE%De~VPCf5HdfrTrP5=P z=n25GwZsLYv=bujUN10#A_*qbw9l&~GC_l9-O>OHs54Yy?pAi0&K_N+oNT;gcz_3Uhljs>t(tMkTs961D%+ zEY`c`0MLz3gWpjw`Z1!{P?qmfmaxtZkT&ugcAn1z@AR3*NP|QcC5Xfi-2*_BHG*|S z76t~fz3CuIZK9IuRoKWQn_I+SF&ja=sT@Hb* z0cIJo8%G@iyAqf?h&^xCA+SaBafKHi^XTeBU`GJ6jo2^WdJn@vroe9I1|n7A9C8wtzFHe z7ohWT4(TxHA)xHignLDZJuw41xS3knyUc5^ReS%cGV z?wWoA{>Nd^9W>BHPrLnn90u`Bm3z~XB4&Hq&Aml;ng$MoP7Gi^$_q;2SIA1 z!aN0uBpf}WmM(n@baF&Z9R$e{HFXdqN7T~gJj92?XI?itW;omtHLnNL0adRqq&mzI zHLuzO7F2!5lj<->)Vu*zz}h2f-k=XM=#-m2<2q{G`VNA4M9mw|st%5*eZfJ{D2W<42vRU`5Tsz>AV|T$LC|RuH*gTN zLcqX55O-0*V@x;iM3_vxsR{-Tf)orK1SuFe2-1{SmwQ(YMBL*Qv`5su6Yi6g?GZKa zq?ZM>N7TF-p9^S@sCjiQ@I4wYwMW#vnVOM3qUOySBUpPx&6};@)^e{UD`C!T!P+Bg z-rTDMv`5su`FjKm90a{Apgp4IE!2$c5jF4h4+U$FsCj45dq}+09#QkoRP5vB-kX%w zA_eUcHSeryA+|@m6q&ePu99#Q-290ajZN&jPys8L}Bwnx;cu>#jSqBa!aE#-$j5&HRQXmH6y zHw4?`PyxXycms<4KMq9!Xl1B@8k;-X5xhWxSu6=g$QrzBO85DpE!kPh5AYiUJk?4Q z6Fm7*O3T>;0VOpqL>Z7Hxz__C){7ExD*#Y&1SlsX0u;;CQb5Vh=5!hOOD1T_Ze^&F znoK|o+{7R)>S#TD^nw9H4n}29S;8bRd~n$}Tc?noP0P+yC??09va=M@vuW8=S4%uSo0greI6a$| zJxw7!o0grUke*G;&ZCsEG$Y5nvh~iUWtYAgFt$viS;o#&NYAEa&sRv#re&8aq-WEz zD-_bRY1x$u>DjdG1q$iewCpN{^lVyowL*F}ExU${kWDW5X4fjydNwT^I-7PKgrH~B zvX>XbEF)*rvRCkxGUbAAHgqbD+Y+CjpIS9_CW$T?y%f`$z5_?w( zS~aCDbNnd?t9Ldn`!1P~vuW7|hVNiq&ZY&Ro=wZ9jAdTVre)KHqrWlrNcJG&!4=ZDd}-d*p1|pw8Gh=KX8}419z6N;$sGrJ^D1*EkXV zl(?Y^rM%zJJ~>Pwo=y865)2jsIY7kCR3!74olRR!N=#Usc%8iJLg=43o3=Md`cIxs z`!!i^Y>fFC=ylI+V|nlRE{oP!cG-dXFF}B%Z?i;~8N=TGMc>VqS$qm zX&1UdHkK0>Yko^eHG+42}1FAA9#4S=_EMqub zPh?iN8XSkh{gikv0^x@N;jIB-H?x^ZwuqTLsP^NzACzsI`AN2jvA|5SJi#QV7}C6r z=T*>+0-f$so)VCKOxYf3-UG>ug~?QMD-QjEtU@?El4R8g>OqaE0RCt^W^B*10rs4S zAKVJ?8dI1iGN=Rg=XhoyN~*b^$~2~O1%fK2BBrwX^{1(6}SyeuP zg8mesaPT0~ev=%`3Uko=7|Nu*?&*9F==V=graIngoHbyfS_;x$BsdXa)mV&fZ#Y?4 z3#bp(^(>HIUyUUCnK_8j_rDRg9mt-~vVi?q^qn9%fCp<>;heiRX3nP>n~AC0}!NAT0kj{2dGjK@DyT2 zN&+q>D5|@Vs`~&zQQw6W+6x3lg#~POn=jHci0uckzo@eM{$5g7gQX~OTeSozk@oB0 zvnlvAYr9%A^fim{AWj)hpsBRalyX0YuxdF9ccvkKneBk(n2W;410Eoi ze3po12jD($LkKq@(Os;M6()l;dpc+cJwF0MxSGuD@R%#ZTuCi`8xgw@wJK6ey)P!m zuh>1mz+b)?*C!#j(^~l)zakvJhp;j%tiFP~QQ3+T)!0w}is7WW_I51g$sX`mRnrN} z9&m-X10Dnk>h|E*01c36_K^J$ zz#mcip>nkAO<&ps z-<^?Eq*}1rq7T^rFH=v6768M7M3ub9X8?WgM4RkH z4xS+~l%oF%LeDBRd(;QWsKq(SRG&5&heR*F+ZlviL@nfXm`~E>jz%Wm`era%nO(A0od8R9a=bSY_8Dr~-Pti+#YO z1bC0rS1G>N0O=v@-7=%!;2bIa+XGAjr;UY~_mOmSByAoFGb2{#eIOIdMBM4UkIZ0B z!*okPz6;N1NWUY_j%hD?nP^*=yU=Tbfy<;>%>y)A`p_$k&2%K?vl_7{_d$wZj`n=t zXD)*@7v{iK;k%H=-9avcB$pR$E`ubO%-w!2Lu@W5$v}DXGHlggE)xuo*~vgT0<@ul z@)?jAWMW1~7#R~j&@d)^pkYk-K*Ja=iRbKr2AQ6}gM7w1V=lm4E!XNN0E`*KrShCT z=rCq2tG7L+2uwAs^9=_o*|?5qq1h%ff5!mLYfWQL>lwjf`Kv&S?^tkq;Yx^aHwgZ- zSpJ{IavJ^qFE5tIxXnD(c?+71eJ?|F@xG4P zio5z16fU&D&9a6TxZe@*KMUN^1@6E5jX0l)n1dT}>Md~R(eWJiMjTd{v=h6)&H5DB zE^xC3Z99^w2D1_v3*2cW%tv4@aI?|L=-L6H^=`nq7dU@`y9-8RyTJVj(xc(&4WR$| zH{g(wSn1zsf!p4I(@)a0H{eiQ!2&liBCC1}+!c@(Z@^hVvHTn}t3PuC&Sud4$s2G+ zgTP7ILm164OZW5;MnA4IK-hW+gDzNU<t#Sxhr_S+bHy=U8m#pz7_9Xx7_9Xx7_9Yo zlDNTI{~!T_wf+eL25bEa25bEa25bEa25bGAa5_7=*1t)>;4&cIKZ2Eq za%q!Z-Ae)nYyFy0u-2axJAbg&uVAp&Unt6&tMeD;TWxe;~xcTEEspu-30&u-32T4c7X(tsM1R?u~>Zd*|X5DZpT@ zUzrTn`V|b;`gLIYo7Vc-sH7{lYyDJMf$ds9HCEtYtzWwzE}OTIAKSe&LxW2ucy?R~ zxZXlbZ{inMF}a&2KB2bDV>0loy}>mbm%_@eM>`?tVfHqcsq`k ziaJ^k-{J_ePIC$ zYHQSRfnN^rRA0d()lY&@YO%($YyCL{*tLEtOzT9Zm*KI8{5?aEZN3%~F!?hEgDC%H zyvOtV5T3}#@mu7yp~r`^OncJ+YkKa}-7&}NWGDm-lX)R|UXsmN@VBC0K5Wo49qo0al3P%~)v*x6x35{Y@XTUfRYzaumP;dN{GP z#Qgzy%G8SA$XID7C-vS$8bAhh0E^y!y!#7;A+N z;a7vC|Kt+k0)!UU%Ac&RYb`IPWj zRqEG$>e7uQ)Aa`D{A6m_avbym=^G%`mBq72`X+)p_Zdi1YDVH7oQqqCbBkxw`&=hL zUB{LHc@7IX0iYbyT0+%}EjhN^!?nPjyG5YM<*Q*Zdj`pOdze|vB^P1wqAp1XawykJE$LGVn(J%&HK^=}FYlvxDoAK_Vt7_$&VMR62k>_L!5nZPK**&QH#9*>zG&c5_b zCU(7=#DS$z?KgR!lJ&SG899&9qeuHRzJEtKDKfGWTnRDdd}u`6&! z36I(F64(pnDssFUm_5Ya`_Un=zXYZscrgzbgOgBPDNBD~PA2x-A&gTQwpUh$?Uj{b zdu1iLtxQ|=G;682zgZsD@4DRDrFfdFi7~IFLU~nI|g28><3I_LaD;V6z{S5dI-N&uh zWd9@XjMMlXOr7CKx*ecmIZaeNAI;7b-;ZDa`f+dURG`J!>P7C( z(z{vtDN2vG-gp*1xPIK5z(kws-C5qG6#$y??ksOIUr|^m!(@3im6fJkKkiMbLrBvJ zD8iyf-kj~20_zs{xqL;mX59Tgk5v`JeaF0h+_T#W_4;uya(9*&xjPH@ms68)wca|5uJIjmS zo#jRE&hnynXL-@Pv%KitSzh$+EN>OHB{)swt$q@P3yiJag)D1eZ1vW>AfPq2dTUvc zasTctPc}Z{W?bW)46X2@*N=ObDzUvg%ezcLdv}(1xq|lYEbj^h?cG`4l?vLsv%GZ* z+Uv)?=-pXf^zJM#dUuu=y*tZ`-ks$|@6Pg~cV~IBp9+?cm`7u)7n~-7sx5ZB=-pXf z^zJM#dUuu=y*tYb?#^n41zYc?H$}{QV$FDWmUjy~0LV4w{TSii*3SfMPZN2!sxWx{ zIQuA8n6wjXY-N24Y>lm~LEDbR*vhN~hOzZ`c;_Q9jje2SlB$OaZN3S~AGFtvd)qbv zr^Z(A_B>mc95C6j9r4*iy#b!RJIEWG-&pJh@pdYt*NuC3Dx}wqdv__M*NuC3S4up+ zZrr;^aeCdj7r8sji`<>%?It6!QZ=@E4`@8SJIi}eA-!(gi`<>%Mefe>{JXQ7(N~D~ zNQtDmOloE?#T6J^i4j>vjIG|jW{_5sM5g5m;ENZaD5hBM&MKC>vx?gwBvJeky5pi^ zxjUfPt$=N+cW31Wy^7Cc zcFnoL)M{!9^(Hrj;l8mlH&nZAy*n#6j0*1?D|5BK0%dS8DL0(u2#l4v5o9M zv%pxHi{71;JA%@Sm)e`lbJ4rAa-$V%@6Pf;dv{iD%Aaq(-gGE%G`8K*%~Wz$1AwD zycxHcAaqXSK(8;3R+`j?i?+zHCE=9^p?2RSeZMQ zcNPc6%G^?A(i$ss%M`T6%G`N6Fj!+{?tE1%dvkeiIXeNY(T($_^+SVOAzw$O?~T(A zo{dVnGHa}){t66ZB`K+~0td#**7C9372%EKhq+N@+Qx9a2-G#)T*Y zawPY3K*V}cB7S%Q^=^Rb9-yRj0!nr^CmxPWCTK{{8>*xx6VL+JAEC+%b+jJ7(Gg_b z8Y_l zrGYM1M{Q|`WF7<5M(&r1Pc*v##Lf2^;Y9ZO6B*E!_shg5lG(PrUnZ{i%d|H|lab?k zL-WNGc(%iKL)&KwFGP?z;(mm5n0*?y+;8QV+j;TqAb&uFD_aoL>7hu!wea1PH zF=glEJ$yYHL8T9ukd(E!2w(^75tX^hMF2X%Mfm;1bb_CFdFlKnB&@Huf&fK32Ic73 znc4kFM6nuKy^ZGqpzjK@`w_D{V3T}RRHnUI$XIWg_dvaG6-1+2rX>Wx*W1Kg1EBM4ib}v80G%%8*UX?wcji5g9Da@GVW7q!m#!j)D#L7G z<1FJBpS^*CSLt*yS2Cc#Mt%?K9JTi#f?FMpve$1>!oM-XON4(iE*p*9h z&yTUV*CMQZ(R|oi&ld;RI{|m+>;~{|2y-vsiurj+6$yL;k69V(aVg*)EAWG@q2@{E za%s%$2eR%$xWYn=yMkOUm0UjaQ|SqUWAT{vkyN^vVy3b|%gI!}vj*kfO|nrHi}r<@5c6EmgY5N@hSP( zYWgCFP>HIDP9gVQeUQjDbL=6|eSm(3=-UhrX4H>89q0o@?=V+K(IUyUK;MeT>@=a6 z(6Tz#^+c7yeh@r)5$YNoZ^NctmBB=j!F+_N3?@pMFDEQAm?ZE6fLZ1#Qs$okk}?+| zxlVY@@unMhp%-dV%1qQJEOcCgz zmg@+iPgdvwKvKqG7sCx69-QUKA^-YiTn5w_mhl49BZ`*TcLDu0W3MvfqiB)P0ify_ zdyQETMT>+Qqu{;pz};jhU#HIjma)dv0d*;!KZ4i$5WVjVmaT^UHy4)rolS6rG5dk* zH2)l&8=6fcV&FyAxDvPjYmq&1^$F+WYR*Q4i7<+Wba0#|G=dvO{>_}7LL>3hiiC) zS8T)hny2`G$?O5_Fm4C&b9l_WwV(}^eju^eAQ?&O;t+;6ya3navSg|X4=zl3EE-kN zcL4Py(Su^IN6~|pp(Y#@e6XBMm?;+q43?t_`mX7Bf{2d9VgNf3ENIz67BDjq}F@GF*38D02m7n@dC!JyULn;m1et?jo z_~M6fnE{y{Hj2{iaRRiXD83W^@rL~p24_>vHdGY9hXJpUqTdXNrzpOw;6abe4}k|g z3+VEj1-Sf{Z^?Oq^`IBrfAA_MSuv-0HmpRt))t$hYi+wwjJ;PSQ{KZMFdtCJRc01b z;7|M=37F5#!w|hr0n27bfiJf+f|%Pc z@svGmoJRns`{UQc}+Y%{i;)J)WPanOi+ML$@#Yt(2PF^o#u&TivuiD>PLW!;;W%jDfHGjmDZHiJLa^Y zdf&Cx`#7@gbptN5V)gzjmQuNBx(ysg7fl~Ph`(rhP7KOn@10 zr>gQ;v7m{Wn~_t!dAkA@A!Rdis?QjKbTe|QuR^*RIo0n}!Rcn?REK>kS(PmAA%DqpneZjGed^_D9!M$#3TIBrt-#a5i!^m zClh4>6`9f`$q-Nz5g_hf+=9Cox8Ux@6?ZREYg<4mRddi$_b=%g)kTmXeUGG1na^|} zLArlQ*D0j#U(z!bQuiFBGl1e@S27oI9&|-$(iizEY-i|B{xq zOlT$QjAB>!FX;_Wl3c3bYR%b}^cscK{Y!eIido&iq_0(+x_?Pur;xgTNpDg}-M^%- zS4iEzq&F+1?qAY3FzcXfH$}>JvsMW2GWiXe756XcZ8utIzohTgc-M^$$#xgJNU(#vAat7{S(w8!|=NhTPrCGYr1H!`o|y_MYe(K>3y<+T=V)QT&wOTlwYwpq4C5}aeT zHlr#LRwCVK+|(jMyHid%8zJmY+em~G4lr$<_PdY9w90`$4Mu}V6jx;w5ed!#g ztnVlQTSaTxwi>T8TL8oj4@tBUGjtUL+RTEm;#C|5+lU#usxv}S6sCEJN2Xu9WhW5q#=1uTr@;xQoh8 z?hNiK@1187Ijt24dz&kua2=#3s}*@9k@7)4R-7c1$_Kf=J6#lWYzU+8ux*r9bK*Ty zvlEv>`c8fQ0d%x|j&f>Xgur}AVF=?5gxQYbrKEu%J3hvO>U#;d1K^zs*-?CzXn3hq zO-lIJoLz}ai@Lr!T~B9*tJT=TY5s?JR^#)5YwBg9l&fP@N*HgtmGRqcwJ0-;-sNwC z;io|UE*`Tc);$J2?#@frU~4~*+`VIjCFd)!KH#|r$=;4+D<(3}cZ8Lf5!OT4eAn>! zX;J(DzEsP3d5niBHF(TNrh7G$F2fIO(Z6TXgJNb8a62}@C(S;n2tJW}3AclU+ldIP ze3{t{5q4(+u9}X|qux@D!zJ)q1eOkAY6@5ODZE#F!{=*6jEUpIf(xoy;1c9G4v!fZ z8;X_4P@x6=@OoUjfyYdYog78?VSmsMsMTP@jE+4*^xX*SdkcQ1BERm};HCSe{8^5l zs;waG_YmIteG5M#?vD_^8FSxhLOH{?0lTFHkZqaw@YownoOcEG_XGRQ0Ay1+6DeHF zRE~{Qnpz(6D6P!8Rykcyp+L@6<=BM?FXK5IoK6VDeXfZ67KC+^i&oC{wZrMTj^f{I z%mG9?b|Z}aAld`-NX7MNPC!swKSmg?`iA!IH5|{IJPKHGva9|P1PqF*pv6|JB5dZ6|Y{UdW@6kWrD#UREM zX4vM9NcDH!PEpU$da47>n|QWBSYz{kBc94=8O6Lv?~} z{21EM8m2r`Dz!7>z(0zv^tQ)eCy+!lz(!Co( zy0^to)gsUhn1HwC2$vRnI}+Z{#IK1|nbYS*w8yiN^m-;;9$U|x4TR)fNjy3av=rhX~ef5OFb`{f+XRYFAu4A!gD{E;jshdS%YDx62ZCa;ouBWPeRnb8xffNCQ7{(FRl2p2QNMFGH5?uSSJHs z!^?398Spk0UuMz=C3fkHe0O5(T>5aX(FR#P&ho`#W06z$ zOA%J}HUb8HA8+v``&SO&X8N&6XTUG;vJx--KEzA+Z}I0L{@l%Q zr&5FS7!hB@GU%W@$|y2ugO{%&jUlIQMqi1CYq9-CBedT!l*5o$z|cE*RxrE=!v`>2 z6t!XthCDo23%&v9uqLwk6IIJGc%peEiWXHn3Fu21yMIL0s-`2#fLX}oJr?o|ytMq5 z?MM?K@5(3(O@`7b4B^7h7V!TJ9^4YuJ&KkL??cSz7<+LfLp#d+4w!bRWRr-DG8xWE zmmoFK*0?kDDc0D)OAt~NPwj(wn;IcHeIs7vGom@n{MGDa245*;C6N8lYZ*_xH5SDm z$E0Cs2`Pp$`J(uf2bhNViV7K9%CDTZdQ{j8e3cgy-WKkgC~nYEMhXoj4fu2YzJp- zaW5p9>w*^;v=XL}T)qs+wZZJ5Q6iE}W68D1&$Sq7r?db}yNM);@9L(-p|qMck0gQX zhW$9Gwx*_QM)_%3By6-|2>EN>(+ta~ct}#>^^xEuE!}W=c?;_CdTN zjmN}FY%GWebM&5Ih+H!Qu^oZ6#lVEUPYCD~BnDzKLGsRp3|W)4sL3vcBsGY1T??$n zAf2+drmFa8Gqu5V?|AUpt;neYQF(|633V^95(8KPW~_!Vi5>;si0#P~nIBVRj=jQJ zFrYF_fgUYdoC2%8L-`=#>QFw&wvPoNJ!ae25)%ZrUkC)Ye*r{Slve(s69Yo56p^=q zA!a22noN#}8?3MB2R#UkAwxqvAk$&t)EuWaoR;GZ?*rMAw-J^ZDF3j`V0=`J>;R1! z6%HlYAsc6*J^D=(?J?muEGp9B8)vvJxqe$pYeVy*EjJTwxmiC0G|XtrZRoe$yx(#g zbph**qb;;J+CrNYS{qwvQ@@2a3%Ahb(H7dG5C>yGp@p{67TVfxp>3ktdDuHx?Wi0zo_|R50m7220xkW{BU2hK3}A zj%ygh(d2^KuU9$4!*!-T<_OELlyqc4c9Et?){h8h#acPCP!?^#qYLa0%N(}t`i+Ph z;J4oY46J|kz*=tvW`(pbs2+yZLS@LX8Xm9<+8P>OSz)08mLP`MLg!G3#5Qd^2r5Pw zt29={yB0DgwydWe2y11mkfD{~<-Q7c_m#RLthI&Q>bGZ`xoxp*&!I+Hzdc)CY?JLB z&S9(!&&m~6N5ZMpA5=r_5ThkpI2&32POH{NItdN9mzn7VKl^sbP^*&+@ebu6~cr0NGp!CVNRdff^F8?q{nbf9vcq* zhqP;d_;mf@^M5-YhX?up?S$DMQ=91++dNPrJMYxVZ;L`DVr1sf+A7?7w6kq(RRm21 z-?d-tjQ#OhI^%W)eF{&VCD%gp2#wZkRvfQGZ8J1t*K6Ns-Gyo;&L635Gv-KbRpE=% z)t^3Fkke-}YC(VcEG6$5PB7d~ds!hf5?E$y_tOAaW!bVx z_6}=4`$x2LHrzg;1|kirZrym$- zEe62DX&$GweoaH=JBKt6V3&|upp(VdB^3=rpPy~GU0?_dH_`CqRyy$R;l!x7GQnlL z(yvnvh`!b%@6ZGn)7x;Cep#7DrRATk+cp*3O~4v#3u9Y;wWJ|fepnY8be~Wf$e7=n zHVr1(FOX-bhblCmpiP9P!2d3@#KL!0UdI6ax+{E(@%ybf5Pe7un-uB_UwB{a@S6pZ zB7;fuf}~-H;a~z2jIV!x!N^jm87yiCSqNW+>I{u9tUr7Ss>MR5L>O1}JH108XOj6d zM%&5yGe+sRIimQQ3DWwFBwYLg8N2A@?|9+7ptYUvB zdun0M7oI$YdoE~0xaR^G)+AJ-KdRb(Eu=|*Qjg?o#@C~Qp`n{U_ur}Byhhks@Q)u;{V$yVFRcmT&hKwsezRS+R8pIu z1Cqr+r(HuCI^6K=tGDZ`1&bKihhRYhhpiM zPah^+9qNoljC}&h)hh*jzG$9Q<$gYZ&zwSYtMLtgumEztQLqbul{Q#*9#m)@I^qwe zl?^t1zcl|Y-$h|PJdF9kuE#=(TmX)IBdNC3`$DoZ&=pthYfE7ltqbbwFMzcz)CAg)hYE}=To>k$exGiYwnJNJsjp=93=IBI5NSyquVG^k ze|EtNr=MM7Qt%VwJizbQ!>U@(_U30o@<XF+oH z+7iJ?Yf`(L{p?d?La0%~nBZp<=8hJ2p9%@~Rg-qOJ^4+G?F=RZwo+Kz^rLNHp|Qyt znJtySMkXn9=tRm`q}Dd*FH+m^@FF!7v)2Crf>qs*u5Hs_rbZoWvm9Aq{Xg^d=zP8K z*#~Plzno-7MdfQ1o@f0P--N0>kq@`fDi_;NNzm{kRH2O|VZHOmG^+)iu~Q8#=-V#{ zBI(YdrY5?Dl`iUG*kU2!vVFu?!opaFWd0=@;?I9P-@==CuLUl8TQ0~c`pobaBY?7AQqXSS?8`w98WdhFK{i}1@e72wHDM-LI|D3} zHmmTC2P78R@qn#Hg|622<%JDL{^)^N!$JiISX+pN?M8*6LRLz{BkD*Ubw>F^#1S&S zAO-Af(18Z)p%mH}o1$epv>r+cj4NOr=O`A~W+HvV_>cr7U_ycXU(!1i`htI!O)>n* z^RKh_6x7}CA*=>t1o0bYxOMqo=$5Kus2-u`7&)jJ{+AFm7%RXL_NA)EnKnMqx&X0RH{}U`znbZ`iw1T3b(fSy%}1%-_gqlM1jih=&32_^SHj} z_f7!I58bCnmM}0KSAFVnsP37Qam%N4?C3y3V0bbv9ZXe7Qzan05RSR7KP8tjvrvjZ zv$|(9wPg$~ijyUOP0zNK!)$#Cb&Y62gF|e}H#a|kL&6?f=(&eQzmaMwD`qQOVEBSb zx_&ira&FVGTVKK*{t?>Y9~r73)aq!z|3^2^HXZ8T|0QkuZ=b#u8g{{88a1T;|F#9S zpzeom(}$bB6*}xgwd+Fts=3s*Moin-NBA4mqz{O!(fd63Q?+_6#GkBj8UVfFh8F+h z0iZugi+qOo?Kv`?^V_p+Erm7v2vudc_bN2gD%%;@hRCT~sI!R}vM_biUZt;%L&nis zxGOx=frK}*p?hFk_M0JyzqmI%RBYgB%<>b16gXw`V*?x#ZS!c;I)nRjo7#f*T<8P} z)3i{Lkp(7eVF`q`u(7OTLcNT1hN0FileZ9qf~HERj&=%7qKhhpjp)J1Wk0XfVs;4QTS32Mb3$}Gj>h8Fx1b&Jq=?lL*`T19 z!ZD6^1uY62-z*#ajtDK$iTPSm2;oB;-*h>}wp5Jz>;o{`hple%VNZ4W6C-@6mC;!3 zD>))@bAk^-=8=(|y!vB%NEI>ug?j-c@@F=(hSXFB%icPa;Zu!67R+fnBI4sr2f}_q z-PN0{^!E*GXz7K%f5PxAwxIcDNm+ zh3P?o*55~JN8!-08~%FpZ6kKb^X=Id^c$N6{e7f1Nq--y1;f*4X?x*)q_zd1J%@LZ zVi*k#vapJT8iR~7vPKlPXova+AsAHXA=xhtF7%!R>ra*Km}MsvUmRRR9TZ{@4{-y= zLl$nPJ+hE<;S7k>c)>nW=r{_K=MabJ1jqW_4EvICJ^=fOKLZ$F&;wPU33eD05l^(k z81m&XHp!FiSd-axPth*#Xj^7f(w`Wo|8r0*FvIJv-!B{#3&wttdttMn?G|+K=2pRA z0F8ciN0*Ne(V2vTLfaZ-;maSiRRR1CMFuR}rG#}cw1BKzuY!IlG#+C#hO$Y2gONC_ zQWa5^3eC*J{YH3HhT>sv{>9!3GxNd>r_eElOsnA(|BP|fHci{t)bB)e{vMv8qrui3 zs$2ArlCcj!D;`*o96@#`p$W_vzrDj<0!Mq9soMi(OrUV24E0$k^KhGuWxsw`66$v# zOw8bQ_AiG}{10=?;DhO?=-}u=Z!sn;>99~Si`RJ$jIW#k9pz73#@lYec7+oPJ=H{C zFW4hZ3dsYU{Xh1;13s!M`#CXx%B}(vz*T|FBy^IQ zG*VzDGz&~ZwU7|RKB3r<2?(fQLbV1^U{Mpm3MPPc<^MhB%_I}-?)v-he*S+E=H2q{ zyYJp}Pe11k3uqMnDi^5vTVN90`!-_~WGHO_2LnKd1Hw4uS|&ciz)~X_OIaJx6N?#% z4MhW6jp_;_{omkC+Q9xa_>$J|myoLe$iVXkxC|B`8VT6J)_ZJGz+!kFzZ|d_`p;DW zh53sRCJc~f@4k9`1T_O65k5zk1wbdj_Xs*{I6&tI12n)1pSoZb1ByYsPQwU+$QeF@ z=o3I-{uBA*2rP)(5Xgm6flrZeI)QV^vkz7$fTsz>#r+tv;_ea|$CC(F4`OK>S%HM> zF)+WONBO@UHS=$lxa<9~jKk3&k8>vBAZff^KpIC_K(NbTAXXdUW`R}0_tBWlb_(v; z000~szy!|^02c&pF7^y^H*akq*z7#;3k00`?`65$Pv;qHT^v-zt~N5Y2V-M4l>}yh z+k#|o9wl&O{LknXL~3M-erRTIc2GCoO91@P8l;SAnB4ismIheixdwYZ32+5G z2ZIiUU_9|{4Mug15_UAGV=%Lyfx-U*@gi6`7|{b*u2q=4UQW>@b3vm zO{_+5tn|Yw0tGP+gMeXd@eh@K(y5W&qG8tqwAv3S0o$y<7>sZLH;p_w8pa6n=3p8X zm}7Yizoc zj^+je&?tT#0t7R|@W@~qWSHyl+<=&X=NgBL&368SZ#daq-?04uEzYn#0Np>~1lyQj z{!g>P{c-9-KU4@2@!J;C-kJTy4u94C4}09`O93f9>RmHTlypI66l0uDIE`q3H=D`N@R*Xt*MCk9+% z{p){i04u?LibsN6AGF5k4>e)nF!Sr&=%1kke*~;^0unbhAkKPqp}12SNXNqNiyTUKt0Cfy6zz@N=MHoQFEdk;Ayu%kT6!?!oFdHbZ9>57fm;x{t(Dh-k23!-+ z^!X18{A?Pg+0ZM<^&J?Y;U)R31dIm$5q`{>GQdSJK54Mp83Y!#c;o08iK6h*lA{eI zxt;>aJ0VR}{Q>9m9#rJ>9*k114StDW#{RlMuVB4i!+}2E$ENX-4R)x+6N7B>KXZbE zkLZ7Zz!w~!*q|D#SEmbK^pj!M!Cd*zvfRNMgMV)bERJUbQ2tQlGf;SNpMZkwJfav- zkolQN7Wn+E97D87`}~}MnOFi`zySmSge;Xf)dxB@==bYc#Q(1=g@%U@{jW~a=|3-m zl`o9Mu5gmYUuEE7^c>_B+W;m%A@iSyQUf61$6^hMIvZijfVt;9aAF-mfX4#-1dKj@ z=wdl62)cq}qrtzyL5umffQ6W!k0F9$TDkZmQLRDTR#4QSNMj8YiSG@d{YGaefc67y zU}J20mBCXX!ikqrw4@Ck{FY%q*b8nJU1=jEA9PYcc@X<#Xq^3-#0-}!|C;;^_WUnU zRrCPZ{aRx}FmQJW0Qc`oP+>$WUC6o(-kslbKmt5SKrJChE`S%M5YT~2p%LfPaJX0@ z;g^sY)&j~fKNJTmBtSCHS4af2C;S?LqY!|W;IsgMBIbGmP><0#bT&}LqXX^{GTUJP zVu8@$&{>{nu-t>85jtxe(Z-oO&i6rVOeU^rxT#@50txl}2P+`?_)$TS*?>>#PxvAF zJDY=rkVc+LyjbT41Nv73_ZkN(NCNtA(MAOG4Gr8$JsW6nCykkve?6H(gMRP_fnCAp z^a(l8mkawI6m<{Qeq%(`XMU{-pgERnCC4f5lm;F>wnfMIc`= z-7)zJnC#9YjKLYaaO#4clu$%!OXCDLK6RYfG6<*qF!dcjk`J+jaRunmk17g-h;ra0 z`cV`68U$gFz)AGC1_yCr^%`Z3_TMFHLVmbkRmc+(Wg%3BL1W|Y;N+ITHGZF9KEsU? zTXF^a1qbGgJ6ZD(SP2w^BoO0_-`o|{ClJboss9Wq{eMyrX*kYIP#3qv4OBRHCRm>XhuNyf8OB& z%MSrv8^g!Xm^uT10VoPM%VLZl8anHN_dL-lpyy)TiA7*zX8^OJ7>G-0Bu53JQvB#P z;4J1RI_a(0KWe z5B$`P(-cr9`V*;$amvv921lUC!IG~N_~(Tq1VP9+fkBk!hc2=~#}0%epaJvGBA@|7 z@n;TR{iX?WF}Mfv?~v?rC<=Z&f-ev>01ZA7(ja~{d?v)myBgkDU)d}`k(&qf-YptG zw_zz;HawfLSgQu?!Nt}=Z;Vw!=1SiAw!BynvjUpJNdLs}{e*VG&uMrHG9enSqa6b2 z1Ud%vM}EgWMU6$vOp4 zSLa}^_kw_w`s>qQ1cOtM);%C@2Cx*4n-Tfy=WovEi2b`H-GXFK1Ax05PK!ol+>bMn z!7dk!h5TUWKlH&a7dTk~hl`+2fVcBgH8nh_0nj+PbAUs`EdgTaMTzrRFHN}1x!jIvL0##|MBRrHmC!9qeN-<>igdK{h;lI9qnGPQ4XGCwiR zk(x5aer2F=w*y!Bfa5qv*0dyCB2yK5k597U8lRfu+O$Al3}W=a^8=TTl*#qC$yj*A zq?9xV--Q3}PEVb7cPc*v|4mDof`R=lX-TOmcTe&+*eBO_H!hsh#^3GWf$^U`$uV^r z4}rOjn>xjoVmIo6ZS}1WhbsD1)9iJLG}0QX(?j_-$h$QmCb>4@`r{CNfJUv0Q88B< zzm~?i`P}%uG~QV3nRr{uD0K{dp3hG}{hkn2TSDQ*OOsW38l_s_>Vu}W`Kk-r-U{Id zmC5~Ygeb>6l%C92(P%mtqIc6u9wM{1n*O4?P=b|unA~nRwREW(y?Z-#8D&M^X`eUK znK4S4qY@|bKh)et5yh11ShHRd-^=5NfnrfGN9c7tjP`G}drm0Ps=k8n|`n;m+i5lI) z&nc0c3Uthyz6^1wnk0+LDxo8J)-o#6DpVq6Se=w?T}PSLIk;9=QZ_0wtmQP=O6@9e zgA?O!$W^r%coQivEy=H_r14fB3N4kn%8HhUN!8AwiPp-fn2BnLeo3U;Nq4D=5}Ibc z2cwnTRziQZVm>vw73jTLS1vc6s+=G47+Z4{zv>I5->T7vdA>4wQmderRn)f1J%2ws zEX}D64W$}g@1vpLy_%|0c4}L#$99-ghPS^&E2C!kmzviqr!08M%9710Qe8#i%BI|3 z3^_ITJ!)Q!#u)5|TV17wL32*2rY(1`r*NNhE`?W6vnn?pbvWCDM?4m$M`_1ZBr2+; zqKqrlY;~QAoWBLNo3aH~c7^FZv;)0kQS)rJ7dOrh=ZXWWv}g~#nyFukp>!WTr+Lw1 zpN#Zem>!F7uhH&o#i0DOuJ_jHDYEXx9Ntrj^5+iaj^brskj+qXI!y1yciboUZ)&3V z)#y5_8bvKCXh%pfT>?nFK$-N*Iw`C}1$9uiiR*W%$f%eJixmU*`b>tLSE*Q;Q7X^j z#XiJ~jne8I-Vk4y9<531_H5qHhr;wbxV0u*c4kGG-kpmd$~!J-qTi&IsK|Tju$>A{X!G$4~jdpjI-WES>M%fX@8~&b){K~Z#mFZcWav7Gt#Yh zk6aG0pezT@ctHWWEXw2!scou!dhhnrH&bhUB#-u8hGJ}UpVF_=_J@Yio0&YXXYff{ zG@i`h!b%_zosJqEp9pk(K|1bjs$A5%7VWPZ?Joz~AC~sx_3cj^?e7KJ-O@f&;r>>9 z|Dp_Dt&_@l$-<0cluDao2~^o!OB#dJ0eJ&&Q~hd9F}%N($w=o+6D-;qRavrshoJ%=q4^L%mRkQ&EGfC@8q&8OAzt0rutl6)^jSK?%PR~7H=zjFVk!27X3T7P9`DSn06(;CG9aQr)7NTR z;+p&n)P0>N5b<%S0NBzQ-2GIDzO=r>{rLicT^Rwpt_A8`sy?~`XwJfb)K8yNu3hpQ6>SI>x&^MYFc}IxLptV9yIdT@)f^| za|q@@zyc(tPW}ZpqA{0XFc07L$ymr z#L^bcWizYN-Yd^K%xYy)$x6B#+Y0hOgGu|>p?uKh&R~H2XQ;kVV>ocn;KiQ{L_s_A zRJ6_fC~xm-ffZmvAk3XPj) zFs@k}3cy0?jTyY3+d}miE^U!{fE@Sb(yKFg$mh9@y!lrDFk2qki?-SFxD#ZIvOI@J z`HGBD=H>9))bZrd^M2|1Ik! z@+>Fl-8!QEl)&&xfBR9H+e184igu~&mnht-3b&}PAHRoDv8s4R8^)~<&R|UPhW~Zf z%IkjNA7r5n@~QuI7ti1mchujuQrZsax>;MQI=@cci)pljy4xsObs1lyga+jD(2L~F z{#Jo!J(Mel^dnvGsZF?N(fcdh?Z}1gk;`FavVlJ-dCKOY=BCy0HBshC z@dM&jp_)X^JnF78a8Jj^m&v-kBkQt82jQdc6?B*9Im(R2D${o1@pkIq18IVbI+$pJ zNB(tOea+x&z=>ldwp2=nSe@mBzE6ZiTeoJS7FO#SEbOYP&$Q4}r zon~P5f;@hM1v-F<*XfMhw?x;6@)~}U%Z-oeAk$Ql=kkG29-uT6bGuF-wY1R?)pZ($dsRsi z_8*uPk9;7X-{Pwfe)I6+BzuybvTV!rOFED*9~5v$h_X>ZSTTzN-)DJgsb->&^KAGP z)cR$pDo&ZJ&Qf@-nn=?f)JA#YR0pcd^E_*Jr&*4r%~td1c(!sRsqXKXDcrL^4pX{= z!SmU$>bQyh+~%l3FUG6bAxV}RWwB%9Vv=Z@gZ}JAzkeFsLqQWql$3al=QOESmpqk; z`K-?7@{zFU0qIP2ONEiz*o3L9POoKgbH;5R6cE3w;RUWu~2BDw?9K)RTAi#Vqc)r3t7kt$<_`KOni`$@ggb zIL$*XOyo$wzZ2v2Zk-tzA*j@>q~<==-W_G0IJ}SEEfS0nMtdrcannH!Yy(P<=kfXZ zNVC$oDr(2TzA{g^wrWlJ)~xN{2Vz!n36|lTJf6fnYyc0sUrkbF=)4;T0M+X>153Rw zV75ck|Dw?_e(jItuH_og7PaS!xAJ&_p40RxnvO5~Y6GE4&_i0Wfc+~4?%zQeL9%{< zF4jxZAcl=;WI%ilqKke>`rjizJEo-=v=NhROekZIfKbM0wrf{d)TWC@O?-y3O)Mpv z?y#7_&r(b47;;pl4Vh(DdvDxQ*1@0cp+bypD>Sc;{1=b@GjW zr7YL7wY?9-+SNrX52=o$D9!Wtf+V|YpIAbt@~W39%a{6Pk+)KohuT>C_FFd;8&)A) z`-@EsuDwFw`^6?!dLrNE0h?r+R2~&GS#^CjK5ig2cUe#strk)X#-S(W*1{(G4Gd`~ zvlt=Ng)u9I;{Aqn5?V~n62LZDr%)z9tC^{{iYRj2OIGj6>e`&&(?`d%KDC;DoU!sF`a|&ny3eA-gb-wnW-KX~N*WB#Qy| za~4#lsAAREO-r&>!g9(qUC+nH9A}O)#{k|xlY{ZFraY=db=s`@sC&2%hKz7qjFWUU zkI(cQnyRHlAGOBO9@eifkY@=wfjmv1;}LEkZ#;C1oVi!f6NSw(@*6mEDYg3{;ziSh z1nLld9~I>*TjjxVU(gpJ))=}IG#fIw9r_DZTvB@fMy{s9e22OGJ{4IyT*?@3oy=mf z2C)yNPvr!@7KSx<3{+*<&S7d7>Yo;g^Yt*+QoNR9^-yaEO}9~N(>;}k)KE2XGqqIJ zYT(U7zA&qy^6wCOLGxWjm3hU7@Df;22zDxR6}9p(fs0ffutQzU*+A8t1bCH`Zk0`$>ljBmVHVFyT3R`s2iB59$H~}9Xc$`VPH5%`sL8O3q*UQu>Cl)bx*BW%5isVvjO|PVSFaX4TO?6iad@m*MMP9ZQm^2BYG0p|wT2qZ}32 zu2Hdc7xQ5Yz`Ua4hnm3^uE^ukCoIm;0o@BJ+Ex=4Gf8y-K+dLSHs${{=`A5^Ys2&rTY*zQrfZuFu$X}i zcYZ3Q?PGj|o=Z!GYs^%&o@Ga{i0vx*@brzd=$A&)UmQ>KMywa&--=hdUG#o?%C*!Y zp%5$O6NO$SbX#4qVFbz}#O3u6M-7%PKF*>>sdg;8Ka`wCQ{p9XYkwazI^jO`si;It*qY++Q4ztBm3 z#;C}<$7qX>?YA?1Kzt(T29PMT8fdPi=3a6pFoT!v{h5Bs@nzW^SM=#W5XaL3?1zU& zQ)?>~>$QvfP1x_&yR~^2xkY@*Iqvh%24<>-T0Qh*v_o2Kn|k2C`m-^+=n%UEYH7eYXaDs-zI?>X%&=x!@@ ztfgU4f>Hlk(9qgtSmf*WyW4e4@p)|b?!Y{lB*p4v7>qDQ7lySWrT1O#yx@|JlC#e zm04Vjil265x858_EFJKyhjji8T${GRev82`o-lA?;Ft`pg`vR!0{9W84Lt%( zo6-61RJKQ;^RGI2KWg>}wrc#~XxD8OR4Ju0&$3ze zX1f>*Zt^-9UTgDMN+{9{)cSdzVm|v(J_TrePtM7DO`oZ0DP_Y@vt71&1GsZ4htf-@ zR!nak%yD;ACWqOw+3C)VA5n1D6?bik`KdeTHkFXVMu-f4OdI7LjeD}N^J*g%fV-LW zXBzKu4casyc9hcqrX>P$XG3`KS@}#A&oao3R;EVX)=0O*((OU%c9^^Uox7E%#j5>r zc52S*xM!ttdkC{0HWi(*9|nMV8naF_VT-|BuA@iteRgI3FmcTLB_$7yN&GNzRLMhs z*Fyn$3$R^!$kTr;ayQ`NM*#2lVRJTj4QmEiSRbaD2L5^A#K1p&vI18FC*i6OUE;Vt zc~U&Js4_8fY?W{ot;+Y%>mdmcNEgNR9yWwYqqMb5h@o?N9{QTwXj(Ky`r>;34J?}D zuZ)pfTAhEVt=Xn@s(?JXA=~7Pq20LpTX787SqSIM)lxzg!5O|0hbuV@ ztI`?X{vo|frS}J+P-xJ1q0tn<-90k=*Wuu3c4hzxcA^*ug-26{<2rjbeUVpPP8TLj zA_ur{lO0U%qt|4q)u4-moMxtut&7cZWgwR~yXo=-G~4Yl*V9Co*=&a@8OP^(Ct!9! zeDCK0M7qzm�f^vL+QMKsqtH!kk}~GL8;M0 z%I}DwR=&?ZB3HhOaDgW@m&DAB?TuB+SP(y+EY+SVX1lxht>!dnF>75ZFxKD(TQ8?G zxo_#Xp_>N8U!C34nMD)4lS%=8%qWae5ggcf`WDxind{;?-=8tlwJavmEQ#DCx6 zY{Y6c!tud2Z+^ZoV?RLhTifAa$79 zrV-I4_5VGJtJU=-z9_}$qxi;T45qgLGENgV;P4;2^=Wt8k|s}u#o$Nw|KGjkKe@}N zI%Ah#*EO)z3B^mPMRZ|d;S!owfu911cojJ9BIHB+X*T|!1WKQ*PTV+Xg5IaYD(Lh7 zLeFaniL?)TP`}0KeZR4)M0nEoHP(lf2!X#qPho0rW1+tNUSr{|%np=Agw(FO6(7Sa)r|$gvWAz&~no z-;d=-fbI`PIspi@rA$f^RU-~puuIJk@Xw%bfXv;R=2^O*wY(R5=`Wro5Q|ger|7*S zQMC_RpKg)!)Vf`&Z2lhLpJ@6duWC1H*`6>_t=+3%9!WDb7j#wk=3DpjT`)7)_LM=Z zTc<$Dnpy9`Zfwls9b3SR=vyeB$rD@7Ccj+uY93VgX#YUdSBXBaSik}>HBXoR9~%8% z*7R4T{UuRM!RMuqCfb+G#N=et($m6j?v^{ggf826xID@|S;eR%%fe#?g}8q*?&-ydZG?@l zSPB|$b3%d?S*jw|t>x!&fx**tr;o7y_|iOSsz>I>0sqPq+t zI39inGuh$Lm6pKXcDsvS4e_SH9^8e-LS}Q&)jl}FU@YUUKF2Xmr)2Ar``%u%@SS@p z-IL^Gb>&#m480PfKPoG?S+pG!0!X+(G5ziK8)UKHp)oh)oICBQcTbMa0!SJb;zo{X z{z7n1|Ka&J9GFH9Xg^J|1E=R_#jX_}YWEm$GJdZ&7s9ZU0q2PX&M z!O%2VRbMYPD^^3)kOA267jsQ(<1z~HjMsDq0AFr&8JD)|rrE0w7QikB=CP-(NR_K$ zcC?=qBk?ja;rgSTXeaJ{_lm$B1)}C~Fy>$0+`!={;CRNz@%85VKuzu!7&@rCsFUh4 z!0xn@(<(o$j2|hP;nT&HW2EISAH5U8=jmupZ4TCCn|Qk%YHpZzRy%mnikkDW*XeVI z4^rH7EqMw1ccK4@rv3@^KQ_5`QGZ)h33Y%^#Q1o+NV!g{XMtp9#n5OQT^3D=P^DN^ zjNY%Eg6_16vTc*pHB3-jdEE03%~yNfBkj}$7Ne3VJMa><0$0mI%er2RF@v|Vd?(g9 z3va>W?{5dYmk)gTEn_pGn0H*S_HU*`(_C~Cg6MvFsZ}xcs#-#^6<}kiM=^NWVbJ+U z6qr>kPH=*{j;2`20NB| z`yn>o=l7@ZVjRs;5qeBy0UgV-s$?^q<*sj+3!kCV93ggZ!+2b{68G==Rev*oWj`M8 z%`oe+!lDA2YCY!k|7ZCULSdYpEl3{N)@IWLa4$P3Py$<^{tKaACDrGWTkX8N+Coc%q#QRTYIRQCuJkc_LI$!ELg8kt0<7 z|1rbMROIdWORt0(udxI+OPJCs^8vO?E(E~3r$Ld6b-X`=S^M?bg4n+fgC7oxbB*Ey zO^o7k!>1132-5nRQG}188R8?nHRNv<73EdYGa8pc>Z+h`!ey(f!g~uT(!-8Cb>eF` zPlt0TN?*ZCC6snwq^}fqY`sEM?BgCccxPD;v5`X$@F(PxsMOR4v1`w@*W zx8R0?3v zPM{@vZHnp&H=N;Qg5-FcDx4yco^^c;sFfr(v8-VP^Mq2Uxb@IO$3m zoIk`4eBD%2~eD%W?#Xw-j`!ff@u9c(4+JW`j! zYQ2X#Kx;QinP{*wsjjm}tAi>saYC;_F*T4bqT_ofnv+(-KhH#`F?BZCOsmE_)jF<Z@oZ)K&`jgtb2Sn!M-Cc> zJ?e+e4tMF7T=1#gva*z{uo<##-N~k|i`cvWix7x%89RH&UZoDj#MzT!lX4sxU^btj zv5viq_QNmGgcqehS?Ltm;%1&BAWW+*D-Rx|isq^UYLnh}K6J zv_cyI4{I;g>BaP_qvC3H>%x-Q#CP4!&($zD-Vvth>mA3B8w)rRi0R#QINHL})fP-w zD6N?4I`{oK{!hjI@BV?n2(bQ_9txjglUi}!pNCQAzx;0;U5FmD@MmVA7TbJ)ZAPI~ zuIXcVx0l1uGnC$+>TnLHR>}p!g+5!rc7)PPLZskX+tgPGM}*Z)tl%>t#LbdivHO|$Kd~f5#OBZ{a4-@Gn**m zfVgTeI91$6G)!d0@r|R^N?MgyTuIwC97R^ioAeH#it|M~ z{?Q*x74L?pSjv>C0S#$mc{?b6?0-#N`g%U-;i(YKKC)1m%Su!q$6)NN2uba$ph~9O z#ihBOoYX1I$3!rJnF5*uq%sDI?+6vMNyThA4cg#Q3F|>K`f`s{h_^IeRlQ{yqAt-d zY4_4!?j}nn2fj{KRy_=xQH;PrJ>=YP*o@y{wuW}1f1lW2 z*o%Pw{BN~d|CFV=k>Mhs1!<%!4CY~&WjX4?7A@DrI1T0BpxuLj!7JhsidDfzhfl@* z=fN;GQXdn?)Q7@~{pNtxh`~a{8@BPi9G|*{RaBqlvi4z_&bNLf9#6{<9Q9!?`y4#3 zgZ^yJRW5t^#nimw(&3|3%wI!kNGR0bE$JiA^Ij8qtA9vMDQ&G?wt$|P;i3bfCj6S{FbtiK%&^f1p*RwQ z$H#-|nGDqQ#0)FF8>&1hHI;0~VfQxbo&al56?DDS$JamJ0@V1Ggi73lTSxVZA4UJn z1}_w*Ol3pd^i{T%?nTfq*mV@*Td582K1>t!J zMX3rnVO5OjHDsH&tZ!J39wL6uzFb)+(%IIHStQWbrYQ%uXC#sM9T zpwS65GuKCO8LT}9o}2z!d+G4a{TB^Su_PXXaW$P5I4~D}!J&r$+@O0Dh4p(ORbcn5bSwmlrw8+^=_9^ah6|{PivdHc^Q-a3 zQ#hgx@t<&A?fMbCtcFrI(4Sp6Iva6>Uc*t^tW|A7_qW8bG=*Ii2VkK4(Yi4Y{-;C{ zj3TG7qAx-;CUe;vrKVtribqwg7=z%A(i565UW1p{px!H? z)LM$*F(G>J>^=#B!hqZ`O+z)07)AClORui6j4WpY;g|saTt6?ifJo?cy0S@mN?5cKZJLp>*WMrg-3mgIGaVP2998kZntOl8`NuElx6fn zHe_uV_vqJjpdOe4h2m|~RrKkyZ*Ij1<+AE%^#MunLcA)G4%`1g!uE@0MFQ7GeKg1V8HoeF2=O8Y zJa)(&GdYXl!jfbk3U|~|3o!2e`Ai$mhQL>Jalg#lC>?C3YeFJ;5c*2I=Vr4ZUd`QA ziq(&biC4@(`0bUzd_zEYzpp_GeN#JwdFC-)A4 zQBz24;H!%>lLs0q=OSnnt(AIATVzD2r7r##u(8p-37&4>TO20`Pm^LpS8g zb;RhGwxyZmqkXx>v>wmd2mWw9EM@z0P4ukpr9HXT__gBKhTmxXI{fu)FW!=G1VMi< z;x>^>yg9zttVw8eO962SgEht}_e)g5y$C`@V1NV(;C9 zx}d+*)ywMGLHhj9alJN`ak$VE>HOMNJm(co%1ZHGgJco?*U|Alx2;mBDk4va>4 z@;T{0OG0L6hJitQFxShh-Z7z2C3b^phGw-Pw3!` zp9AZ;8_L$_^19&HD!+^JJuFQ{iZtb5B-DwiVG^UMqvX4E_`u=T6dG1MK6$>I-T+&^ zfqCkZqMJ(+>FQ!isZfK|KyZ&Maxi65)yMY7B|;GKs;=d5a_&NXJ#>qS`bN`~VwzY> z&x1?f!(4jzY8q{#?$JE)`5cF5Tis&YRi&Wi6raTMP6J#FF3T4tnn$7 zR!!Fd1vEUPf8i$T$zt`Ws^ewUyx6@kCWhs!qat6e1kQ}7k7odgmO{Mx7zAS}#H)`% zx0XVzLK*u2q>RJ=3whO0vvWJG$K^Y?#E3p| zf8VJgsSQdmh+r|Dv!;B2b}0K+pzB9`*J?Byu5s^c(TRTf;bHMen$G4hD1a~^Jjpab z`%o0`7ccsg9Q3f?l3ZUgodZt`p%Xlr8;xI29DL6bXt6FL($~VYOlJ}49Tv6*`RE?- zI0#*a+zUG`)Frl_yKq&DE5r*ntA>jXLRt#xFf0rY1K6t}ZxurUSZ;v?j^yJQ^HaGgIN!HF`p^jM?C91=VI@kcmw}((3DI1i(wj{@hhaZ>7F-8i!C@^A z3%9rh`V7Qh_Ks9nx|xo*aM2xA)B~AayxB9TV+E8qUcF!YFJ@HI*n~>T^!A#A+c#Fy zO{`UTGK;0ugH2$$plh(d*;Yu!`8EX5nV7b6I)jb*Y%DzhKaYWiaL$fF7b=h2iHBCY z;kxC~dvwHDt3_-_KBj?iN?{E==a%9Pck9gQ9m=apPqMtY6ESeB7Nsw=%v_nOlFRry z$ubkQI4Z@|sY37F0e(L;(q}(2YJ9AN`9~0fm#|r-SqBeM5Z+KxuBhS!?N7^_>X)~*r;p=RXj!FF)FtYoc%-|E+{g<61Jy_y^<=dVrm##DL8YNM zWOS2*{>1z6outP2h&Y!E{l%(Q-BgN|z=W>#QAG&Lg!_!7A5HXSnr2Js9UBV^Aw_s7 zg@@dm#TpW%Ey7e&6SxJ=Nqa&NHx{l;o zX}d<5nY=H|TNbKQVge(qM0Fp8N4y21qiQPn|B?3s1V;M?X-fZ)Pv zumvpL5ZpE3RGlS5t`CE^D~kKFSslDDOuvOo>$7bS%WZ_e~a?0kweChS~&dkx^r4;N_u_P!5>hO z*N=dT>?7^cEBZhi>W#-ri;d$R2`Kt5oGntue~I)ExRwkbcye+fYOWKwov-OH>KdYe98hiz?2AbqGhF;1)oS}&MybQI(e<2> zH{{z8eK^0%Y_K>QJrJ&{HltK6u3#&}fkMpY=@v8FQI4eZfqg6-?_N^_WWJtKJQi;F zhXjGWfXEpXcFLx`fDo@V8_%dJUDji5IERm~&i8e@-8L{$wNtTruTF6>7bjj!=Vq{< zIJy=KlK)t=BQWst@^8=K++v(s3QsLm?Mo1miHu8YHP-~R7Rn7sC(sKS)o^yP!pBiv zB&pbH70{JARc3g922cG<1qyMj<{mg_EKWhDlsl~q1q;M&_FDz8;Q1D0$b5^!IY%0F zF7i4>@F$t>;pXoQ?}gp$GoE#u>B_nfsS+6v;8^1nta~P$CHU?Rx)X+pMDxWoNJVtJ z-7%=&pt29q>*aLDa@)g^qHQ9(ZwY14-X^m6 zZh?ggNO~^;F(aoET3(sK$MgWV97`lGL~+L;S&1{UhRB)J!+_7l>QZz+DtNyTW*%O| z&tc}o-OD6x#Lln;&#hCJp!z{c&G$)D{Tj`p%tPpcijN>XF3z+$3+Z%IPf1+je$e&3 zsB3Q9RKnLE(Np;qbwiLwf(2hh`E3p1Q|prydOJX+hT1@It>!|StE!V1TIi+<8c=Il z2+o4%vBQ9}9g>MJ=r$RGk;)DwrztQVFRm|xDd0Cv0jOu{PM)oU=^nC<*Qa2jbi9lX z_i>moeZ}0eT9l52nS;hT0GL(+z1q-febXSZt4p%hht+tn0#OQ;hJZ*cspkiFoOOh+o1t+`DV{3_07CfLj9 zII=o|Ws|6;b28sq661bO4xn3T`aflj+|W@n8Ul~O(~q#CKh zE{D1D2*zB6F%R*W=rTq;L*Hw{u6QlQ?7Tcn9MKFw+=h!kDdq0>L;fy3KT^OdvX~);7Yt@~vS=<(Tnsf3ZEI?-$bi^i5A1jTp z;?f37f{nfGefeHonrJbqfXC>v3VI0^&(6HahY)|eIxL#r%XUS@FD#5h1`-?6l3J0X z)b@25s*ADtPr*zBO|Ni)Z?k2frly?N1t~%KpdIFvt27ch*Ak$Cxf%`IgnQf(296OW zpV)eKgjwg%0U6JOL|UjvidJT$=F9pmU`n~m0IH(w3WTil2`Rx6sF<1(e%ifA352R| z!T|b3@Co884)@3=n{8F-F;4Pz5;=n5er#|dc)P5q<`H95#3)WfE(F5^XzrhwTaNl);g){` zyWH3}x!z?tz)(X?66B}+qv^(iG%DbKe@o_WKM#HLFEG(;Bem=Uy&rmz*1{ah0H>LA)(s{RZe*Qw`2iPNrTaTfycX01@(z{A} zKPA2Q{|TwV{QuPteWP^VC!IG)=Su1P;tz(#oV`5oN$I;x`hFyRm;cFo-njgR2a}4s z-*$%@3BdJ=*6&?*5bEj9r1CIk*`JoIWWe3v>0ilCSi49KzHRVlXgDNcm5(t8Bux3J z58;{9XP3d~ZxLn9i#n4!3(d>tBfce%G1Lo?A;8@Gj3iS#5NgZ?Ua}n;vqL*&*cV~k zHiqQ{AuodnqC*jDwD{D0CJIZiHaGK`{m97WxlCuP=SLz9FJs~N0-Iv4cdvAQTjQ8T zzWHgx7|pT_7auf=#kzj4t`+iB_@>81U-oW@ba_EoaX4R7mk|PJ5IY-5W84$-AkmvaZLYDn+)~6w0|Tc7cgS z;&?FuY-6m8(v}L6`*){hA=C8NVoGGo<&x8Y#P%%lpc_`I?ivkKctq<0Tw(1cdNge z=@Dc=ISgadBY7q>U0^lS%b-kLaAQ739Q!%FxIYR7xlF*%>Ap?sa4E)oB$v6u3Y`@& z9FED;+|NXewzN~$3i=?W%I(fi#0LN#?Er7Q6catt!DJbv4k8O1tS;r8kL>ki;R-f% zWFBO)a`C#nXVg8_k(Grlqv65e**)f#{`9~E6MfJg9{dyVRRMaxy=%2vi=p=OJrAVF zIG=KaWPI;Ys40>tiZ42_LX2o(3Ln%j5Pcy9W?W8pFpLQINfQTVpy|F8e#at^Y3;*A zO7S4!%)^{90#?SMYR%A;gXE z4%oWicI9mo%iYRZ8Tfx^;KHc+-9@Cbml62iLgg%irDQWT^KllFX3>QF<-kl6_@uwo z-T;M{C-73d)ZR+#a;p}P^^NH@=&Au0$W-V#cQU{J5;!sveKJXOgx^N6GNBMbO}#A^ zvoaS5e^WP!q5r!GPBac{9-qC_lZ2goAW}tSgF70gI^&}P{9S>VN)@wfHMQ}o$ha8$ zDf#y+Rk%~d98i%bRtD9c@z=)eeD(wP>!CYa}&9599jGqtvZ9E(WGG zhf41qyJ@3&WX3LKky!JxNer#;wqw5iV4kNuYJZV@@c>HQA?Dr|mAJD8cf#DnqQUx! ze0Vmr!>gm?PfdkCm~gkUp$FC;*B1h}+?!vEFq2wZiQn66c^0%-v%%C`Or~O_uU4L= zqaY7VipNu)xAT#CqZ8zT0V|hMd(Uka%TT1b7&U<0$tHL2cxc4wpB+t5A;;JO>B+m2 zaSY$E@ryjznbqpYY&^n??7BMETZmkQ?8V@*v)|M|ISHF`?qUi5dm975oX*Vuc0itI z6M}nCT`kEm-s~iA88Zf{C#b)V1(=U*3?fTA1A3*zLhZwNAm73*4R1e=9`qFb5K4gf;$DMBtb|fJnjX$~AsWa&Lmgt*q6oNtPC@n|6Zm*# zt3k-pt?@6Kai~l3T~r$a3d(g8;~%!WOTOgGm|N((Jnxr@Bk3u-2^vFsrxVl6^)?3A zeVzGSuD7wy;qA`V_&f#>tW&i&ZbZ|U<9Rn%T)?>%;gw|QzVEpJNs!_YpndTKN6!UT1fi?Juuc^_(~vXt+YnzFT6ZLgtJls8bPK31XQT(&884{!-OivD zk$Y&F-AW&IGU3-0Qvz=kY}7X}eg0j<(4q@qmMwD|+X+@bzBi4v!dt8=eh1z*Xx2-T zc-9|x*7LB9P@{_YxhzQ-iZ?qmzKpId<2@MJX8?T@Qh`sJP`hH9;Num3BERZI#L8oC zg|hc`y!QyzM4-c z_rnG#GqX3J*;yg^d?&;S!1{&=;o-%zMbGn*K=y;&UBzSL0Uo=O@p`!DO*_M4aVH>Z z#;xz$<&lqf0udCh=YU<%&XNuobScNt%)$LBLq}lKk?$$ZRWk%hD}ja7P$yzM=M?hs zj9D~PFc=mU7H?!4@FPEFdp4wS3Y0fGV0@I;3bKDgz<+HD?|K=xd`?{|;5&y5n}eo} zLARY#8039zG5;7tJ-Hp;y&!CDvF<7v&p~)!X)K#;W=TlK{0LVmjj4*VAV;*k#LRn-=|0553Kx^9{xZ(iA!$=rtsI$eDGAoP4ajc4_23-<9!fhPnelg5wU z*aDM@A0W#)1&dk63cBagcro8;fgz;p)6(?-clEEkjn|!Fe6e(`ZGjoE<7cv{N*_UN z8wNPdwKN^!-851~^R~PULn0csw}2m;Vj0z!2JViP+Tdweg}w%i8Or$P4^)uId7aPQ z56Z`d9*0jdH0l@q@d@G#uCPv6L}HOW$y07uoeZ{h~Mq0CijSe^b#(&rGmY~1qOay27a~`zac^)ftE{z zAAM~)!@^6g@N$VG&78_Og;}EG(-{x#;A-l~3e-oYixsA_6{5x#F-0nv&J<}*YiM0= zgq?DLiEcEJ3DjT;LljcA#Ke_B*T?(6dOBkaycE#a%VHo|Kb@)7TRePO-Ce4Ku?Cy6 z(0RE`^wU-#LuYO=kBE?XK=zqb*)A$9y;E)z{S}2{qlmM5`u7Nw@d7>)nPSfQH@c*~c^YVjSW z7xO)Q>opY;8iU2EoXSwXp$&_=l~ehwZ)}6d&BM1+PRCgwpKx%?X>cWI!K-7Pp3rLH z|6g2(@C9K&r!oXT&>Coq^~;5v#h24bcA@+7ttw-{kk^yl!!gF&(|CyT);z?9=?Kqh z1&Qa9c0knCT15)mciO2wL+bF6fSD6$b~*&!mp{h$Se$8zvABCjCT~kQe_1Gi?-l`* z@Btg=Kvvp^hl~aphlLt_Hy9t8*~dVR;(GaL&RY!PG)d6RR>MgBuu`5?s;?ZgL!xa) z7(D}F!vTKGJ`&EXQw$u6Y=nFSHYsD=S2Os9v;!$@@-IRgK z52o@>tJ(l+29L0qdtN!R4sZEEhzBv;SY+9@D=KK|G_2UE*3smd7Hy}Ktr6!q%`uQ_ zTbtkXD zHqiZ(`L;7Nhp?vHv@7=062Oa#L1G_B_OtoEI^3{T#`?AmcvBph8&sFN!a5uvw9J&v z-rff94Cqjo$UkZ)c03-_-re@KwvI|o=DUOp6tra2fnDy7FdXy9m=Wgv zWp(gQ0sgo_pM)>$nD{Fc{I7@k7{(?W(kfVJ-`&DP>(gws51&9-pH|PrxDZQYD0aVX z32Qta^lU0G!W%7lZ5R#v589Kde9#WH#M-!N8mq7cs=rPZWLVu2;<+2~R;=rVBMma- z_lVTHM}muIX7ycUbp`vyd2qm|98EKgG$YSuNegKDp)y|C!d3!jv9xHZEk*4S)ULvB zXXU7=LtswCqGWa}!!u1lIwoA0pf~rqHz6Rv>!yKL#caq@1T^9?SR;Yi?@}3s-G_{w z>>Up}mCF7KwfqKUZWV)?dsDgpHnxQ=-=#P=&Puf!WU9*qxE*z&t4uV+wOBy=F%j4g zv-L|rdqSnM;Hxo1`!n6Fk<8vJ!r&)#k&W*3P>hZKYNEfG2uVp}tgzix%$T(K5pz-{ zb+cJ!nd#D68Fa4L4!&dcJz^Drv<@FtKN%>Pet*+ zTypwzS4Ka}oA67XkZk`?gyGXtB|}ht$H;uKF^n_cf{|X4&-lMk)C^yS>i3A+XuhZ* zz7N$Ol%h+D?l8kb{ZCQW7liRgP+*9aoaXn3amt_e$OeR}!}9tw`GZ<~fX`*g0rtUB zW!pBCU&QxCFg=u6m9#^`N9N`7&08NFhL-a8A%D(U)l~P87Ak z)*>cj~ZrPU1x4hnww}fxmkj%Hd$=$Y#f99EMHq9~kR25%;v66PdxyP8qdYQ!0=7A9(ckqZGG!IPTV@#rmC-DRjnQ_be za?85&(z=YUXZRL;*U|r!t#Zp7%>om9 zL2h}gSzuyc;}&=0TTaR?tLks5ky}>R-||Ezf(x7CDJNz?#+dNTqQzL!H^d~jj$u{B z`l$k_&SUQ5bQg4nh{`}0#x3gr!1y|vTvs;18Z#Yw*~rcEY7^s3ZxZmX5T7%H4(&3) zZF_s*=We&VCa7$<5=N@^>KVGsLy3-q*rYYE?L)$YBc5nYzH9F@Dw~O7VAcfT-{y8e zGy)VH!}$9OG^JuzOq^QzRQv#t?iZM{^n3js z&WI>-usw6ziByy0@U2IuO_SwevyiQweL?uWcSf+8v7-l>IlQWLGwUTkos8rUCSuTV+2vrryDmj)>y-Y!f^;iNYPoc3cTl?22rM zojwdJE*OAB8p%}4-?u5uW2eNf^i7t@IU;3%o#r-ip%6{8VQpQMonWWW!iw!wm~FG; zi$2Bp;04>-ARgDjzq^a%1w@#tR}B?w@^`|c&l3l(%iD|Yg}L-XH|yR$6!rr?Fuk;z zFB`0Gn?@Xv2&tFTg?l{<-w1rvfkp#X)Q5B#Ba3tCjC`sUXGeZA4{>LVqG}D^WogrZ zfclZa!oLs>EGZxC*__VU$M7K98qUrz*n@Otnm2?i7rv`X^pg1M;KPJbFt&9UW81f) zC!f#LRE7FqI`E^U3T`bJcnr8J<|PiK3!to**tmUol59wvCgCUVO7hrme?$1m?{II1 zA?%l(*6QtTjm>aB@}-}j3iJGBPqk@3`B|Sf9vIeElLUY65If3d9VRi3um7?C=-TQD zx0_0#fTh;3L)+>I-wI9lBKH)8RLb(z?R}zdSDJi8$5n^OD&^?GnK1^9+5!{Al*$4N zvVE2nz&a7`T}A0gSZ5T=p!AcTj>V(q`g;}(#dk$2OOba~a=oZ-w=Z(L)yz5cVMuk? zD683i@w;6|@(<#Zy&R$N-Y5jtk)xW^f$QBm-hD^U!7&B5^dCs~Xw|N3VMs1g-Qha0 z{4167)u-+f8jl=&%CQDVYn%zb8AEOA*6{`9VEF28Nv^wv@xwlG@9|1zT1W-rQ1`IL z9@#^cl^oj?w}HY=OEe3bv7m?zhb_VlqtYD_PTUOiXOJPrk`@JM$Yo`3SKV za;TiBE5UIr)Eqw5feHNyFGIhh8rnmheWg+RS*|Fv&HzF1El1^+ z%{mCWK=%#_WZwM;LpOlx2cG-3aZ?N9ix7RUYI?>he^xE_5F;Cf8c5Q3YSIC3Ob z;u{I#n8T45i1|>C3H^5S*Wc2RB0gac;Br4cfsxK12WtaNt;e)PI*tgM$_eBVcL)m_ z;(*{Tb1tNIg84P`ybCia$uU7CFS5`$+e`fhO&hf7sTg~~oL9*XnrFGW&mudGtqnA| z3+AX^lvH1qj_*T;WkK{~F>pR42F@A*orkpOt3fxB2v7});k*u*l?U#S;FdW#vb_(= z_edGhqS2b(4oO4$3!aMnV_gQ|-8y#cozteKrDO(nG$@P9d0YDX!ms&jpea-N8{T-8 z-;cDQdMZF8B5t!HTY;) z4ZiU0=sL2>ybFGFqhTzB@1A)L)afOQhL<8x6LHiX0T)$*4%TV4y^|-_%#BZ-J$=qJ zJ*gv7$`sC5m3QiwUqB<+%8G;^q5iL@JS`uz3#E4ijPApSyI`$A9!ZI}K*SD;P`IOJ zzZ{0O(Bcaku!OR|N%kKKJi#A*jXD3b^D$GB94YtQTVx5q!vZkaFLeJya!5f(ZyhD0XdH zH2&F#q)=jZT;@!lTMlX$t>N?O2(Imc?;PP9knpQA?A>cgx#j|dX63SoJ)4kE4IDHo z?w81yfATjyUqo@Xn3v}w;{Y}50?5P{K5g&VY+rGx~vip+wTz#gzxs%&BS3u}~#b9T%8YMAGjYG`3qmEX8j$n3qtRBWD4h90g&xQFX~2I|ytE%wQSC zs_@oQT)@eNz2|{{^*(KAiU(PsI3cekW@J%+7~s$dD-dpPPto9ZH|Hbf4vwZ^bX;l- z!f>3HA?2GOax`oX#jRDnQ*;%igp1o@Rnu#B2_WML2(0CpP!jUbW# zL))7GR#{wq;}3aqZ$?Fpf_t^8+Qn8vz@;t;B!mDVELQ>;+%8$}O)lKzUUTnFh*m{{ z;8HcXR;>`X*7}0RwKa&MRf{{VEiQFI#eFFS>g!Vbe!t(DXP!GZB=-M(|F`JP^E`8A z&di)SbLPyMGc(&9=o35>g`?5?j&@(XPQGwTTfNpQlDklxeDI&uDpKi%$CUiL1FX`u8>=EYsi z8C9!{>)EPR?njv=a_Cem=z9QLxtU8AyTYj_y2<@+zgs`mEyC4b*vPs`HmvR=Cu4;Z`D&XW%?BNBD{YA!`_8>exrcn6dpyfTj}ZW3ECUjy0z2xt6Whz<6?D6A2TLS zWP<$za<*y3SIkwNHC*Qu&3mI*|3lQmNl~6@E#+)=3r9>^v{XehSuXM1RuHGf@I=GNL30JFXRa$oP3ixvX_*e(o z;+b9Y7T|o$O|q2sNC(lrunQI{v`yn~7>^H|lZII%BM83~gqQ4+cQSiFC-j%ewEMbU zP=YIYagh7gS=-#DICuniAC=+-ZBK4SsRwX*l|d|WyV z8-aG3exG*WGiC{QT_n3CV4F(g*uCBQaf(C~rxGl2ug^m!I1Jx?6&w3k=0&llz<)TU zV5*Cos}F<8{G17=1LtI^=NIHHh+>%#E(eqrVLosNeIF zVC87*Ip~ECfEI8yE1g`<#i-l84U| z8~7NAV|TB937~yp9KTDpVJ~&my>=Mt@F9*E!TGmaxFdV2dkc1CgF1_Fd1)z2|1a5c z{wo>SUez14uHj_UD*gK+o^f&Y5?%Vgdr46GKjBmb_e~tea1)#4&9jlyZ}O4Trn9Hw zoE9r5_aK(=m9u5{6x`{4MCQ_0xc?%b3nz!JL%=(7GRt-Od8gwLp?(}QxzgRGNX|1W z#a|v%jVCx2&Qa*UT_5%})Li6VkL$4C!x6C8<1)whgWJZ>QL((EM)K@3cv9@PQgy%) z6er_;9!%Gat8tfgO?AJ7^=dg{e-qq<{TR5>dpLUK4>3sIg*~X~+9=5*;@1AEc*n!Q ze~j94vARojVx5BpE62hMOy4-$PK=b_1Zi{nHT)wtI3OLuuM+F_y9~$I4dUkBU4YU* zfkQ%hZsUk6xQt&95rFNLIII8-_nUlvyd?X8euJ}a`p*WH+>Lna!?6S#^H;0256(DE z9f#hgw!k343%%fdRmSBKI86+v-*?x`C%F4Yae?n@_kNJ%8C3HA;3j!~5?G?H#7!K> z;E*z|8WrR3Xmvh{_YW!TWAMx8Z8>fYZsjO;Ye0@;qHayUnou*PY+N;pwnG*lE)8;O zvt8a{xLJ+lSaU;W{{Uq#!|oJtS7J51gRaKmfl;j5sFllp-tF$Y9&0 zEjL_pA*TNv;jd?14w45_3|=og4Bm9H(Bpn|P7iWicOimr6>V?_x31yq=Rd2<>ludm z{}KC9=i2W-I)%fehdNis*g+=$_T=pUO6$r_y#C*3`=(s~W^=&SSD~W)!PP3-=lRiS z?DA7_2Jfa@Yh}*wJ{eyLD+jxqE=SPSe{e2Bw==;;b zqS-Z2eSY!aoi-H^wOb)B`hSu5cT4;iB>p`I@9cJu=#QKyrim{k{$&z>o5a8T;6XG; zX7I>)E7{|(lf4L^%3g#kWiP^K-`RP80ShG2`z89b68%1j{@hMm7o_}^Qr}l3`WlJ; zqC~&b+C<^2vhGBQ{iVddRAO(J*q41LyL-Wpy~>@ijYYp+_9T2FdlIgaJqe#4^lijk zEAgL^`1ecvX9wBCkR|3D690CI|FXpYO*VeEG$iJg(H{EezvFE9yrEA`zcRxc<#7ZT z8u;tH{$?5pyJe2L1o8x?K{fisd8uRa4j(y|&h+#MTm}h(*w=Gr-CC-u*RF9#EV=qu zx8)tRA8#tSQFa2nn^#)aj;~*eIsJpYZE7BMJDjRORr=R?Se;iD?x`G;ztkHGFej$o zz>t-qt=tU9d$|=kiY=LH`qkw>UN(D~Ixm)Y=spy4kBLhLuLY<<42X7Tc_fC;YVrX2u?R=uLq5SLv7C)XG=59q%q&?_Q@~68GL7*|Y_0I0)kJ z=WbZ>Zu5~P3)dr?ZTjYzPhDJTjL$1Yy8WDcoKU+baWI;TnK3m{9PA~I^S_XCed)RK zFPE=d_EM{x-90d)9PPq`3Hq4!D!Yds9&Y=lGkBVP2KB_5_N&9*Ek=u0&&R3)Cl&fu zqvc6eyo84@;LuR&S-9Q;J5ca_H?Etf!yINOa4}>kJdo1q@y#oi!UN*8GgZplAZ;ONITSlnjW;lPV($88tGDrPdb^Vk&-z_-Q z1DXXftbyxNTF~CIfX*PFF+V|j-2$TBx)0IzEZ`F3)_rjFD$(9BINDDHEf!aacE`DC zaXtMXL3@Rd_8)@wDna{+puGxcpKb-(NA{M|4!28-3k^`wTUzF*^hcLO5)PIg{k;~mEPHXNd|D=i7P$_A5n@_9o7B)+Kr0@$o6z!dr%w{{up3c?!X#+pIfwr%I!*hj>Qgc*t}A;EJe^0XHh@6BtXr& z!+mf6E$&Uta2=!92Z{d+?zY6&2OdUr$j5e9#W$B-aKbp4an{XLKLqrRxcFJE9Dxj> zA)E)+%R6?zm-N>Ew&<1Tty?on!OgDa1U3Cc-061Wya-NlDC&QB-0{=Z54gs3TdQFB z%iiF`qv6%Gk_%>M@ER%@#je>3wR?%&R^Go6kzW_z&AV~W6XteXR40O7LhG@(du!$Q z1M&3i-5dT(IMKJx%Si2mm|JyOFCHN|{e#!6?6To206tnshqdcRA{@2=lHiGj?A9-j zq&Le1w()xlnF#`*7f#r8ik^nPC9!TD$zy9UyXiL)hn@lSn4A>f~Qq&SfQE` z|Febc7~5ot|1!~@z8oP|tmSc<1-~8v0R#7MY~IIO9S~A9)X=X=(<=w?^ywpU(M9nJlko}rkCg}@y9szgZG%`C3lhs zN?V|hN3gP2OSF&Sjw>Im>Zc?0 zXlLCs(0lKNlNpWR!rZ0CC=|m%oJi0szIz`RQfZFDbwP|m|CJEtd8-gU6aP^A9#>AQ z_HG+ysQrGvKrBOWb3ltt!;Yb-SY%MT+t{X$Bv{&qi49+m3GN39DSNWU7^v)@aFl*I z!M<<{d`!{X#NYImLi7Up^44G_&F+a? z+?kl0wy7=ryaz`}OnIr<{oyt`w{H_?uQl|!*M|^4El&8K?8a5qS8=35rh3KpliiNA zetvRJdK1oH?Ml-c`hxg5-M=f1d}V56*}4d~Dt4rsR~#!`f&2MZs>&lyzp62&Xvyi$ zu)61q_tg~_FUP^N_rbMhJ5x3vxnjl1YUB=B5-^sX2V=K8V%rxF&XAKGjw0(rV-f$Z zhjyb{|2PzPxW~fe6vl+E3}^9UgZXx~f-a>uXGEf2$jc0Q1=tQt8jQd4$~%HSyBk)tDJZbv`9-ThWW?qKH8x#@eO_{249dq>q_ zEmOw5j}1fo*Ydk@-R|;XFe&tHU%RHIy<_y>D{rWo_7JXNLP0LlPQ2Uc>H9Dab}rIl z4CYSYgFNfQCV1exqQ*jY>+ag+qVAriX=_R36(UtX9x0sn9zA#WEW)K>QS}4Pd@+@t z_RDeIGtR&Z#UYLw;Ihvr0XiSh-;a9G2Xei^?!_PXsC{2s{?jW@t|_bTXkYr0YG!@u zIP_^37PE2IoH&dX7URMwm~szj!fI1o&8&fEW@#DAxN3!(e%aE8u(50ZVx0H3MU7Rb zqqU~O!Fx5H(aI~(G|!7!=T2@uJ^}Yv0{hFjJY_xa_dyot7qBp%o zkdRTM$G(D)KjXq6q%IaWGHiteD}3XwOjlr!R@w36rDxY*L*@_N1!*+_5tl{8?R@z` zh>6cHo5ThJ$6D--c870JGu2G!-CIr=*EA0L(=r&VxSsa4OkOTHhP2C$9u4`WXWAP{%AGYge1V3a9FOuUEOdpJ`je~vW`(T&E4HUE-#~t?N?149WFcw zh!!nx83o0PBgo(NzO9cBM3dn2YT4xVF*bQ!2E!0`K632~UXi>u8dnyi-H}CbGIvLo z%HO&>!MXkKvEIi>C00iBqHMFb4}d&8QG-_y=k6#a?QI9}pusig;W9Iv;Ho-Xw!?fR2NibKjhl(H=*Bd6FFStx zs2VllPWN=RVTT&G11C`Qe|{x=#DV3PvN7w6{gKgW_*~k|QS8J0X}q}vXHp$L`_vjm zjU5{b0r-Z_s!-UW{~plN{hC^JPq}*U{4({k%WH6T=XY@J>Qr0>S%VvR6WF)AbX3{L zo#T=<)7zUfeMe&?=FI2n7P8{?`)L+=Pkdk>*bf8lN0*{2f-_6`M8tkFA9+($;@4Yb z-1`+b^#b^63(NWU{V*Wo>vH*eeiz&%R`EV;Y#V+xqioJiH=-?nEiQR)@4^OO*+B+* z8#fKQ|0E$VrT6G|*r8Y8Ma6%5RD!b;%n6qO%MP^;e(t-c)xI94zY3;11=F7d)1AcB zhr?lTdL=OZ8aHdbPFGT3suxT!c#1*I?^@FH8r)GP z0RPK!K+!!I%OF!_ht>Uxv)w%R8Ck=+UzIKe@^L3Tl~J+G1NwlL41D;=h`6A7gnCZB zrdqaRafy=l0$G|mUuk7XpLq0ZuAc$+imZ~YmK`Y^4qlXRafIF@nmY!r3}V_bVyfF( z$Bk+$-PU3(wR2ISv$&;lsdj}`d$-}Brse9e6FL^Vv!dMov1Nh!&H@@}9!PTfeD9v% z7hVkTY*O>fBYQ^2;&YvB+u4H>8xi(ixqNNMLh$;ynMah(Xn+lzjImrc4t|NPAa6ME_#+SD2qx@l{_xylJi$gc zas?4e3uAY;3mbj7yLei(lXE`UUG04;{C4x)t7VtP_TA|}ylNRdd#Q#$)-ICw>D_S) zT@Nm{S*X63sm6th(9jmB<6@j7^+*wx?GD+Dudie$#tXZPIJ#Rt&gVft{m5Z^GjqIj ze>pX$neyjS9HPK*Q3)>HpJl`c`^eSYgQ~`1MFB3rPNT?j1iH%qy1PUHVK@#c$JvV>UZKe#{*&&o@@LAQ8Hb%t8+cApk(kTxmQ#2)6|gn#kRx|rD##l9 zZ>1T&7wi5}x3I`fmO`R)*H>Xw-b?dl9uWFHTIBNc*z4dW3cJsX4cmYIMJmttMEH#WB|(12qoPj;a@6b7);KZ=PFL=T@$K7mKoM z`npFoxBeBk$XtX(%REmoNC?P>)wj6QH$8|+0H$CKkmEYe7h-Pzxg2nfVH9U(Q_H$U zR?KnljGRcI@16OG_d~e{a5C|Y7}!6-N<1!qEnek5?yOY%r^`k&=$~Z|)g6INEr)baaeZBu zS0CqrZR7KPFcN!~>sH@&rs5T~f03!yodK>6e3f?`tsu{wB?`kM;yv-G9N>lvfyTmP zZp57c|3NI1&vJ4$A9?jk3%(avIb|HY+5dhHZV==0Js`J>As#o2m8v^GM#7ur(9AdF zl+5$DasSjd1kd{G?U9;}lqRy>~uoV)>-1`?=l!uX$zhrU54 zMBI#fs9Je~+f?ixQj86orS5l^xb>)Tbo8ikC3Bm*Z@3bLep$R$ZqH9YSAE8LHIpk8 z&B-sHO~vH?d{z@{?MFi8#Vw}V|5DToWK{m+awry4c>2j_@nQ zxczZ{x?J5`T|KUBO2zS4;#$vLr`}QG;$V2(uXlQSsr$VR*CMkeXH(()mt;11wR`co zP>kimk6XpLWpf_2=U!pd&3UEM)kptuGd;M>nB(mXfG+0)CNlC@a;3!OZs||)@wxENU)UtCy`69zf%cmy`#k41A$!){f0U6GgX z$lHMTO;U#2aUl^r=x|g`CluhcyU%KO%9gxQBSwvGqr#5^)o3NgT?1gB78dJjTvn|^ zbg{mcVqL`@Du8|>ta%lrY)w>(*egOCVA=F%z;)OTkzN7mf=i-0OV`3b|Kd~Jku;~@ zdoJ@^CkuY_8SsucVjw_g_o%o6V_8qKyI1xCJcpyYnz*|0f_UHqh}jTPQ9L|{BU>Im zZ*}Grb>Ib9Im8jH#W)iNW5g17B(4d^z}El%oh6`06G`(O>cUMNlMmT88wxJ2Z#-q* zDbnF_VK)UOO1`8Qw?&k!xe{73W~aO2&#SNJO~KW|Bav$b$uAyDZ^+$QcXEW!D?8Br z24fuHU+c5-a2ukWB>JWP+)uBY$d*U-GW&rw0b$6&S9kbkysU1~Fa>IGD2+mz6JYSTDe8c!BS4u$Xz&h=|r12MQ zq`Z>7Ak!DXB|_}x3GWU-`(DX-stz&+;05;*Bf}yexBR66K&C0GX#YEgRlPr zbncbdPxmyuZS_u+KZ)$T$MqdH%vFD@FcQl_?^@Bq?bv9YE>W{a(0sUny=nJN9eLyT z57p=6h&^lr83Cs6PnYHWWS_q7hptu6x?#BH<7|P_%(J6@GX8k?FdB~UkbP^v=k?k= zJ7Y_yP5HNpRbNV zrZfgsegbzx_qKCz&CI<0IYWI|*!4b_TV-P~EA6r5#L?;+cRtoV59@Ky+Ja$tQ{In8 zzJi56Rcvk|3S)(oqxHU9pi|Vj}htAl5%eU2Z)YAMYk$p^)IPDqwe7Cs>44BHCriHT ztlS|BS+|Nm-6qkY|2V8?%lO;WD|c}aeo~6ukAp1bgLwOK`xW*^su}P)+q1v(_*2!z zN27n1Mw&-u7Qm-)O4L0L2UC@1)C_6=$D9r7zd}g9OeElcvmoj>GuP%Ff)N+fIgGgf z`p-J>cg8rv{+rSEKiOrqFWbNI84GoxpT2|xJN-Mt*-#frLw)6NvbIcG>1$`D+%>)Y zyd_t?m-izWfk&KpG01y5m!f_|!QNJ<%FJ<|azK~2F99>Z6A} zcnZEBknc~)M?01!%b|rK@D2%lje)qq9052366ikA0Sa7jx9kc#{68wWciI)^G{n*k z^;y37-?-~3>tg7=p<@5ssaAEIIJ(+B zs)sw7)zh7WJ>2{9(#`OM!M)fV4Pev9K#XmQxEyfq)NvU(e_`Y;fIly8%YAa2!1?F) zUwQoa^Tw-^HR`*I#F^-EQS~0dZK#O*sO-9WMAXYiMGFIf>&K6Z-ia$^WUcgJ0X?Qc z4-4pNjo^WEICc1pXZ5aL@D5j{E|Jj&*FND~n>^fwOYZ%G8?^Yr@#Jd{bb)}r)}RXn z)D5KIP*r#O5mPI$1e=+HRC~yQZ#X#Nz93@`dAfVQ8t@wqzkz8Xz?OB2LQp$OLiRRmYv?w$!PP zRM~^4ZSQvX>N##K622%W!>>UtPp#!HeSZi8zL1Zpx_yK9`?Um$wImRyPK%Jm-+XhAyYEy>ZO3 zdcdJEt`DnQE=(}7E9Q>-*UUb*6X(!i-h!FJ-yS#gUr@FDyI~nny{&_WGVIC{8^X2B4lnBA@e>Q4`{NuPYg?&#NWMR$pv9iChKzko>h7V zOl+m6{^YPZ(bx@l(fN&<&Rb`T*7Qr<0*PHuBHw<6qnX`k)IG46f9FNrpFjhA4R^%3 zzv3mqIC!?Exg@V*pP8%N-eIL&EWAn-Ys`Fc$aWq)?I~+M(ERudF>v6{HHgf;csJZK zeR=IaXyACnJ!s30%oVtadK=f}ZV?Ul%{)jneCdhtn<4>j5()68mH;=26vT}A@L`vY z`(4et+2x-h`i&C03|$l-U&-OsOI&@@!@uMh=^eximp*{65J%g;iE(Cyq}u^`G7peT z&JjO@uPMpYH0Q>xSr%|8$4iL!@%$}2$k;mHyIlDxigF=e~zBM|W!u|NF| zmmc8qa3Sb#^V#uu-3{-#!7&}tnx|^c!+Pk79Xx}w4kys1*0#7E^ca#eB`=k`j(52I z)N3yh{J1eJ?Y;@E{}zmXub*4Gs(D=A6x>s^5?*(C*n>f#_<|gi4PRWTFHR80O2S@K zk%}aFL8>74E@K&J3lFp68IRksLF)2hOWX{#*ac ze04CM6?>=&7v$|8FyWgKK4p;bk{ffwze6PWw;175f!E-v?hY)=U+y|bYp}C%Wxm?& zuq=FLp<6I#m#qixvg6Rgpnm(}(82=!_Rm8dgLm_z`RZ;9@09NRWtjRqL)Gcefc5@9 zU+sz~$}-v1iQ#<+AFIQquKVMi;ad?t*$SWB?K%cl{&>E6!h%%-HquL1h-+;_7R;oX zk8qoIxcFA;BV_=rXps7_zJzalGGFcgE%2>>$XAyR0x$B?FMcXt{oaC4>kdp>mOm3M z;rL|;J(I5%U@m6bYNpp#re5ox%U8507`Q20$@93z*TQYu!oWi>Ym0uCw8JGb{b1aFktWT!b(w_m+J1v;{}o z6NS{x;3#@GU%g?`(rzc>a6ZgeYb+e>FD7jtV1LAlt!bx$=uavS0e07-xxASEB9>A}$;M1)( zBfR9ReAR5hr;y^6nl}mW1-wDSMeZ1Sn*0mD&Q~W{@EVI}r2K#%IY^yN`rdEy)lF9V zlLn*@{VQKxVx=FL|7Itk&bHDIgwG#_QywjNXt~>sYKe?w`L+T+$AZ^D+L*G1@&f8& z3pQ<8es`d9xohB?0bgRnJ=#(xNZs9`ac>07Yd4{*X}7_6fwFBY_;P-JV5hNx;YoxC zta7k?3}1}!Ypw9=W$p}Cp5-IFC=gJ)Sp3YsVDc&n22{C~)`TY!ZjTQJ*Ls9cvf`V5 z#e5120y$%sk-Y|I2ymOnO!#_)54UiddTm7bA}ieBGw_i5cKAlXuNx%2sY`N~fI7i~ z*I0Dv1FYAA4b@(~3UYSWfWjrOet&1Z7(Rc9@Fc>$vESEc7=Q5)@q2~{?;Qe9AHrD% zBY#YJHX?k+AmK&31#-q1(nrdVaC@wqHw2Gl+l95N4MWOu-nsiZKVPPgzIUMB7y zz$z`6&3lAz2K*umKD9f)ib|iso!l$8pM<>ehiTUXX3Lw}Wm;C5a)4XAc2y(y!~w-@lEEVv2ZJVbcWemL28koch?!WScaF#PKg zew>Ae{J{D+`v=sA7B7UHhl;$IxLW* z2OE80s61PCcbxLW1L}A*qQPnSf^{H#@lSHwjCEjn33HAN82v?LnrROM?*aTkj7bK! zDeqwAVSZl#XJ1J`U4nO$rqPo>gq4p8s9_d8nGeH5V*~0cOE1JQezMU$3Ez55K<)bj z!6|%tk~fwQRgT4bU|c&bpgOH`O!3M=SPx*HEcfSe4DTHxyl;r`^+SYj93p%(!ciTc zHso*SyLE_g=k&qj7b1MH@)r#ezXaj-Sk1O#zU2r%!zz!_F@zR?+3je`T5?7}{l-dT z!Z#z_&clRn9U|Nb=k`VNf|Lp2yh+mV&s?hy5uThMQ2kc^;P)BJ-DUZvP9^mLb-e{= zor*l%8v*;31w&e@?EV~u_!l<>)CIY5{JA9Ig^dAqxCOWImjm{53x>%6CU@Xwgqiwn zM4Wg|9Bp?nNP9v$yVC=3vh(dK3Te&`Qc!F zh-H0zFN_1{1#;{cP*6`Y<0aumivwz+m7k#nVM)L?STNFhSw1v;gcae(IOXRD)D>1+ z>o~I|&S2#tPo1_m#-SxU^FPD4BHSLgOnj$%@bJPR!ix}YkEJZH;79mUyc^!s<()3& zHF+&w8c=Kx6UT)2BD_wAi_9s)J8?P}ewo?c_R|M3v8n?iwFUyB~ z&~s+OHv;~qh2NBYD1Lhu#OS*wpo*;Wl0FRIi16dA@S$)NqTdx>o6Ccw1;dLF{u2v_ zp#@hAnWY@Z@8Z4cl>@uQFb7Xjs3eayyRavktnuqtm{HTaX)2UN<+A2uDx zvnroAS;no1lhkp9-j&N>p#XhJBf{t3kSp)ljtt+3@E=(`a>jtXos|J~o0T{E1@fLR zd7HZRASg(px&yi|n=-CP9LK^j zWw{Fs%koX#*58yn-!SP4Z_br{lDB8Kk+K1PlSOybO~x3LzUS6}YO~6QJ|OuPA&lvr z+XCt<3$A5`maV32&aZNL!-V%Be6f|*gctrg*QQ{~5<>Vpmd<*vSJt6;{hv74sl0FJ zc?H8mLxj&CB0M=n_+o?))PIP-2jK(dKf`;62=5yreEksN8xj76MLY5#@o&64cP?)F z7-5C??Yl%%zzV*k?khD58-t>T=Hu9A zzr7gl{2pV6CFhKcWnBm_dII(iD=#Al4ZQrBfLdk2=Xm{(G?aY*m}^5d>5_j6sM_J# zI{dqF?!JS-$8YT1ZyCNB;RE{>!?z-QJ<4J8F2noY%;jm8N$?|l$sn{KuMj@}?SQ(= z!e{2HgcZIMP>)+YVar6qH@_QD`4&%btg>}`#@YH_K+Ut_n6b*_ANs%_@1zY)KW5sE zfGx1nntoj5mA(9tYl5!*5 zTPKikleZ~%&!+(uwDb1*AJg`J7EpT(0qgr)KuxpCW7pT&8c^LSCwu*$$f}^b`X#a}7g>&7TLl1 zu)=M5Mfl=5WV_={J5qs7|xsw$8=$B|i+R7c71@aPl+Z&X0p?j^-(^k7>IBVe?0$?JV3_YXVtd zmPRs@f617jy1>$tK$m%}f`P9;J_zsZEYBJ_Zs1NC^0(3ttl#{qpqgZre;~YXa!`HW zg3lO0zs=P_wau!-!1SAIf;a{?TRxNC(5Gl-P(5Vvg;|RueKrGDZsl!gYwA`sE2ySe z@PRy)oE=oNt^RJy1LEIU7gV=fykX#m=N5;9>Qbw0Cf~yOLG`*-XCv$&+QoqNSYg7BNn;uZx49A(PZ(;3Ve zE8voV=|`5Il8b`sQLF3&;pJz2d-|fYza8%U?Azg+{|$WoIp3bX_uQcRi&g%C{J3~= z(2OC{9|yuWc0St>Yy5*Qy*=+ zEJ7NlUw=(deXi4+b#tiRm{}vtlxh97LG=S2NAhN0lDrW<(MoId3Bt;M5mawjX+*wY zwr zNBEIec##)>E5gH8I7SY%(+r<3#2LCVm}BcPX?qafJVaU($HD0%L*XZlq)v!aY}JWn zpv%NyG-7=o{~_v$ONa*QJ`C?2BD`;i@byE4ZyX|gGs54u>dZD{z8iakIcpD`zZQA0 z#Ww}jIJQf6YaspE@(u44#-{s0D)4l?GFsPoh z($2SNP59RSp!&>$8{8)E%@6rJD`mFZn&~&LgUr?G2Ze8aIH-2D+QS~tnBIBRm*qJ$ zDMI*{7Oe*6Tl82^UH&cQ?)!aEZTc2?&l9<0*g%?Zd@`8hM{DbLEO*a_pt{7uKM-E@ zbWq)t%V(nA8M#pn5D9?yoZ$9EC3gRW$}7!-_ zVo>E-Wkel;<0M~pFrVa~!F$0h4)liwWRaozL;fi6%&R;12Zk5^HK;zZ%FKSkaObt$ zej@#t@ew|7jliTS2khfPaFx6sRPPQFUi3yV$A)R}6ulW#9}E(|aI??XLVpwAc`K-1 z8YKQ^gugvV_{O&fFX#Gq1}|sd7N4(k^6h(f=lLaRw-Mp5Tm8rGpM>{)fHB+R0mFx; zKAS%Y?&O=mbXz|Ss>iMRpnnNpn6_E}8OBu$?#o!F1@f1N<;^!IOv7j6%#`QMU0xg)6Vv}o4i$-E-3d`15qR1+*X^vfKZ7R!+Q zGMM8lY35LdKF(LUx`~mwOgkU2do9|*P6Rzm&v6JZ`Wmvsf*YA==+g&yNY_tfBIeZS zmf7^qH$ipPx1{d{{5^{&49^&z=*cTE`m--{h3*LFDIZxqBD;PgGzk{u_|+Ji6rn7H zI|T(fc1|c_lVy4bkY6{%`PizPk-LVjy}J|`+KFyo4PD5joxf{=dedrmGnSdO<--dM zUrN2~HYwS?!1y2u%#0@{ZD@~z937u&4ZT8p7UZ;-DbHqv9|{G)$Y0a<49>!Ra9ktm zZs7FQF|hJMUyI^#KF>t&jW@A8xhWTSvaGF!cBH zJhZ_0!wK#p;36$HBK&0=uPiDfHNs4}`VK2lYppo8TumNckh71#ww(}v=tl)+KZxXO zbXQaEtv@bMk6C>Sa4~n8a<4zK!1%pNdXuhjQ~}O6%JS1ddN?HoYIm#O4TKktDNtqK z0uPNXP?IhED3_Sd4NZEF{uX{71ZK+Z98;jCYk4JODe%gAm%$r4ZfLxV2Z0&9eSod9 z%Dd1TKMWm1Cl206k_cxU(?<-x9)w?J;X^saY-Zp^;|kPnmb^B4oPn22Kp(T}X>6=R z>1ekfi!m7I3r@87j5J|*$t1`uE8NHq!sZVGV;KnR0j%FjXXNKlWjOMu;8z^8N8UGb zinL+){2{`VLxe9zc!9>_%O2wCLHI)!{vr>5Xi9;)Pls1={|fjOLs66HH>M1Q)dfcX zmcC%Z7bE;A3#SQRkMN6hcuxG#)WPHTAe=NGSoc1J+ddGaFY8iNQ;?%~+B`tGGY!0D z@qj(nNcx!ts>14nX6z#@87fdE7XO+4YwFfJYw$X3M)=Y}>YS`CP_0(Dsl#T3+dKyz zfvHW8{VYex?1CIy{=hoUpIe}=wD1}pGx(j83)HTbe8ZSB+1T-jzpxJai3P`mO6K+^ zeeb*iv)+*-KiN(~r&9}Z_STSwMIOvKtw62RWi#_N%%!q^HB8@&IJ;>&3x2cKFjya9 znL;N(f5+)!JIzz!C2Zh5^9yqJJ=ip1`oj7Gb%@orhA&L|lEwmchs76WEMuLSesfbn zj(yJPTZ9!w3e@i{{l?f*2CENwspv%L0}FQU^9*+u4jx{J@bv)O{e$K(8pLAoIa)jG+S<#`P%^G+~qChoTbTxg-zYN~*9^R;9rd$#6=Q<%s;N(33Vqh1i|NlS+h)M-x7yt9M}+rw?8GxuO+O^OsIwqv zf10ru7I}5=1N?^Ex}kV;MNb^8?OCVql!2dcNY7-e%(h=G;k|&LVZlwE2y@OV$g#nj zwJ_3y@ba?@)Q<+FHLxULu>mksrp;=Z$H)Lo}_*TH5)i^|s7``%juRqV%S^Tk1(hU(_w0Q9Np&`N-BfQ$m zpEM-?jR^12;li(G4>n=*&o9XF5iv4^G?uhW3e*k@r!9j7-d&JmJ27=O>DMoX+|T7V zu?HBM%wJZZVCME^gh|(f@Hv*=WcNYlyY=D%^$#nZz0M@?OA6FIgXB9HZ!k}%9P%2c zl^k#3MBica${dyOt$;UcxU?VEFMZnv;q!4s$EgV2n_5?Chcax(w1z2ZA5%D4SfDpzD^*x&0Zb@ z-wOD?R(j+2W$IFRt*;|XdXugM;oe%<6zXikBS3E8g}*3J-?j7~BlE)HO!&-9eN#B? zgu|(Hd!)V<_hW_|am17ps-6+9m^&+6TUp~o7RAyniNr!DmI~vbmNq9Yc^2_t25aHL}g>XB%7 zT7fQ1G9rctOeQ)b?TKhqM`ix4_3aB$K*kmFPpg_34o#kJ=NFz*?KCFZ((Q>jij4}U z6LI2kT96UQg}??6VK_B597kK}#z`e3jj?D9&0`vN`pnvDN4J@jA2elwQG=#TW7?Tk zT|2vaqRG2*N_8k)HLIewD%j5Jxw$+V?n&25pUBGA8`t=$glqHd{VV{21nkw>|zy6V~Ci50Ue zrc_U!5}q@oHdIyVG)9}_v9<`>v#}+H!-UYj$wWNP)6x=|w9^u)ZvvTkWSYecDJNPV zi)UDM;lTP<)+w2Zry`xPCbTCyMO$OC%W3Oq)!gMXRDFAWJRXTVp;rcX-h~4^y@Tt(maz1iyW@1n;ov0IB}N4CTWd8 zm^2wUdr^4WOz=gzy(=uFXCH~i7D+?uo{CO}zD|aK9LRDY=$cHlp(7i4R3Akj@PyK| z84xd74xV07=R_0jt?27$RJLh28n16ojdgOXHnF;v4KR_Ji4042vX_Q6YF$ls6O_Ym zPLUZn#VQGs3&S@>QjP7gWEwp`kxbXOqd#QYz*$XW(MX=el~bt<75s(;CA`)kC)pg_ zzyK-Hj4=9eEih@ENBeKbILNBe2`A!BVU!s%l1CAuOEe|gAnic=j{0~e!dM+9XUzo2 zRyuNHTN->yK^5+3Z1mf6*2H;$$J!DAPM$HRsIutj;-ia?8bJ4m2!xJEdqVwz!vJG&rMgl^97&C&8~Q_nJ|WDBL_qIW)D2t#NfVD?@X1QiB-*(?T`%Qn z$V5FEkO((+HOA5N!svlbWAuYfi?5^fgN=f(W1Kl5NUAC)oI-L6DUpS(^^3gfX~rHX zmcoq?E)A6L9y4IzqWln!C1(h-b9-S&@PYc)1uBfaDhe99>`%^kA zrUQkW z$WULMLJ~JZA8@i|pD}YrmD7TD0{_I?(9xh*N&9;O1UzdR-|8NI#e|c|lP$647V-ou z1I}bW5e643q9`^U?cv0UCUIzOI*PI)K9nP0H=8hL(j*5Q-8PJThI*(oNb~A72O&lvo&p_yxK2h{Ev)!w_>P(}8i4XL*Fq=|QM1RTq>#)doecxj7!e zg^`wQq#D6W8eJO#8YF@A5@rr-DFO08iOvx>1FG-WL632sT1VYcXcA8(l2G8i`M~t* z+L`15vOq%@^nGa%i&KqmKy5@yCvptZrXF1}E2B*`$nJ1QJqCQpgZeg#@^Dk8HRVjSqb#z zc8tsnKQn`ILn1(Dg;W+whO29}QVl(%gHi+$h!MXIP``FjQ>@ubMwW6?B$Xf`vmutj zg3%N`$rOs}sne87W1y(6)hO_iO4c_(fO!OSeaR&D@l zh}UCuLCvWq5z-QgC*?)Yo2Z=&olTW61XkB(k{F&PR0J4S48tukX<#1!!2u~lChu|t-NHzhBS{iye8_faG+8uZa-@7p-{%+P zkW2x|v(UgA2SoP4jgl|>qzoBs#xeXeRuVv|iAA9@hEv3mXlqKLTcs0eWZsT>2IPv7 zC5(=SVE$-Dc_f`q;RsfWb)b+4V@A=C>JsD}RJ|D~LZ!VEt;u+Vlj#nxZ&P&1I7uX& zB-N)eZIR^6!-<1!UI zFW{3CUO6jga>hjkqi8^_#B1pso?9_(4hCz88X2sama{yHYlBC3V-8GSnwfKEhvv+- zO96^;TxUvJBqV7Q7>I>6XnYP~T_GVU8!V*NlV{AFRVA_8BAqZ;$N-Ew$V`ez7z~8+ zjR`>`hhhr>XDU^-wSs{oH1mcUuM3mV<n6I)XQ=h7qD2`mc^Ax;)shs|)smxzMjL0}VH~Wf~z*^)M$A z4>9J3XUv)IMB5|KgeU}oQ`AEArOreDNFAihr*VUsvITHR1^o$8*y*9~>gt62a_Z9wsx1wf z80PhDel@++GP0wp)UT3V==$gpC?l*YT^NnqQsFqHH0?U*tI!7GQhqOlQrC%7Wob`z zv9;nNMu{?o^2MQ71CF^&i$>K3^|q-=gf-E|ffLk;3ytDnOBw!wjFyy0Jkm-72WEz_ z&!B#=;IyKlBTgGessyAx`eA!3N`yaQbY(u;r1ou4a*~NeT+^MUVF>z2YXT-RWGwBG zXbVFl!IUdbwStBlPJK}t%m`Q*Mr^|$2p*sk^ax>`(9D_BoVb(=CB{q!f5tkJ#N?I1 zM5n$Dg+^i1khy{oHP$rrD2ANDET}bz0!;}ckkA7}k5J{()XG4CgV~BBa9rrklKOq0 zikmjj3HysUm2c@h7*UL*MlCRav#;>kl;~`8Z0OjWucLClj?VcyCgJoA!@d9E}fsNpm8FY1V{6;V8>Pj{z(g{3M=|oB%j0GhZU6%Jqb(*oZvlSbTsSp5X`Ythv!>P!C}x^dZ<+Fjv6*3t&p3hW3T5OnqZxBvZB8j}|k=0vmfbtK_vn zeTuqD7+L{BeHx%zi6pg8#`FMq2>am)3wZ`QF%rmNg=$D^YVL%3BCH5gE({|O#B)$(5hu1f&hb73^fKZ+aU0}x+p*S6;Hq_3vDk7JBvZexfehP z)zdb-*FzVA2*sPs+;sxBny$8|j}RV(42(mm<3vLvF{p)ru;fG*L87s2@+yrjgSk}< zT7S_Sk)GpEShpreYEwl0qD{oig^L<^Ld(Tp77B_PBqpG9u$&727QSZ zel#uArUB&D1VEmNF6IiR=0oV(98WaV$E87GEM{-QB)qLDi;iy$ZK0)8dK$3F1p>kr zR0;v6BFPyFYKpiGLP!jin7jz;R3~QgFrg&8l6fg~qaZ;OObe#WIw}U*2s98-vvNws zEI|sb!sLJ=IH^Pi7D_^d5?P8#=1{ug+06KcL5yV~<470r+L#CiGvnC>zP*YvQq<)sOG%`EE(fYK;GW6m@@Aw3zrfi_iH z2Ezx-O+_G<$fSIxWS}7;-#r5H5!U^XLm;#_-H}qLIjr_%74%GWNX%xKkKY+Zeu|j) zvyhA__)W1rPS$-M>J=3(#)eDc424dCf#Ihf(1XvkvV#mjhCns) zk)nwqt*Mh~Q->E_aIZQ3_zWF^cqgNdCS7QGG`lEy%{_Yya?bMe*3)YxI(tvR{} zC5b>(N`5ay1}JJHVyW>0WkJCzr4Y=fg->c-!*m3crc&#r7e&suYY1IM*Z08L+Uh!a z!5}zPcFkNOFud@ND1-!>3UtKeh!#oRjIc+CWnnzl)`$yPXk&x&!-afUI>nF#Rnq$M z&|#V`Erm*>VT_sA6y2hDZV*S?+z?$$uffnRu(Kp-R)M;lPH4l@zzQ1##>mi4wzL{U zPbgp)mlATt#ZWz(Zi>O0FAu|9{Ll<2!KP7gnQAh|6&7JxEy5BsVuQ8@VzEYhq_Km& zG7AOHY)l9sen#n=0O{8dYa?mHQ2kOICZnC<4%(c2#AvAcRAVeA<~$*h1=V?hTeAs7 zJkZuD9Rg;XHYd@JuIhDvNkJnLhsSIxZQJtZ`PtxX+hREgoPZu>CDIJDXsi_4E5A0~ zP>aZ7VTYB1WP>7$h!7J57-~6_$U+8`U)Mbg)(Hn-qm=OgGG+JPId{+o3f#m7^$@c= zEVW<(m|d|oY1coCR#y_Uh;U8=vyZXqt&(~(LBm}}@WKEZOSWJzi*u9|M~H0kv-8z# z7>Ll?6+V`%Anj&YAsfSRB3cz=ntENfSrhf z?hc8dnU76KdS)|{UL#E_G|vKZMPN2uk1p+v9d@Bam_vPluyRsu(pp#z;V0({*~H$2 z-)TYru)4}vD~c_QWzHi*enTdWAtD-w%*&csvw;IebE8Z`fz_mHT`2k}2SSQJ>Kh_M zvN7~{k^T0?v4zF7U^bQ(j3NcIfqqi1@}^oW1Oo@U0co;>aTZ{W8reX9Xvqd~U`DkD z)QY17Efv{#y8W`>MmS_c2K0z5zzju(s5FPadjDn0E zn0rJ6Xo89h>CA*<8^HE@x``{$1;q{b}RZiyZ z#L|g+jQ(6SVP2vKG>nz#%rLB-xte11)Z-*sN?{5~qUm?E6@AuVuX42ejKl*%N zSG6dO@_C&ChJ_3y9TWf)+3GDa3`qXMqcU|d(U2igQZ{%)B^KiwYeUm3{nBR|tHc8* zgv!@af6LNBsBchdNo&5OlV=)FrrT%rOcb`Z1zgc-ym?3gDGhX7wm+v+CMlY;!~gI_ zVkS0+%a>B^X)qm{*jk6sTgu(rt55gT47-fw+&nRxiB00j=W~KgKD1JAW$JSU7dKNk~6COBpax{2|E6#3v*YY16k7MsS;mW-vG3Xn8V+kDF9Z!EQ%Q z5cYUeIIkfk8@sPoi-O@zBT2jVBn-D6^6D^{z8OZRrcPfE@j<4A3`OB3LOmZXj7&q7 z)9X_Ur@%ufiz{2}qsC@KP5F(;IT{OMuvn)sM)+A}i30;SMImd2iq58IDoi=8!&NlMA*4Y^hAG%i zX;B2zDX6$ks#LjGV z$}sp+qB*c$nwmYcrfNnOm?M3wF?M5~8^v+~9KbxiJrkF<77^~(T{nv;x`Tw-G{Ed0 zgPstaMHuU(V5y!p+bV(QOTgh{if|X^j$+LBG3$1biK-u_F{Wy(PpJYu`5bXVNeCPm(kcji$(nr$1DPv!pKSK*vHmDSLu@@Jk05F$(gw?;pLbS&K&@E~@pQwm zq5DEMqSZGb2D9O*)<)^kHqm^M;tMm2!ZH{lHYLpjsDPnL+W%kT=+Y&jOJQz(rqB@!PGPQZaGn$+AXI2x5Z~B@$}E zS8lxW!Hsd00MUGsFaeeu5@@1kfuux_mSre3G2@Dg=>>D@NQ8Q+Uk5T0tAwb?(rFAg z3bMv<0+j)kA%hyIng~txhp9Tcu*B7&x==_bVQE2w=4zA$BoB>gW32m$=B!hC4W>VG znnVYAERK)%NV9fklR|L|(jt@{WyVxlf(+y6FR42U;ME92kkp8OQ8FDT+v3(YRB7yT zYr+aD7^QY59N*!VG+`QE5Z+Y6q$EkWDcv6CHXVF(frjE3#^D59)1CHclZ1PXg+*p6 zj>uNf!P~2Le^|Ro_$6dDHDLr< z<+Pbqb#R%1|A}5fkwJf@_?h^5w8!!cM?19ut#SxelB(bQgCni(?UXWdcXv;clA*lY(Y zw+FR^zQgHDQwGz04qqsK44XtGKlBuCV)V>SUP@#I>BW7cQluQkhZN>W;lcdArK7Tt z;-Kw;Z6!y?U;=M$m*kEA(Ty#yp=KU6B0@+A=yuxXu<;?NJ~NQ zgCqjMMaSYG0X^P>7(qz$xt*`Hyfm|g!kUmawq)Si4cM{ZA4G;9-6BpW&?jYT$E^bJ z3z7#kWuZk9zIIyq%cVQQO_PecAQM|p6Y-LEj1@5_&5YfRv*(4XxDB|P+jpvGU{8lv z5-Ec}BXr^^RAZ0x1PM8TZWNFq+LhfDs&;k_D54>v zwo)j_=0aU7Ap}aaQ$Ah|vm_3;E*$G{gVJ<5VZv}h)rp2JzgDv7CreQVB=v;C??>?~ z?i;8~LFde0DN5tzSTf@l#kW1rddOIXF{5c#{E+1XwPkR7RRCS`UmpZS74W zF*Qc;n9&yeEPR^yA)Zo=HaDE1^A-lrmJOdQis5T%I*geb4R;w^I&wCZj~sAQJJ1DE zl9Pa);?Z;6MDq)1YKH(++3Glc43A8vY^aKMI)(;BoP z%58)#_+-Fn;M1K;gJ%B7;ZkEq3ACJ$T65)qBxuvV7NWe!<{G+k>jZ+&PLj3e88eF^ zuE&iYJ(xdGka+C$dcTwBZLe1|{rZZ{hO)^Ip-Moxr4i&5CAW{07L;IZ(30qO(S%+` z4MmhKEBmYv^pOq9VZL!{kJZQ>(-L}1n~%%PK(ghBS;T7$Cb0)G z%`_${rzeot+M2MF)b_Z6GDk`vc26!XhzOVz9jc|n5)Tkp*$i}H5Tr{evHb!Ls+kDxon)Ke zEd>MmztoPjgT)ap`Fg3L9V?1l^wg?CHXk?=;P?Q{Y8&ahL;rAp42JT6@g~cIK35p2 zlx^Z%p{GYFG$LjSWuYnph+FQD^LZYbA0(m=WZ;F$av>27xec4CX~Scch^rj!7N1w?%3rX*Pt@ z#MQfoD(v=5U@BDM%_%_I2pvYHoY*1B0U4XLD1;j(ieaxd(@+WCgcNUFD6TUQs`Y4W zy2lLQ8bD@3#OQR~aLahQOY5}Zmb09O#-=&76C9j(p()5>QBFfUmy%hAMDeUf3&b)1 z@yml6rW5d;bsDPaxk#(6e#8C-T30pD%N*U-7M-$l08>y4D)L-=Wi>YCmfT1#mQEWg z?Xr0|NCu7oFp4DFD>)Wf$YoJikYhegs}CNql?pArr7cr!D9W&R$|h@{y3lKB$AAlx zh0~TV)9V+76MSZHt-~Y-2rz+tFd$^oFnBWbYe}WvwL~zAsPPmb5f`a+6Cd*3=YMS zZ{&hpJG~S0N#tQS6H~A%Oi*bc6w$%d@FQ~?W|7i#s+uUcG+{m5VJj=Y4ebt-rLV_d zNJv&EiP(dzPo5J*PeWwN_SMmhN3Z^d0QCSOh@`9NA>Rrfz+SQvP9vnDrU)@h#5d&7 ze6F1G0vSh%I*@a%RB2c|Okt$GbJaetJVxokr`HrrgGRwHb6uEPAx`P-?4;E{$g2 z^ddcsGbv;rPs-9u+8pGy|4ym_%z+&m;vU##OU|P}&TEje@G1+3QsqB5|2Jk*i zRlQFrl;&I&7`?nezl_knQf-L@rX*ZD#C|~ip&tzLQh?rGQmKu8*Sc}_>}s6Z6~^&h zlXyrHyo9mwe^L7){{uZ+lxH8v-j3SZ--s^fU!Y%L>kT)Zz!gmo1TwsPcqt_8Wa&k) z8$)XHR3F(u=Ruwt;PLi*<91|3=- zT?Eqchyat`_&~x70tYT|;~>0{i3u8pS9>gcOe2|55}aja5{laj^g3*a#i1TJS`IAR z*xu-w@o}h;99M%7y0&PSZ=l5Ym}5adJh4`R;goMWZ#ms0HA}0R*oM#&OJNMeK?`lw za=;7vLlyic_3;nhz+ladfy)2VB1^{<uM95GQn zQ95C5B(1-(+q#YWi#6Vfa3!QJgfl~+u~Azwh&)9Wyki((shE~ABI9rhCU@{ z0aS?Rw}~_h%9?jrUpB}~Ro?-}2ianwD<%F0P`t4peWHxDG=GqACZ(uOX59;&26G?L z^06IY0+o0Onh40wr6tzbPsYIpk~~4gUR`w))w0{^!h3{~6`2rPlZg2b=af2mPMUWC zJ3Md{?~2cZn=fk6JP$6qg1KA#@e;Tno# zP_yvigdd0BFsGIe59>%i7wcd?JPbU0RQ|n&zdR&;m~%28lXXl!7U)o>U#egE&;_jZ zQagnx?_N^XbUvRW^tp%*P(Mg^9s@ zrgxKIK5pDY2lII!eu&LS{+>FR&)x7tFdqwWcLtI0x!>Lr%!fNd2lM%T{1BUu;h+p- z^BKVp!F;^n1@k#(9|`8;(tUMoKCi+LvH5u8dpelU0}hp7K9=K0+ytF=froFscfNLI^-N3!8rlGR!y8%~lPBP6Tk!z0=7 zEXisuk`2$2tkxpg@GQw{Es_n-lB|{_dj~&MYdD{K2+3;s@F+PvOUYV`lEbr0G@gPVk}bjGasB!p9!20zgdU5> zMEyDo4>kZoN&N2!>xL$PN9%-LLnQ2<@k50F!DBZN6t8>X@g4no;eIF%eh3|lgN}cS zA6_TpF-N~%jK^Q}>!*0^(67AMZ%_OX_Xl`X>DLS%=jzuUJZ{jhkK*x1{aORD8^RCC z#uuR$_~CU99*gvADSAT%eh6*GBdK4z@wipLve|wvtr>E>e_w&d|I~D5U)DT2w-^K? zDbXd0L7@^&rSE}4B|;_XvOPkYxsQVu@@s9pNsJLg?{bB<9_}63?2s;NQ5KsDATVi@pw|d9)KZlEPlXnJ03gq>po!S z1Mx%XS$JHaUth%Izx6A*?EkQKCU8)rxkskx@}m2XTozh;d)QJ+9T=+8v`& z5QIeI3av>r3c-DEQR9{fnna_CiW=h*6~wq3LEPdJMckq>g1j1E6XW-P&bd`}8OXeE zzVGYb@6PkDbI(2Z+*`M*t7>|BPV_mvK)A$ZmTY!b(J{82-gtIUQKVl9Ke1JxpGf48 zojfG76NV%w37;Z6G58eaCj&WTCjdzf`uA-g)xq|*pc>#^+g)|=naKv=#Gq!`NkK9@ z5lChSza$6zLpqa6c7zuP?v*x#W;tI_&Eq65x3$&$mhwKtc5TWD>+%Co#Qa-lb&A zc7Qe47WQ(U^mp;Y`yiofRoXOP$ums{Yle5U$!?PHCN`~>v>zLJ zro`u6q)*5A3B5UUv3hJH>&`Z^!_GE1@R$|b;5@;hXB(UoI2qW+#S-jK+hAC9Z5!F( zm?qxYWc+6@ju#FR+u$&{Ps`ahSlc1TfA->}%<*b9EKm+e+hDEno?sg+5Z-2OBkPZU zn|jk)W<0Mq;&v6S{<%V5AH#XQ8BAz-p8Wmw4XK}NqzZR#Z^W-{a?{s^F>40)~x%6Djzc%jRm@yDI7&jC1*>@QF+CFPBHJuFFgn`GRv?X0!2SpN@`o{4Dx& z;Vze1ZM3A$>K(13vwBCX=&atiXcck#)-cZMot?mKBU&qG>Witb4gLph@+knM?ItW14Yy`;;3iDQO{UX)YIdrr^iuG@BK&-_4GLE>2cK4 z7l|59aM`Ttpy&UA`@3M1Nn|C-+6Zez@&n18v`QqiDUi%|R!MDE_O-l@u(8Xmwo6CQ zKoz^y5(l|X;OzD6qD^Nmf8qPK)whl3s9vXMaB*;jG>gvKQL7TIL)841D5@`0Kgyan zK#1B2FLyKGe`f1qvJ+nCW)K4F9O|!k6>J%+PB#;_a7o-ByCm#yTxM-xFO}>rm9cY| z3Uwh#xA}DFRNA3asrf>^@t7~%*>vHXYP;(7C0KWD|4R8MrVHOG+Meq5C3wB-1RkN} z;vBfyJKk*LOK_a)1Wq?y#Km`#+1kdlrFHa!^Ud5!ApenAX3#wX+cQ*{9XQi<0u!y` zIJ2H^GzaVK(%p9*Yv1mGhpFg74~#K$D}hhC8GIhhkZAJO?AVKrL$Cyf&e&Vs>&w)S zQqgh+p|eitQQ1%S`nF7mn`e5yaHY$vc6)7x#^b3DeU8uS*&bqp9$$h(T_J+QW^N^Lo}0mnAp#^?G#OE_grK3bd@`c?GW9>QsC5uJ>x3RPpNx}a zI>kKGp9|AmW`jLMo1yV|szaaoWTZ;5YAKH|L4TGo-kv4Aw$!4Nf$w+Bw{5&rTEheP znYop~ThvTu&_jfTNTEKTfvATv^>?diIe^eZC!|?)QoBW_- z2#{#ea)^Q@1YKQ4d4mwF0w=i{T&JR775E!BgOFuDi&5Y*fln~kItYO~p{J9tqoZ@r z^eM?dsYJ7wYV#;JO%cdIwIz*cIU7|z-5aPT^fH?3%aHSEVunOVXS?P$HJzryDGc7> zI)SU{3?ENDzE4wg=b_5*S{0c=h>*_; zu6uiRHW9y;=^+c0()b{&&6mr=s(Hj~PgKnmtIgj&Zqw#860DJ^zV(H(hJIN4?9I6?hSw9$?)!AY(YxVj!)#j63|t5l*>7^Z1vs=cF2 z#E(^?JAZ2bX|>gFX%F45q6b)8$Z4`URv#AVMb>(quN2Y+FW>fm~LlMkdf?LhEl zFvFECSRHiFo`;@yS+FJ|tOF0(fK%l}Xd-T)_?Ou@PSIQ3ekdoP|vccXtS>hD-!l|oxpQ-Zy%pS@ZG@b+s4E606~)h z4p+eyKIUfdWH3XbMN>zxBRyCNe#>3U(4)0WFoY<>L{E~mgiG)Z)2%Y>Yl*f?FeI(> zs=uP76Os@0I${^AFH`@Fism1LL3P5DRAdGrqfWS~4XO!vzNIPXgg(}0*2j1S3#~?P zSmZvMd_%L*$A)GKkzWr!tae@2ah;AIb(uxoShd%wXwNfghk7mjET=wXJu`GANiXyu znKhrSrmtD^w4d6nX3bMD!el-u4LfTCbvvzbF8+J+?aW@BzlLdhc<_b0xK7|&3KFlZ zVY2O~qIV~7naTRK0-jOQ!(=+!YHQ#Mla0c$A%ne!>8Cs92OOpgR5%I3?n#^{+a)SW z0uWU14&di*eL;A|)2fuP&Je+PHLX7YDgc;8@ee+~7OdY;EIEX}ynv?yhy& zD9Y+!foCkydw5TeSoYMtemu$e-lM|!;g_ac30&HI+r}leJImXZ;0-ED76jM)V55uR zn!r8^tGx%jhgJpd=4KEgaVn@b?{RarQHcX zaPvokrCbb{BBn-JmJC4C$Wwi|)|LU@E%qRL#)f{KF zwaEg`I!)lXl|`%#7d&`=Zs1Yc*Ud2{AwsAPPRDzjEZv%F7?4%~!Na%+-p3r%y@Y*T zo+$WSjb`Uy4Yvf= zov@EhKm#1>6VM4~n7IkQ=}C4%A4j_kLl(LZ@fXd)9FAqO!VHZ~FG;ER#*bl9GFM4)n=B=p%}?Y=m%;H*lFaJ==om%ZAkQriK1-(ADjZU9j_U;eNKdrlLki!4W@{UJ zs4wBSi;508u$wh&l_AZdv(8%D+`&vKwN;nd;3sHvqKds!iIZF>u)5-+`Qba&>f6Sv zbbzQM@G}*L0`IbCW_9q93TF5%duUb%C#qnEOWDJpI^a1TW_W{}!6(5C_p(Pib#RIb z-taazgNK3{GJt3%$p044zXW%7*E0Np>2?W*ace04qTOt7m%z(?uoZZV>2?C|@zGWw zBUabhz?Env8@bHcz%gedmpL0a=4|9LGw_gWCCW7+S4J-vaH9$>;X|g|2@J!@&sGfQ zO&?AfhT(L=mwY&7*vp60xvV(W_d(}4@yehfS#s8czflkBzjKE8VbE}O&!r5*Fgl}Q zlo>|Iy)(+a9Oa&$1EVEaVJVLB9Q(r`sOV_DE-Wgg(x0?R8C|5?>fcgYq=}sreebvW z7u~mX@9i(9B`oi;>{;Tg-B|Wpn3k~&pP1OA;g5}mHZ~g0*ev#?MeH$eNr%{Dh#jVR zOcZ;}dFmrf?U;>==^PXFGbZY1OxDl-%KLDip3KCsu2IqH{UhOWt8Hcz#z|j&F&4?J zE6J=mnG-@2{;cp9m(0)o-p>F{Q}_Ta3!jJU^4&%Q8=)e}_N$4$sgNZ&Qc?Qlj@f6Tesa{7S7$02WWH*BcgBcP{-b)CU5cCAAZh{c30=-qf z6G-7&PoWIyTT8GLdb(}Rhrgas{c|e1-}yxN+~xA}Tt`LL%yGUp7pT}ppt#U=0yof6 zp$_snz_-5+PA&rBq4uP-4#ue93P-JCg9T$%FvE-73?>IN{MgN4h0q^dMMX0PdPt*0 z8lgTPdz5BE{pBiJ%OIp#fxlCc8H6+|uxCi36T043{cw_m z!L<|m=-Oo%QkI9m9#Il6SU%}T!pAQ6Tv^XrRCFB75T0_GwfTiw`yOb5k>2J4VX{j` z=0Tf(k$lgZH-{*d!&HX}#DbQ|WWo$RZ9_2SZd?fpDnn1P;@~(|85qn_7L__=dDr33$)UtpqM` zGx#K!VTV7U1skgn7!L9$v|#JdAEfW-n5FB}ELgh)uTas50o^NDxk#g3B8|{@8GfN6 zcTn-Z%h2;^m*6-Rxq#I@k23U}+NC0=d}l<{kuWVj9nB(-R)stkTHj3&QmVj)_16TU zzY6qmH{;#obK5RQgX$#0Fg0bVf1?P|nT5a}#|XQv0^e5A*%^4~R)6@hn?cC4zx84} zB401j=*GTVbezA-{wXw%PI6h^GHtFFN2y3o5vI9(RCvneXTldQiy6;Pmvz+~W3|=O zg>zgI=`NSqkf9!`YI^*cy`Dgz6L_ZS;=HQO4kgW08hfZO;diWxrVpH8&01whGnw_% zUV3`fakPq-R8gBRor_h|Rv|xlnd=0usl?->4&UpozHRKGzJ%X0YOh4VvL31oX%^*2 z)W3Mt1b%M1Re|2Don+0FO+{vVP1et)EL56RYlxw;qf0gM?*rD=HuDbeGF{9&zT29u zZS0}Ggx|F)8UVP?nzhQ1X3@B!s0pn?)I5KFGx1Yvk`}G={HLpi&rz~p;2&HkaD6?0 zj`s_`v#h>t?4iDdA0KU0q9C~DM<^fHT-&PiOx}`d88cP0$tB3gDmpEeRWrzH>sjq! zZ4OZ(bvV>@0xvOLOg+B*s(>XAd#Eo_f3b?*u|ROmQy(lFE((>vi&Qj95M1-A-$%Cn ztU4d%6m3pbA!&HM>jW+^T_la~@6FaW_E2BK?+q1Y0^YP{tup+`%^*a`$8xu9_gZy| z=if}`6a0WSAM@Hj34eC^p+Jcaf({+~y;K+!?BhCt8|w@dFCu)Ovii31In%8K{yEs; zAMK%aD}f$^(?)oyKEx=&5ThK$C`B>KQ4B>ZVsv_c2@HAW`;I)<^!f_){#yNu{@NA# z`@-|=4?~`LjJ~oxp%T5VV|vm|`H6F|W@w1blGd>})O7-9n=Y0FzC4R(9mDSRd&{=3 zigwP!0?*x}&Ur5V?>_W-lrFpD{eBj}B9w^`ddM$??@}m_ntm+l4;n4fSW7EE z7LL>A@hU8F=pTX{pTG~yUhE`%FEE>a1>JLfiTb~&=wt&zsC)*_k?lOI&d(t8wYflr zOyEM-37nwEit$cdQQLc}M7IpMOf)zB@<^QII)TrdE(UU)w)yO4)cg%?&NVlEZdjb> zI)O*p)5oGYzP-)XHV&57`Dt4LSIO*H{FglW;|9(tLZ`_l7;3T(wsdRJyx2LaIbOwf zjyTSB0{LuaJSE3z`zo`wjkiljnOq#Ib=2U+({7C({LN$?%y(;+{pH&HrJ3@bbelFk za&GF-1EpT}U`!`Tv5)Hn9%#ClxwW;up-MFIBeXfv-1P839OXKJe=}V)$M-_BwT(U0 zm+<2Qlj;ft*L(q2ojxbLZ!({FWbT$vX%_A0;o98AOleV@k88SWW~i{B;7r%{Ee((N zS~S<`s{5nW>-U@DUaHsX0s913NVDiv%%#n2tJafyagOT*R`l)~FIjxwwtD^1(-P|O z?`v%q|3Zatc8fJa+arT79OXKJzcgLUEWUgWf;SuZ6BP{s{M5{?1peI3pnHVdWSgeK zJi$gkVu`5d9` zk!I7OB#v^O!0(wZ=77%t9PjldIL>tf-!r|a?@eZFcfk8qGy?FTnOg~@S+o+**XHld zR4;0aaj9m33Nr{7x=x_~RZ7wSeAzbCwFUbN?%>xMU?(%T5=gVC|FSl>H&eZ+&HF!2 zHGF!3{^2;+3H*vK5#o8p_xDz>>s9eF6?Fu-3c?lAEb9M4nJ!k*xxc8*`>)8kul1v~ zE%tMrz`aZt<6l?Xd#Xf-S*p#dx#^=sV%>ED=bJ8?vkG-|*-)Wo6K!7)qto6ZL*)Xa zuh*AgKi3J=pRq3D+okP+W?TGZwqZBl!P*`YeBn^n3H(n!dbhW=E5^pVP}O5C_Q!wU z>gGE_+arT79OXKJ<4qTFM`)W9E=vw}ub&{>M61`;hB(P}0{x^}#F`-61y=8$+-sX| zCGa{GJwgFJG%L~kB6Ceah){-4xfz5A`Fk9#aM8!zeiN!CrR-JI=G{k+dS=OIwhG4w zoZ~uy%k^4xA>jL()whkWOKa7Gxn^!9@MAZF9wL;8M17PDkwQ>ff5mFq_4cZ0v#$!N z!hWt3c#i2}U*Nl^iqhLVRC8?>UYp2-Ihv)5wb@o7IGpS{fe)K5g5x{IY;7YSQ&e>D zkW0`*s}ilfRTbbG<#J74TPtd7S@N?~J6px}m^jC^z4I<*?;1s>_`YHF`izqJUnqT=Ql4YF?e^+S5Dxh;F&!yQbON#x7|k1qPY9l|Y)w3@GvW zJBm;ulDk$T*F0CM2dd~)yMyp8m)R6kOJ7==n+qN%9~7Ja?h=GgKgKEHd$ifw#&Och z9{kWH=-%qPvbkpsP#qm56SO%|g#`d7xlZ7-ri%rD@0Dh28?TmD|DXrg5=|a7*Cv>u zqEVIMEH{G?A)nN!vxEo_m}>)s2o?B@n?Z%3Us@N-)>dKv!pW`^=$|Ak zGQctWmf(*0L=}!z93L^q>YKS^eqnK& zOm|srV-B$X8b#On(~&OK3{+ugaFFW+jxk*f4d1QI);89pwP3-4W^N^rW-@~wB9w^a zuC>TDpYy1*q;Rc9uKAg1s3O&^(>nc+aG9M1j??DxDvS`0bDhA?O&24?_gu5JjTcF4 zDBvnHw-We!Hv=Nb15o0lDGF91=q2V_2L$1`fc%NH)&d|PX85t2K?s~rO%ymGu%}Z6 zA6Tm@2(9v2!t-*gZagh~6M<+NQzVuRI4k4cjl}_OIO&4>B@5*M= zUkZv_t7v?H?~h`J;DK%i2L&_qPg~YO4}nVr-d06VmOuzxhD|qv5V#CabTbHn^Z6v> z{wg|YByerhtqR;C*kS0U0zGs)fgxT#(<{ohjfze@xHeqk$t*?Zbvj$uOetTJwv|iT z?BkL)V_arCYJxT=s<12IB-aUC)*hG^y8_=SRkTp>bY5t<-G$R~>kx2kCJ%kVzatxo8^#qZv)k?ma-EhnNbZBg?#zw2bW z-D=Z2g@;|v5?*vko4>ovX0xIYeN~uE*w1wW8>Wld#CL78wT<2DS6AJxDw?X@1wOhP z?dvtQxw)CrErcyi)&X&J3dvI1P@B7WEn)U|nbnTa=13J1hNE03@G{dy!uXzOwzlyi zX&o@22iFo!E;QE$2(I}qKS8z&tU90hDcYQ>LQ?R0*9jb>r`7RU5#PJ4zHMC99&Xpc zfZz&g7QI78txB}!GtQb^5L%VtX4a|!zM;ao6ne1yJ@0O{+RZ$xP2nJu`TR4}x?8fr zKwX=l?b8lAD=sn^R&igiFTsAU?NQBt^4$3KE^TjXHvKkV>|Vd6Y+I{D%Vvr; zr>fXK5U+P_U#oPO#<9pgP~AgTug9Tc5A`ML{eHX&_VrL@_-z%fClDg!i-cC+uveU*$rwBvD$q1jL_yt6@M6 zi7nGbSK91Y%WQ395A`MdHdE2Q2OMJ@laP~#%pgR_7mrL?4+#-`rB^|SP=S0gjBa#5 zh)|KtUm?db9Im3v84x0L!Xw-aLWKO6w=!ipREV&TicUBnMCcD`7MA1j+RAdGx1T*B@ zz_iSO{{o^l7CvwHTzb6Vvz*S5^yy3|Y4|=tDcSb1Rm*{EueFrj zdX`~rBjHS!PYVBZ$r2f2$<{6q*02m~ESB9|HUx6l$)y-Vt-sP=%Z5|1q@fvePvj%lI4pHF^0Sf6R1>Pz^A`uq(cYM)TQkzLo;0T;yT zsseX(GYAp#w~Q!4nFyPhYZHVBop6|&L5PsQsSx4&DmrynV5sMlzV@R25wGur>nMg2 z8vwnFwsjHO>DzVvb*Ox~ozdLmwv$Tqwo6aXsVLG|_=qH~F}doZO4NQv z+b?3b(Xxqmh^jg^vii31NZ;e&1QlH2qizO+wGc4FEo~(=!I>(U;ih(&G{IOE%y59M z+$LzMV1}d=EvG1>5}6%qT{l3;s0=r;_1FL*qcZeh`P*z1EFqW=uO1DrLa_BLSRI65 z75J8$0Yg;6705$%T^E3Rf*EdY!>fZZybA1Z!>fZZ`T1HTyYm#B=K?Tv(pk)ByUcus zUOFLh@Q>o0su+cUhr1ZTQV;O;s-u^2sSM+d&I zS-pPGEb^>NM%cS6_yK}>^gzG?Z(Rm-z!v< zjego|id?3en;u7r*Sk*O7COS>=J?*L5;Z?m2lhBWxPLA1dr%FA>(jXA7T6CZ7BHKSzbZq@WC%~#sLz1iLsM$zG^AG%~ zdzI(C{`|TMI_+qw0xs|53#xAAoN>NO9xQ(B@+)8K=;%^W7n=xMxLjX3ZK@(`w(R)j z>jRcn4P0pF<|eq@WDW4CY4)<amU$E8o>XXijAt%=CZ-GZswBN^|3Zy+)%%~ zx3M;uXiqD@c)3PtMQh*%%diUg4PjUV!xLl`_;RR$-?$abF*=^q(MZ^j?8N9gV21uX!&CMj8uI>rrcM4IiFR30oA-Bwmg&*9V$!bT_?ykQH3`rc(&^V zo@=@Y%bOE#VA&fPZ&bXk!mCUdzEiY4)ogm#5^r>!z?)1LzPD+6n%9@$-L4aOuj#^f zy0&MS%|CDcnCk>SVY=|0rR~{Xzt|@ZU+d=khPLMhUpUWo0zWie#GSA01zul*3tcDh z3)6)!`>dA=a|RRF30&56;akymU$0;6V~1;W^X=01z~Bo9xwdat+}Lyxcd)jHczp>D zb)CQqO)u)ZquJUW@Ng9!o`9PSE;ir~-3%rKGvr@V$QyJI%u`ao+FAT#k34aRFJrp2 z&Ep3q4RYzgLW7T+E)t%l?b%*mf^%Fa@Kw`=?;F~l>-CF$tn07ce0hUk5PTtzQ^)}h z(!m$!i|>~z(cwB+E1Fc#UDh_g;zlZ5ZKX47x$#9yl@6u8CAtpw66>S4Mz zXIQn4OL3;_1dh=uJ{|+UFI#=v_^!0xWWfh!ZY7Xr(WODuszmEoRg?e-t;*29r>+k8 z9F+PkLl2f;2hi#*3(%RmvQwP@; zDhw0$ahXweYV9cy5k)i&-H z+%X?`Pxtg2CuCCe9^KQIxTl}zQNaLrEkl|`@8yHFImD`Uyoy6zC-6DbMGtRin_n6* zZ*ZRL1RnX-MYB0VS4V#L-pr<*Cx1V%i>7L3s%!IFGc|TtR-dg?QEr>-z561&vu#`= z5IV~EaQRQPHu({>4P1sfPE}zb%;QBvXVUANzx{V5ONjKwxSmivgw#@Gu)y%BU`K*%qd_yiS@J7F)E_CF6? z@~;W}8@VaF@&bgeP1+BmCLrJRy8T z*54(U)-Af?E+?$!axGy~mqUe}UG5GD|NRF{*4OI-d$_@&F6g?n8-DE!go z9N|ru?+Tx~{D-jYDwfU)!kR7z37fmzO4!}y-om$B@;zL~xEv?^*yUNmiOZV4;QPJ!S6gF|Wy|AatrZCFo3Bsu^FA%PDd82Tr%bCJ6 zF8?gN@AAKerRA^eB*(k;2g2DdFA%PA`AgxqE*}*B=<+4u zEtd<0FI_ILM<@QQEZsoz>na-Nu0rbaFk!UIM}@~-{!#dg%Z0*cF4tOJm!c|)FiJSm z<>|tCE~n|;ZMwh1{Xuxn<)4K2Tz)A0(`7F`n5o#qnRF$Af3T^z8wgvw+(FpKWmOpA z@(5wP%O415ySzZS#^qGuHkZE@9(MV-@RG~dgul96AavM21x=R{I$f?Re9h%1!uBqQ z3#rQkh0!j*D}3MOkA(AGwuLD!e<9rI@*d$amro0?x|}P_cln9H=h`$6UlIDdTtisf zC2z_HxI9sq=<-tGN|(P9ZgKg5Fw^B6;U$;v2_L%rLg;O8>**H4PA++e|EtSBdjB41 z@8Rjz!VWIa)f@Lk_8y*ggjaFl5nXLGc{7eKF)p+v()^jQuC0J3*Fsz}=n{{Mpy@8T zT<~jzCKm-<5k%L3bQP|wj?&xzjeZI6pzx|ouByW2RF>XNinybNsTS84)vWey)oy9E zcJ=X7m(v8l(5YpPD=J;Te5;XexX{UJ8PfqiE^wI1Dmcqz1DxYlaFJWD6+CD&i*%iW z?_(33=g8E2q@sxc{3~od(*$dqV*{*XvIaJE>$U>Z8Of zN7ze+>u=b{wLNm(!*p>^NODY`U8{=(k+%OCd#UNdcZ#;BdVL9A@7g}xoIPZd$zD_R z^A!~yFTl@LblD8Zi5p)?Gnr+z*A)E>txE6<72P_5(5hUtYRGCYK00u`1=V8@ahz-W z|Fpd|`1mdx-^;CDk3Ga2RMZ3bvzc27{J_nihX@G~_>7~5078U{Q5{DkBT;!Uw~U}e zx7_Fi>NakX9CbGM|MGeEb-TqKtnDFY)3X9`sB8P`fv=k`_VqAr5BK^K+{Ly1*Po>| zfOt+T+U{$%#sBR5(k1wAX0~<*JXb~21bT2S;d+6&Hb8LIO|QSE$Tn4l*@gU$nc0PR zn=VE+UE4Ff-o6j-G1m#~-+R%K;rob6bP!`=|3*iBVd6-#*BkP9%NxEU_;Sim1YPRp z-#sV3xmyaiX?vR47XN}3KK>Z*2z(zl-?p)0Yp?-U46e{WHJKkiQLBX3D_E;K2(2nb ztNc*?mpm~?^N?z@s={7?-*lb88%-B`0pBqy(ad5RX{H)D&Sd=rfyU9XbelG(sn8F+ z!*v2bH(m6D@7*fu2YhBS9|X1UnJGVocjzH?FMCj}16AzfI)Mk9E`s;;0GzLYi}ww_ zrKiA-Ij$4f)Z@@NEWUgr3g=n)Ez_+8x^FvMllEU?7Feuy z35HntQS_l~AE{`r4p8$iRW$2;)FYnJ4&bT%(pK9zMmWJ`*3SqzkF+%PYXNbT>jYkF zj~t^Jlx>35w~hRFUWNxx_6ML~NzE?vW(mAdK5ABh*O+c6kUz1+4*wSHFvR0TYcEos z;qfXk#On`BmU6oSFYxsHLyy4|6MtQ)cHVbu>|;eAW3&3lRey!-nn%*%5pOi5Jm>d^ z;LTr1`qT2}sdDna2W`@34Rxz4ltYB`TxN+x*DYUB4PPo31*aVkhoh&kmndAwhD>Or z21U615aI6$r>fYgRlMGH0zWie>`gwsyuj-h`{KG4^z<-ZxcKrh2^KCqP0vE9hx6=d zW&nrKp(x=Vum~wCJYR{SKwspy$M3^`93CT zcWsxmL=~>H$h7z~NqjxKc9dPKKiM@^)O7_OrK0Qr87f?VSW#9ogNrTER)4sHKQabe zso)LwH{Gs464OT{0RLJ87kGmWs|rXLGyKxcAdFTw;QsnlBk1qhZ6oaMGRt4dF^k8l zNRklWX(zdkKu(f8zb2|=7L+OZhDEB66T%-JX0<$?@3OY}0_-Q#Bi2ddNnxPXHi}Lf zS?ba1=0nkh(vl{z5V2^J2amF0rb9M-`<~BB?#OBgPvf&(D;ii|Th+Sk5&OGNV3%$l zG{c%ef8o20)whjDODj2Wl9^ixq*-*_My(QB*Hv_K2BB32{>aUMf109xD=_>c1rXB7 z*D-jeJQbTK9P?rXNT zajLY&4sJGcD}gkNrX*^W&^koTM;6tWsXxw|*FcEc3Ey`!2odxc(qB=83K5=C;b4KU zsYF*S^R>Cas`b@v;zHL6e5T)`D*#{qd4#6cxNlz@7pSVRSm5Pu1~-^Y!KQj{tmO@O zV67CuohIwxA(IV2$I*mE{g&uI#Ytp zHkkr{j9&*n9Zlwdbac+ix{Xdh^sWnXCA;{&ahKVn6{_ul!50p4ZQoJ(P1A+1Hves0 zT^=zx#mPY18kgk&H92Ved*$s+7rw)^J>2UTyAL~|o9_f|PYk|rlIsL=(;4S5LAGnH zzHMB>{kdxGH9f|v27b4azh4%$HER8DoG8 zBKXd?`nK_L9jnyCbL6W^jTQbkhpoeHBqK5kXT>GAE@2e>PlhgtKsZt$WCD5NF zUDB6i7GE;k+3Uz@J#)-=0)NNX-%QOP2==#&9nD81Nn7W=dqbMhDUddV(#oaHPh66L zw`mk@@_yzk%mNkW6fSga|Jm^}-9N;0if>6ajS}$Jkm~At0-loDcyJ7VGD+<@R$E)V zwp!FyFMRNIMPf_hu3#vy-;`Oc*_>Ae|*umF7 z1eAiORifQCOPjM*m^=8A>jd&WDsg`J&R5agftyvW3A;t`Z(PaeZjemBw%TSJU;ksJy!rhyJ*c9zX9#}hlGpOF=3XiUhw)d0KW6qKIKHc>C=xir zWc|AWp3wvpjx`*|SZ$+l%tyP6YWN?HikKZov$W~|n^22WdX9>cOQ3&(s7MIk&#hix zOegNF6PwZiyQ{GCAkCueqE;nZ`QKwTKxkEl-%-(02P@efNUIFDw_ptr(vgF|qQD7( zLwzNyUs0Z+hhbSLpmt`o?87Rw_WExs$7t!=zaT1y688(g8^ zrRDuctxB}MLPgUJLaTDoD&Gs671d)Nk`B3y$#U7Ys2>~EkIm|Py2%^wH%`U46sxz{ z^#2gVbyYO^plW8_)Mq4=(7HtkSx0~Lh@xJrWVt=Jx}!XV%- zt`j&&pUQ{_f$tQn*SDxGq5cNh_;i|<(F?*$ExVK zt|YUmy-NN!dz(X58$QnRmL$%KlsT@Y5L>Az&HbmYs6S~^LBFME34QcABJ=~|Ijgwt zrRLvP!M>*Y3`5+13Gs$S@rJ!o#2XgH8y3YI7R4L(KgGM}|2^I!<9(ut_kTOyMdD5P ze~-7w{GC_C`;X=?+Xp(w%+hXbt<2tUd?E1v2PLx|Ao)Md`DevC_#gLM(Qln*Yg@nd zLxopNMk&;b6!P^;D!OsjGV@0qy0Az8uf94>-I*`c7+I?M$*oJ<1I?y~d*ZsT6S%(V z!gsK?hj_jHs`=}#6S$S>!grXqhnr1j0dY^)3Eazc;mfgC_4*QI(2NZpXu9wnq3w}o z(>=I2%C&vV{Sl@M-{Z7>yw{iDIM)emnJ#=MXnUgB7XNywGrIX+tnGI2g_B*|-%y|3 zYti|=Slj>ZFOB$ulz3mv()Mh#>9S3nt8aI}PJ5712R*o!aNWgR z8z8vq+QeU}Y*iIj8LYcb;CD)xV!{K8bt z61rF(mwLm?g{xg=wX?K2TZMGt9M|^eR!8VL$08G^I=)bXdrLUj9*Z=<=D`)xEIRV( zA+$>1W>#GXp;e`5#d@{ZnxQ%#n@q>6kShGX>jXY#I+`tQpQ#ehmNqAvsRk}KS-(V} zar8bnOPjM*Y)V9bE~QI<>9zHgVv#A4?IjiU1G?-XMm`84Rm_xM4Gz}k5DTKunutSP zC(!>6tQZ8oTdOEI_`bE1N4ZYmbkju+_?~7q{qA49Q$;%*ba!QYh6*Xb$6P1y zQ#}!gcM87qtiEkLR?kzke}VtySIhqLD}3WM=p7=!Jyhfk_6}yqvkaNR&x0A#ceHk* zt`k!DNJYC3gsv;_KFcTtp^pkY!h)qB^ihE`+zkGtqM=rxcg>mKUUa=2W6|%Z;BKZ{ zokxkQS+jN-`gdfvI_c17uK1l6G4NN63Jf{-hrzd!^%vETy_+`HM{Dc>;z2?4XJ4eP zl*cX*Jw|J67K4v_^-^K4!alC;o16W&*~MbPcN42`C$OfXsRdt{xmAJwy#*-<5%P?Q zFwk7v75G~f?Ynz~|8_|LT19(wADND@+A5ASUCt7ob@{$PyXdh|+pS*zZlUM; zB&yHzrTqc^@TCHOV7k>GexagA1fb&G_J^KA@!Q#hRR2}kqw!s*sDDz?pk5QoR$I>+ zM?>Xz`~1n8PFp((hr6Ul|I@Sl+&oMnhO00qkS8V53B1H_khF;Wh3`bGZySH5(JDFc zYZaysmiw9++@yjT5-l3a+j^$(PkZK2{37#W1s|YWTQy1HE-D(q8A9kF?H3~9lI8U` z-NthxtvLnfo2-LtOs01V{Nhv-1fF**c+;)mCZ(B9JW7kv7UkJClkD<4CkgZ->jT0}m-IDONkB4?l_bbOGV7hsc%Gml(>{9BYqHX2IGW@SqSFjtzEr zWw1l5_;PSJ3UM|)nz^k&R8nUHtgd% zfft)D4w(*ZuV^+s<`c)Ns87JdOm+;US(HlDszj^7R-J;)Rn)2scXu-g!OHMLH-nH4 z^J*`)+bX0EC%aDIywyFQ*!MlFZ+F0Zbh;#8_=;(L3_+~uVG(vX+;rRc>kto4Gu<{W zsh>x^pA!7KV(N?uyqi`TE_5>pJ(jZ`8Col}40(ld|4%arV|C=H1 z80;`~Q^~r)pMJu?D$&3a1{nHRbbm3~VPIuQzdC-4`D=ADuo3D?W-!%yZ*{_#+zgiM zXEWRht19vamjyHY$ju<6-3jNb$Q%50B@Y5e*;BD5_>qeCUMHO3X7Jf6Zib0H#BG98 z^~ZX6!!uMA8yw`jx)U-hG8dE6>dYob<|WKcg}M2giY`q+$iF{ix@C^`u97Hbx2);Y zUg?A@nQje$Et|)7Ck%5s0KO6IFih$IxUC9tV3^ebkPl;Fhto~B2Eg}&9fouVK>kV> ze;DR_KsMjlqX{3tgrBW~9o}fVH2|)pS=X@l6W(&^xUOLhSCW!hGX{^H8(A2$6dbJt%*XHZt@(=IbdOn)*{Gn-iL(QGA3vO=y? zk(?`#gCzZ5?Q)>j3QI@UY<)=nuj8MmsbTPHQ;e@UQ?UvB?}LWZ$UYomWUe!9HUQ1l zKG_J+^EEB~{7BgcuBsw;5O(N3*-n(1wZF8l{e7|l5hWhci#B8R?C{pPivA8$k$hU+ z(WNAR(*1qwt$upwP1d5bIum!TjkBKhC5N~x{}oPSZw-;vt`+nvfdwv^of;(B8_b$y z5vdVJ3Q3=mN2>GD#ntViybjhfSpz$oO!-a#z6C&Q=Rv`Htrxv6DQTMX)dEX*)Fzjz zlS{iwSEKDLC4BtTj8&??siJG5ql61xW{xb;#dDvpVfaTc>#NA0&qgZCZXc2KPmET9 z$FGCY=352Fn5=`-Or{`=vzXE)jdQFVe{5}POUN`^i`tvf(zrm2y`L@iI_H6(xO_|S zL!e$90`=k$SW@rNq%65Bx{2a1^sagi%HP=gX{rWDQOgO`P38mTcX?CY^pwEaC%V%4 zA9EwG|5ZXn3I{+j$_5j9fFA%$>6G+yJ3BSN%_i&M7Pmex@OSmv55?)rPM?1IY80oh ze|OyUn83b@UAOpEeyMq$OW+BlU{yaZzbE+lvwFFtCs6G zdusDoGo@qme$sZ{Pns=Ks5?i!qxg-r?mW={t6z+1(#;p@e8#(y?kD`!B@9#{E*#|A z?y}!7UHGy+*KGPorZ~@a0zWfd_>ycd70Xxb<2r#q);(361HNmi=u80iFj-$kUc0D7 zXI30Ltu|lNm<}{kl?FRngQ}9T*Ws#p*6t7+&kK0y&>$)~NM?@wrlGAux^S}V1ny){ z-HV~&dz;m_jWeWm9D$F_+)5z7Esz;>k1$NO;TBbQ`r@9h6IjxHXgoRi?yjQA$&$r% zgqdo<|G{YeRLQr^TK|hcoM`HbHdWf3VvbGPyz8=P)6Dw$nQGp#+6J|syWBua?^(O) z&1bKozWQ3;`fC!gpX&r>-|3wN#dmWRjps_?7cS%4&CFB-7nrQ$NStUqgmK3z@K0wp z3&(sAU25kKl(oR62dQx2K)w+yo@;!!QBiJSp2_+<0{@v!y{{?w*NEjuaM7kln^Ub# z1Na}Z)xcYBCDH(k)F>k5vq+>7=2+z)Z1B-Yy|se7T+;l%TxRpdw|n!sMb>Tc`Ni?} zsmFz8FV-!-zc5?d_@1=J1bT2S(InL8_j4WGoO5T+*+}$1P1BcsOCPCQ^mtP7?KI!E z@eOHp3+9=*l|Y(B`r%g7&3ntUQ z3w-QP=LfK(#c$Hpvo4FS@`YSn-x*$~G-UEio~1oxo1h#mer|_CT*+>`%AuT7vIzv+3E0c!!F{33_lX;d-aJHb8LI z#QW=;vb9v=@lDkB&)jxm<~Lp2Gt8!6k%}{2C-4#7`NcZ~-`OhKAz)v-F|6~=Xn018 zaF#Y_tFXx79M=i_v#!PBp!m*JQBW{O)r#~T0naGt8`_+!LQpu*bpkimReT(Du(pSo zO}|SMhq_K6-|5xew`uil{RTlNv=yye@ROq|8I)TgU3T}}eWD5@cABsr3H)m_W_XqRCK+zCgi=pjiNo?yDw39k)y$XARhzfO95 zGT0&AVt-o<-FLz{mP)$}Uoze5guXYTUzitrqheFydrLq4RgvmzHeJ1l{ah#TO?`0> zJ0SMm-Rj#Nu!s7D`dKR4JD^h!448iSHGg;js=*AWxf!hH&oU}-Yr9dagV%!@o@(DM zR0kmq9h$|E7N}3A9EQF-;bax;F!a(1hg#@%8HR2;;YKzE?J^u}y44BkmpD=Xn&_hc zFin+cnv{vZUXof_H#vHT;KQGvxE!pEmzW65k@B?(%$=5>NT?lK#S9I{Bv-tv}SI!xHZm5ls;H4E_9v12L{@L$k!IWo9e5|G^xg0^gUs$J-9}fjSp<6f*HQ-W-uf~ zgS)9{HqH^Q3g&yn9y(0uIMnB>h=e}#ges8U)pP$Mi*|)9J_~lZnf2GMzz{Fr>wE_r zgHdW^{E3Pr$>JI9zQ4%n;I%N-_m$IW=9ur2fvP11-BHu~r!E<{hiP_%*L0a?sPGmB zXSz<{N$dM8#=i5cUY|!2mm6f=gJssWzQ7O_<{o~-%yqEMdgcMPQ?b1x{?N_f>|lnE znYj)=GT8)Q4GF=WR5W%l(qt2mau+>L}afh7xM>$oZocc#O<*V`c>gQn< z?e;9q37V0KD(ql5$#nv^-OP7H?0cuxw>w}D^`)pjzrxt@Yr1^iRPSmXt$Tm;b%_tN zjb+pm(X1xx?sIifRujE#t}Dk)R3x+7lQz(Oq>8S>ZWOlL5ScGbeH~AOHX8_pMzKcfPh4c&x?#-@*(f#Tr+&y?XjUFCS-(eWz%9s@e3X ziQ@IH6L@lXdXmMRpzVof)9w`~xlZ8TdKeMMO||{+{wjABO93o&t>!0(za<^kWTiuUR^g|RNP(JYIBEq<170fZmX5pBhM5yI|-0J;@TKbgit9+)}9eQJs&H<}h@cjCM znm>9i_{d~F7t`fD!$zg|9dV}X1ioat*nw|od#=});5^p}{GM*m;vI`7rRBaUs-+I*h75@ zzfhki_jjGhD>_3dxkGf4IzmM<+pVR21DVdNvno1f3q+RX4k_s;x->? z3bbGkhCVAPAS=vpn8iu~0WfC~*pZ%boo+t0uJW8l$a|!<&`Gfnr=W)Wg$*9rnmunBSnbDiLILLJZKU#g!8N+vT74;7$o2>Jd*I%ea(}d$rR$BwhT5SWz zl0s_VEh7+JGE0*--?ujTjPd(B?<9>nq3t%-wmw=o)@2rEwmSL9vmvQIUW-4@%JF=` zyUyo;{Jw2%8hjjKzRN5k9ndHm-+XN@P~m+Te&#xX+=s=>4Br)W2dGIis9KTw2)nq< z`spjDLGHMvu&2v|g`-_g5H4}~3*mPzpAcSk`4P|!rn>4B)^oXyu(!)Yh2vaK5-xLj zqj0awr-j#Dek_#iE;C(4*uW)U@4cVPk-|8aX9`!iyji&4D)}7AtKG_%b5D24w{pMj@-t!CE*s_=!e%Z<2q(C_UYO?cVc}VqO!-PS zVNEqXi#~0_hyk5A?NhTplhQ>+&ptFGE+bUkmrRoGtv><)=a)o4eZT!q;4GBkb<-P~j+- zrwHe`oGRSp@*%-zzxJNwKiv9N?SyS@N7OpPYdh8KYqjaA!o@B>6ueDJn{GQVm8q)2 zK?v)v6S(rAMGr!JzoVif16*ga{%Zlx=*Y(LB&)4~8%;KGyu&5|0mLX5P7=yAJ@tKG>nie6g5t(n7CUKxmx!y#? zL9P?{i;WiD75Hwbq6ENm>m!>l2p4?K{ zIy6+|yM?f)%Y%huUH(|O+~sw`?_AClUUKt>{UkH6|UeYy$&0X#&)Lo7de(3T7VXDjD2(w*wX@-W_ET#Jh2f3Uk%y9Xt zFxO>8lf1gkce;n*Q=c;R=eu>P@LQLU39q_*Px!*+SM9`Ay+_E++|7 zUH)Ep&gEZ(FI@K5T3N%^OS-PGq06re+q&FM*vsXC!uMRZh09%DE%;K&kI((I5EvsX zeuy$7s`ezO$^pZG3eTx^03#t0>n5UZ-XYBagOFu8n192o+biBa7Bi*MXqWVKv&-j&7hS$4yy@~?foW5) zIQ}LUzxre0bnohF;ioRy1>>yO>ZQUpE*a`_7OA?X(B(n55`N;=2ZZTv&AOVe%>^oU zl`JlFoxt6;T678HyT)d=IE-sc>*fHgYvxu0I|iE>d||Q)zPh(#=#0(S`4tN$tGCWtzbL1g4f*&=DQUzr09Mo8c~Ung<+K8g(_Ne;B2L& zgv-zqu7m3p5Hs}d>R>6KwlW-Q{nbI3&2l{RBW;}R1U~(Bi&lXQi$gFQo_eWd#EM^$Eav;vm?&2uIlvaUDb=O>P1)eY$vE$95k$<(JcD= zhuHj%F6Os2I$Ow^_t9B2bQTUB?Z?s4Jmx2$Y_00H;3$pZ7#m0JKJ`YAveMzIHl*5t z!na*sD){=Xt)PK&bji1`CXsoSTp;wZd8+L!FoUx0CoqGOHwx@<$#~3RpAA(WU$!nB)*+T*m0fYSOAZuI*7mw5 zTLqqM3J$hptL%I~M4QHaifyIbP-iyg|6b<=eutcBV_$5;k&q zs)jtthMi_pHCusSw&3XxRD*}k`ZzH$(Hp>IMSR|Z*GcFpYf~qo@#dH>IFboj+$TB9 z+N89hFGZvceMP|;Egfjx(#Lj|ZXN${5YUGwWT&}X7wz(J`5)s$JWV*y;qp+GyyEd>q$$qxk9f3(EdH%nPRb#SD~2H3`D=48QF zeta-9oUxXCgH`X#y-~cU)LA{AOP#Z;=TZlrOQU%AXk?=zm#~M2O73X6q`=dvGdE#U zCQIJ$4$(P=Tz+e5rQm*(^;ZSYuwG=Cu8?P#78#~RhW3Wzvz4!jVzwIJQ|I?t0u2%f zlfjPhz0oM*H;VZASchm@cd!{w_ZJR!$*e7_5-lm_|1PVo4b!gP&G&jDCpyrc92VY; zqMgdFTYR69*Jx{=ziCG2SCR=?jFLRvx=d+vq_s)O%ezdo^JbLhcJk-Mq$8R(yv0Zs zj`^FzM4fvs@YB$>!p$yODy!Rg(rh*GCQ-K2QEj5Y*-1m}k`vP@`Gvq4CmJ{>@9@sU zdqj^*nD-(-3D$Wp^7wV$_I#A}?42T-B!aHsGs74{@^?u7Sw+)PL`sSDk|muoGEX`! zg60DyDAT9;VrPe0JWm0`J981VNzjEBw8>iWOq)f}e40~DOjX664vBTw3A}t8d+SWH zna1}Bt8W|E-kN0UU_BMRYbKCp(Of*J7SF0^)N87Ckcuws=@C58Ev|5`6Em`nGX-)hj8`H@L!YyBUlK zW=OQ?3ieS2dR#>V?AliEzABPi3r&|73SYH0b)pV5nSw*ydY*8lTfxXInGaGAAvM{UADM&NmmiuT%wto{YnldSe0F;}zJbv!R| zNlem;b}u=sV5TNH9O{xBd>GAJCFw}ZcDmrhXl7kbR`VOI%k&Q60hi3phSnx6CM0DR zh^E=SQ}Cea1CkyzUkbBinytd3hI3pe@SzEOP0MLJ>LWBN zN^6$zw#n*tYQKYutc3cig=)}zOPAmPlhs3n6J3HEO*X)NZhcnhW4%^8!s{w^LkK1- zYlMDGHr&y#i(%*^I>Kv;+Wpc}{6DO{2e?$#_5KfG)DsDfo z=XqYqIqzM5#3@D%us}vE zfmtm`9t112w?8Z!EO7SsR-{UQ}Z&q8F8) zB(&SrW|6f7cXK%t58lNJ$*;)r?FE=!QP-WVrsW}dCi8ec*2(U81lHrtvGq#mw=T|t z=D7GVw3>zIgZl)b&v1U%g3OnmR|9m|yOC1!6LFT!4u-x0f7@uIs2 zTB@@6K|r5GAMEC8aSN@X77g@<%i_VV7KQfm&wY}5GPM`%m9%ezXq?YbD&kN?ODsbA zq>2_*(aoA}R>YxrJ7XY$9jHwn<0V`PrEN3wdmFnS*SPBkBc)qBoF)Gt-g!sV; zJj3NoJc?z;(Urxb<@<%%`P=!6wwGkzZ4cF77d^GNFAMQ20JSKzkk5b8LZ%EyScaNt zxgS}Eig-7`5Qr`aYVogKEn0dn_ZBw*-lCU+TD*jnQ4`ex9j%DZcC{!JT@iooYEfU# zBJvhr3dk+GDyYTFGjga!y?qcXVs#hwLdLVK-X3VZZG5pOrylD{LlipRT~++qs%XUK zn9_yhsw8uhujtRn{|~ZJ_#pA(HYin5Hb>ZmGJE@R&%(2U{0jVsAb$ymxZ`5S^wD5v zpgRO{7nd{f8!QY4JU`sz+YN9H5#4WM?HTCzF3y5R5yX-@9a4OOIkt(eGO@0h zvN`61eMN4q%HnBq>lCaCqO#HJV%`Es-T}TT$gy`SG1R8I4hIw@8f_vI?b~d2=Ku|Z zc&^Ktc+5T>he5s{0fs?z11pcDH$(DFIy@NFerBy+1Knt1U5@&*+N`csA&<#>cQ{sg zs7KS_-v;?rcsnm-bS!!dx{y=}yLeJjW@6sE@$60j>YaF^%b9qE{W|tez7LzdX}kz~ zNq%1dx*`g$`I<~pWpeFHFBu-u9)K=Z#5cNHbS|e4sm0F&yhSSxcC~mHfLhcZ)Z*!` z7OlRody88E-l9oCE$&LEQHw&+l{lzL(Pb6=PwQVpw7nJDrkYQ2@m%QkAX4YAt>m_% z%(kMmwxXOimGC!^e9n|KTT!#2td>}o25q3VRG$fHfEe{SIEZmT5aS>q#*QP#&LXBs zceA98^Yf&QB59*Y+9;AXilmJqDFyk~gF)?Si;ADFz`b0~#7CH1oKZ%zKgR7P@i8uE z;!eYC8uPP{d|x*^e^U;AW{BIxlMk@@6kQKkLYa7qt3^Nkv8%ttxNlC+tr&Fv4gHY?)GfO-tQ6V&2;toO}K{I-uoMf@(nT@)&>B$a1L<&~uJ z4m0%*)iYXUamdj<$x&90Fv{J%znqitJ;-}p5r-bPBt5QZlzn8|Tg1JsJI#tX^teTQ z3*c@<6nfku_GQqlh(nKCiXJzT9=E94qkwM7#p)?@*pl=(KWS*}zW^Lto72jj)_7fs zwXl*SZfO?la)8oSfPUek{8e3Y`!uiU|A?f|Bcdynj7T~$A}(O*HMXJJ5uL)Od}^E~ ziUX#h%wJmF4Gr2}Rzvkd=vo(J6NITZ^Q|{kHMS&;pj{8rNFl~%5ksS$)T;NfE>g=_ zivsE@APijW8KYIST95rgTja0D{8~Ys?L5KV3*5!!Onm&IHedOwl<&4?ZyFzBa(gD; z@gOTqbn$Qx6TbwgSF|c4$=GJ%DnKnd$<$5bg*EtVGU}uu{?z35^4X*dt(3)I0{JNQ z<({u3{>0?=vUuQsmJ&I`n%5y4wSCGZOF}b}w3l25rm*@lN`~>P^ zcvZ^EG=*4nvaZILrxCQVZN%6dVw?&Mqeg^58mduI6_O_xt+Gsf+aKS90E2h}txg7< zZZyoRSOJZqsfKzr1mGYVX<~k7qgGF``fGy;-_J5t)s>YjQ?2Mqt>{Wk!>QpTsYXz3 zAja~P&@`mi^*-vtNN&E{yO)o-=A8uj=+SSF_eI@K-s_ItI_AZWvPH^#l=rI>NQPB4R zci?Hbvg-V&fKU_j<=efa|DDxQ7k%Fn)fLgt#pu|ZynU?0{K*iwugjUZ@{^7OA>U`s z-ZWmAeGj*n#HRuV;zsBd7iU9?N~Y{IR9Bm|F8ZU3g=0QtitB0>@$nzr&E-ry&*b7p zOTKrTu4%k5`vz_=iGK{JX(DvLi_;)QC6$g~cbuu}q6b|p9P>&Q_aV^Y63=%z6VE!b zs=DY37YoO{QpG(FRH^tymoxE{ zqdHb9-y==eG+vnfU5js)#H-^=O#`4~T^tW7Dyei$cDFNCU37wrg=1c+;-&&sD!#|% zOgwpX$4ce9m+6|u3$y>$;+rM$H-MUY5mE<;Lm@>am6q83fvM`EeO)XZ^GX%h1gcbg zk;|EQUypnlV4}gZ7 z*l0rYTwIz~yrukxW@9WN<%lWBj{==?o`yH{zT~Hz&CrFUN;n^I!4rLEVl|#}MiV&3 zg83W>KHlX_{Ahc}0g~_4W^WqrFxEywGyrIT#Gkub^mR~+l`R>!Bw0yG`&m?76q1$2 zU$|Nnl9h{O`Ec%b4BZ8sly8D&xTyF&Exvjsbf=4=M@?*d3YzC)9FOjVHoV{YU`}Iy zy6N~>7@pyBCO+}Fj$R{@oXs>fQVYtSVwElwSclF=^bN5kmJSjZ^x+0JFpfY$t6Z)O`mHJY;p(M30%6c45)Q{_{i@HK5zK zoD@f(Hi>Sl>q)QHWw+H-RTX-!jYL&+v5TFOtuwL~kGPA=nYbGYoqrH*>%o3c)A2zN z+{@)mJmh=d_U&W#W=T8{P_^g?Q@5AJN4i>cK~RgEt`@x#)Z*7&E&3*?#U8Q&09P@1&FNd9(6#XE$iWQbju%b@&sFL`nfJ5aX$UR$QEmGZV z*4Epgmt0iJftE6N9MA3qprXZ-T+YM`{#{x5-e~rwaiwI{iMj#xPpq({XhmJ+Q6=#; zfTHh&+_OV1A%725EHXS$q;Uo*Mzoz4Cnx7%C){^oKf9<`WHW2{iVdz-Fl zyfFLc7T+w1zXDACN`%NY`CvhR=(l95>QaziFUZ*L3=MSgN6;`AW9yySUBXm#WgOvR zw2o$X4AA(9k8wE@|6=iu{gCe~W^Wp|VW&>fKEYM2uw>;{y$8w>3MTE5iOdySiwf;3`&FQfLxYQdFy%YoZWUE~4_g4^_{##pXiH8B4NV zez}M4Fuv?|>S4O3@p{NCAkikKZqLLDOV-tRb|(PMws@k;nfQ**9a|WW?oWVO`4sxX z#WG&Y1GIZW=ec+Vq0A3VK2!q*z^1N3c9Wq&+!0vb2$?qWpYufeEkNBzoWZodr9^YfB_QueNTH?thA`( zU?o|zBx@zw^(JNAIx%fUbUr7ev5WzB#)pq_ITPPta#6p0uQXlLcoFuJ{DM6nt10NF zT0GMV-{W#7UXcSfofr9jZuX|}BJ3skElxaxB3jZ@mBk86#wy90DJo>m$Lei#T`Z(t zMCW7G14~b!u@d)kITN31axqr&J;ZcP<3-p@@*4{%RCK(BwU@;TOX^RuW{L_~^ZNHe zH^xHhMRZ>Ocr59C=|uK*juhW*cN|6i^3`3S&IjVB0ELKj@2IH~D=eumi7F{-Ni)|) zA*x(N<#pYP=k1oiT3G+9Gds5*R!Us!8i=l@<7kAtx%@x>X~nfHt{9Ep?Dz3p_I~-k zA+LCIlMCN|?DsdFzh8cU%b9p*lMCM}`#J?{MTymE&4_qQJ8Kob{n+nsI`YB;T+YOQ zH@TzlU8ZYx5--eNb$dxX5^xJ3I^5LlW$`tx7KIG?v7ES$eHi-;z@ixYdswGr$#lZD zUD!Vqa4}U@rAn@=ce49{sj5m69u}2D=kDaRK9AiGfd)%F-{nlaG0P`CeaP2O)lK7# zIIvl#qCP;bVudBkH;F1KS`WWeDhg5MB1&^)TN0HiYJH2Ui$YYTh{_lJnuU2pJF?z5 zPgx_Lgg*^(iSl$tlRmblv;WC}fw>QQ+{HQ22QDi38y6MpPr=od5!VLEc=l$u572mu zw{kfX`y*?ypyWHq>`mj-kh!3U7U5b_h|V|+qA0lLi)b>sCQt?9^c60jn7*h$zIu15 zPDtXn0cl0=nz}s`f9z_}f*CsLWCYZjxQok~c!)h_6s^g(pV^znKjBP6Ya)L>YR|+9 zLmlTPi7F}jD2r-{LR7hk$}ixXVBX9;tK*^5U5q(f$+I6|;xa62x^)`#q>G}o~Hq-W3Wte>sBY_YYJ|96VSbN1vVz&uw3Bwn; zoQYRn!pAulG8x^CX6MsG_&vbbi#{}UdnVq{Pg9}?fM!apY{@aLWcONBvn2kj$?ava zLNz<$6Fo&)e0I=_Lvi`6CBi$B{^S--E&EX~WCSJ*-cFbj}n%Y;tktknd)uYZ~hh zGLuR)z|?%z2%q3;(WyZ#R<@+!5$qmqs;aD~yBKG98oSehR)ctk%b8fOaY_$R`MzcL zrt$vvDNbE+fIVcFtPeB)P>J) zn8>A}RZMKV4|>S8e}~?8G4@uC?q}h(*ibT3?^0dalgqKMiz;rUi!n`w0=rs~JO;om zE@$Gsm+m-d^4-qt%}%?)dW#ipUId*?4-t1KLQzR23i{_#O4KZgx4@I(EsMQ@;xZ@S z9|C+caVQ`^430#1CSaVD>V1G%G+K?ls^a7yp_!vsS1%m%)%|-MU$KmB50dRQ%b0&U zG#1ObfO@N)F)axsi&rz(s_5>Z7T@P;k@vd2dKo=k*@rT(Ras)OYUOOJp)n8ow~I!e zOiiC;VnaPWi?KGnbaNe3wOtD-Rq{>dg5MwAgINcN_!=&Z z>GGBDObf4y_OT0IeJ~`?WC-Q>k~!wfSE}z@K;DCX><%(jZ3gtZiz}0AUrSdT33=vx zB|k)(5TkbQ@)(6Gjs>Yu#UWnt?eObRT@Q3R5Z~riIxkl z;+0)3st2{$ucmq3NwQ2yLu2^`_;YmM0L~0bx;jYCgs)-=$@3@E$+$Fux+1>Z=2Bye|XM?GErCE!NFW^p_m`N5_h1pu3dT@gEg+Yp}nj>qdx2 zfS5zF%EW&}^q)$OCqZ(Ae!}kRxS=`~QVWT78oTLBLuRtC<5&EW$;pY8{q&_Fe+$0i zKQ3d1!J`#Wn(S&O*XX4ja=-7T0U3g)o@f`MPNc0v^`(lM9?GHvZ8*sD~*1Y}M zIM1E&kNiqUBa{Z*e=o`|r>y zp%L*YfO;IHwv$dIO=oI4l*U5!P4)x4w6b`l$?e_6X9T@?lGoNY^vRDPumF`8M z&9Vwrh0+(5Hp{A1B}yMlWk@(%7Pai)ZC1ns0Y{`LG}DqaQ%Ra>Q8O!8>Sje8x=C@q zbtA{kmaaASfR8w1Hbf=xw^O!uCSIkYja4QehiWjcB`>QY_8&3Uf~ZiRgnX?`M%4s% ztiTt!oQeNsa&bbG?-QnL8o!H7R?&j4omRmFlvb=)i6Cd<$4xHM%6D7S@v9p6T0mOS z?WS(e#0o!Q#=K6$gyJr7ij_(hj9@k%|UFN%?Gg=bnF58}gDb-Q@; z)l@-Uq*rq&Onmn0rWQR7q!uf4vf7ixnG%PF^VOc%%ZZ(njBm1!_QERSRlR~1@m3}` zE8?SrUhGviE3rySYbnZXR#awp%9LL0H8t~^uB4q80p0jJq%lBLi(x2!5*kDskV1S}=dQ>Jdu#GklYw1^BPWq8b7>!OgMELK=D0KM7mW3}*wB;42K zOnlQi9cz^Dt!C%Th(+5=vd=Kz_Oke;UN)SsKtEd3MA1QjnJtUwx%#rTxEcTkT=X@N zhxmxKO??@3or}v-VRwLYr?5RsW9zOVRZX;kiB-`qt`+U(TG3F~iiW#ZbfRlTC%aa3 zv1>(_xmNT`*NW!3c0Sa_I$RaKU}8;u|J+5<_pQHG(OM?fL_RoG4an!NEe51k3_`6K zgjz8O`I+aDF#5^3Ohu*S{J8(;r`8cPy0-IA1WmQ=#9@4x3d5Xzg7b~|kZcwdCP$N@ zBG76wlptwMlc~5wwK%b>Tbld;QDdEfor2*mF57pe9F&^){8qOI`*gv4#ndO+KC~;7 zWI^9;%--xIz8Y{T6v-7)w1}uu5!G5mwI)&dnY%)!uGYSP=-18VOuW3wNgvqn>Gp5^ zAA~&?@U61n8hphymoxFU-|N`le(d)*9Y0fn2e_Px*J4o9vFXiz`U`}l2YGU>_2ZhuDI}vE@xtWVUqezV}H8o z_(BMt;c_N^kf;9+zU)so9lr1kmoxDnOfLF1oBcU%FNx>6oQWT?ht-b0`uv{7E4tOh z+GEfwF6t|Xw_RMC)p-Z;$-Ri08fDftInH-cjz0lBU{8a7=i(BCZf)Uh{h?7Va`4!u zOrHSm>O}s9K>ctMUT;b3k3g@wsHVJ-dJI1m)iq|#PXp@2%dXmvw$vuQyczP?+;Lax zJH~2k{XSN&u~q)NSxjpc+SkP)&@dNc(`yoXh=o_x#bwM|Rrt;>?gM#D-sFOxH_X6A zrycP>cv$T)_2^y$6fauY9?WZJ=)v5@>v-JOdo`Jt523CV6PJgscky}XV1ERD2~q>e z9IBSjEPtCa`HMi?6yDpy+hRxMxU4nVDo1~JXKUfu8XcFwX{0&UCqworL8toLh>GJj z;ZM+)E~>;kt)03`Jkn~b-vr(5VobVm2xn*xQM}rftOV+yWMxnfCC9igsQP>>rlDfI zf`&45vt}DH0T-1RpIl$mkMk_Nu70Q!bYME+Gpqwu4f%4GslFAojfqut$%nkIE~!6+ zsY^ck`NGvasxC?%s#Kv{L-!_uDwDn|VM-=Bc55E{ADWIYOyK!0XW|d-LyF=cm+yw? zSV4WDeO){Qy3oaop`W>UJ#?3gQ=vy(oDTio#pj_nT$}^F=VB~Nztijt?Ai-=aXAw| zVRBKHeD&dpJ%Pjf0M?~wu&LWKvBHw&I*Z-s&CD4Ve$nMj{BM(s7G|^m8A!rA>B9?P z1;Sli&cuH;xd@l9KI~9|;$s1+L?@WKJrgS|X<>hMk26(%_jo9~@?-+yK+R+KL!dgu z^Iguw`|tq=bIm2gH~D6!YZ~u`OoO5YT{lCgPhOIH@uBPv18PY;+~rLCFrPG}Ey*|i zP-b83v?MwpxQZvZT6B6)ivH^yi-lu7I`*KJ zp4K~Vm*8G5XX5ex=}*3@92(1e zeH6Mg0lgNjV$ludAK+qUii%7xnVlcU+8x%5tBpTKM>5GeD-ppvzsn6}sQW$Dp@ejN!d0rjPZ9J8ZbG%b7TQ zOp-4H`R)$LFM7tr+V7#SUEH0IAg0=<5w&-ql6`_vYd}hs^jVpHWHD``-WMCSE3dMi-OH_tzk~DoJ&LsoF#@ zm{@xmQgAZYa=gy0`4m?0=xR;y_yu=!ITP#UvFX7j-+fKjG}eoJ$u3%iYe^x&o}XFv zLD#VG{MtI2-7!FeAU?+BOx&wS$4VYU_asOzn7wi7V{RNha9@`*@xvw;muC461<4pn zwU?>dL?@eAKNV8&0__YmRjX*6iM7HppZ#fArUSc{!82XX#FaHV_D8<+&E7O#guNuc z5j}n6p(BB=RAPlC(><-onkgz|t#k@og^(*e{E+8DIH~fR@LuSK0FDS{Z+CG5be@X} zTHM-f%X6~Z)q2Nzg1fn#iElEwmRX%OGzawfiXosQEW-`4;IiH_6QcaTxBP9Q(U!EX{7<{6{Ccx29aa9nS<<@ld#3!{`DemE0O@q;gFL;y z7Tv*dIvyvXlA;^=b8N~gITj~5`aX~S4UZoYbz2krV~-zxI(%M`m&b2a_Z=R6=a5^a zl5>d0Ky65lc8ylB4^HfZqkT`}_wxD%iO&M@_X3iy4mR;~iA{3IJ1EIJDCYe&b$$a_ z#)nbTsWvD1f|X?xGGM))0_Ot69YXzx^7qltWB$R&FUv?^T@9;Gcy7H8)%8FtPJElo znfP9li$h=<`_tWC63=uw6Q8(&jbDDvm+xQ9-ZcIanZ+gg#?xNFT67yR=Khr3<;h8`y3!7kbKZei z+TE)DZ{N{6p4|yRt4Tc3)F?;!;z>qL&1o3*$% zz@w`*!MPmn=5i)}%;aJrSJ>|jXj-(FiH$Z$p2hn9W1tNNmb}KR`K(IM_vbUE*SNoW|~SU{`5)rpuXF@7pD5KD_dM z*X&K>i#D15yxQDYkawQd>LF3SHZJ4NUohz*qsXOk_g}DawZDw$7__ z_ad`5jTd1r$uHRR`nvSyJOt>{2hdk8E>0_}0JN(^Yr81g(!|y{rLU55D~oA;3Hrjt zop4e^$xk4*$Kvf&m&dZQS*uFk%f*;cFNJ)_(zNY>MX8eWOO@SLprH{Db~zJoxoO9t zk?)CSZyG<2Oov2In7Ta^D=eANBq~#M$Xbc@-HGl|K;IPowTsbuJG&*X_E24Cr@n=*KQT4EakEYvZ~3|+?ta+Kztce zo5^q~e;-TQIusi1Vp{w=sPyrKSdHlGZk~j=fK7q1d<@QXhv7n8L_z;tunK;x`5q}o+VhxS_#s4(9nTbQKm88~8 zwQA7h9|w;<{;G~Y$l;P1R_`zy_sET(M_sOsVw zkWW~?#FXhN3$H5EdoF&IXR6N0GgWuaGgZguneyd0ixFGhDz4uHX>d5;Hz3?=s(%xP z0&VPlaz@bl3ky$+&ckb3bOQnFssa7PMXf&#CR%0ETqg_f1v1@X{b<~uXKH9PJf@)- z4Qg`d(}T6!(~cQF=7oE?oQd}`xj1&^yMgJN74bG8X}-#CtC>0B!!?&P@hK)3spPxA z>6#VsVIWC0p4|y%=Kc~s-Q`St`w#s9h^t$^SAt}fNHx(^`E*Nli>X>gPrLOa=#Xs{ z+om^qzT~24wu|d;$8UhPH;3ua4{00UBcX{drd8}uj1EV!0(F>*{l%3$A0Zz=7Ws&k zQ9k0ggI@fPpckt}`HR)8{Ke-7{Y~(~M&v$vMqEi8?D^rYu?Y4|99~B%3T0Qsg8*|P zIvePK6+i82QOOIfh<%i5qR_8OF=Dl({EEs~+2l^D&#&6^C}S~eqpD;_0;X0823Syj z+^OERy_i@dDOJ}8uKgI&J3i4W(?rWuyBWIE#aLBR31Xbm-N=6lp!HM9qP`<4`~eHk z3(rIVPBq8Ym~mH}Rnp{*qoBcU% zFNxoFITL?ua^c&lAAJGJEAHZQCcfF^j=oo$jz5yNXnQHK=SSldVy0R=p9RAAxSWY6 z_U|}T@_iaG`l8)1vtajx)HMJ#{0cNer+UjDbuuW#S2{aDk z%U#aIHb|7W>b;y(E6oWqZ-;0Xuf=vwR1cj=wSkFT!3* z?D_Z(C1#k#b9V}layb*fXmU}Pd?%Z(X}qBQd~6p2#{YWg78m1a&1QEF&}fPO=5i)} zWkAPX$#)0SHH{ZxFUc?1^Ij$Kne1Z#^DjCE=wvNcSh6g(XLq2fsSi<7L?NnNMCB)o zLonZJo{iTa&zYYgW}}(|tZI0!%b9q{t_xI+uKUi?HH~jWraaM;rsh!y{@m4~U+v-< z#Loh}Me~DN+@KNEqD8bcL~5})GV(~?*FLH-`x>Q52Rbgi0v#l;vilzN8&O^A4u z%bECPlaphQ{mV_)G+xktDYhE`$KEZ_T`tC!X0tm7*wn*wUCzW04D^XheZNFcrd0K{ zsoF$`5W!9I;gEup`(?GWn5kNOK)qZX0J&p+t(T)xX?+=+XZ3r~2ovifwV+$NqNRMi zcgM7+c~*x&$C}u7Kjbatx3<$zO$X|_c!tZF_@v!Bc3r+pn67EOY_N+*1-*D-akDIM zvm|~2FnpqrqAczKP>Vu_e3`C+ZXGvo3-xm`j{hG>^t$IOj@H^ejMBka#44C z_oCMJ?y*?qUdzX!BCdPB7V*h|vw=uWu>f1dzj3u_yp2?Qi&!IvcQSw#4d9!>Mf`=k zh*YBz##)I~$ziBkin5!PWVl<3E)@TD<^#I0vSqHH4Bg?P+FqZGoSII9lpIlcXSz5K zQo7{awH#gAz&BdpPdD_CO`-X{1*593kK4;-=FF-q4Oxx?w8!qla z=zbQSAC-Cm^r{wPBX_$w*6xGU0Y*g?dy}=lB5E+u#_1c%?l53yPI#2dnfS}Sed(sY ze=$4HnDAo3F22y@rt#fDFZLY8(pGz?c#cdQ+Q^rx?02}mEMA=)46x|OmbO_IAL?pR zXt^xD)77F-M0as`m#`?*Q%?Kzp0`&KAH0_@EAfSZ*%5`Vwj^DxsKKiME~3z2OVaDS zSND^-m$}vyb%%>ZY58<~gyULfZOHNGF2-VC!#WSpq6TCQvsRNjZb5UqYd?Y1*<^WL zNa))Z-cUb6J9{Jga5hduR5q?dMQ!aSsmGba3SRFY|30FKE|( zPmAHRGPsw^nRv;4I_8q^K(jZEC$W?`UPR{rH7izFa-K?}N{T+yqH3ZLRW71X`qmj~ zL-S++e5;VRtChqfJ>1RZOuQ<$7-_fVySCYz#vdUw`Jzuu-JXe8A`G=?VHtKe*JkNx zIN0+^S_9qbfT_I_y1~U*YY#H^w3--JxR=YB_yAUUTC04wFniOuFLsI&Z5dp}`?^}R zuneO-LrHv&$?fHP;NY9ry(zjOfVwY%o^tUOXs(N~3qxsW7_hT7Jly3>eCxg)yCC05 zAUOd@HP%$jxo=WkVJa46q53;24uz6!Q zIK<^st+w~_xJQra*pkB4k{l*^g;IFpMmj%R;@ z+e_lpUCzW8n_T!_$Nu$hFNtq-ITLR@yyI}l_aA0&8ZW|LlHaz&G!iw@=?D4R7S9Bz zMe~DNydJHg7KOz5iccEOlsMS)^(_1Dw8GI?5r@`VVr$YT&9$hxHEBnBamd%wDQttu zF#(^X%A_*H=y(*4i<@J;JM>c*V^bVRu{X&vbrmtU0~VDWe{V7QD)_le#L4$iv-4~DMcYe>Jsy7 zf*2DdQ*$9P4*_QQQK+ZI)Qa$05ne08^VKk)n9nV|I+f7JExf9jV^yr~Vtsp<@u-_) zeF>aGnJRGubF3c$DURAS7V2A4<~@Y}8*t)LOp7_T9h%3qJ&O3EYvYjNyIL5fSPY+>Q`qSkt^LphKE4g;W87w>|ec5y=zdI#zaL^YQz z2DQ@}Xp+QTT(-Ynu#?Hf$zBUkS0nj~wQ`Z|r4vV+T=e}daf;)yQXOOI|ax$vFB z{#3V@#P_(Ii4UWw^yXf^ubRDS+yy(wn`rUiDppu>qD`VoidI({1W|}87g5}{7aJZ6 z9h=2WU-Y5Ee%IhD?&k9U`3pog4|&B~nq1V-kNy6p#OzJuMc7O73--L|BtA>* zdC?ybvl?wC=h5Em_OUX!7{h&C&cwq_F3KCt{usBH#K*gwiT%At9ep1+d(+tHRpZR*JkuH2@`Jm$oQeCIT=b$J`~BTs z5)W`W6Aw1I@EywjFt?Y)!(GnA&zjuP*WZKGwD%!Bj-9GS3%bri_q>Jk2@(9F%bEDr zQpfcp-;d4SG+sT}#fO{BZ$aU!gI?@8n)&D`$2`xGi9;Lt#3c4gV$UbxBVukMZ*rnn zNjI8Gr)}G$>T0U$q0m?tQ)_QiHMWQJrpx3zt|{zJ1)4zdJuYYBCzj|qBJ%w`NDfG; z{%EQ;QEhQqYYj-j>6shrzs#{!fA&{@N;df!veL{0I>Ctdu{;fta_O)xayDYmlBklR zLcRGCUy+mhAj?!&wAy7LAA`KO`~q=3j`smNHXC}!#nXtn!osUFpyyodMEGKssX7jt z;9@Lk7Q4>_4X5};mou@yHL+N?^8Li@oS@)!GaE6{`aqo)|J>E0yMtP+Y{~d0$x2EV z8q3F`2kt$A3KREoITP!zrle<1`R;Fae%}WF5zv(A4O6#gV*g_+`6^7JN{R|u^9n9Q z_c~zl?Y(4-&gXIlmY2<1U6pdy0?9JV2vAV6aCc|-R8zJ79D38m#fVdUvbKJLWrA7T zCPGtO{3YZuZSNp@Onwbi?X5tgC9b)gi6@#|Orv}!fTUchjxbf8aWcD2pp4?nUCzYa z8KZRk<@=J^o5l;;U%=*n)4%#BME^7P`6;;v*?L+=W*T1CJ6pPUnWC9&rx=c|a>ZyBX;41rE^@oPw#lBKG~ z2b;Af$HQG54f&slsa=TZG5OJ?n1=yFyA0NqET(!gG|9zJAhnfD-SYUaVKH@u|G~w# zp?%G44c0>5uiwRs1Vgix$lAFd5D;b6uVfxEQB&8oSehR+4z8%b9q=KNKwA zRk_92HAmdCoEIQ=-{Lwh-}#oSnTgBzQ@5y>hgHN1OICf-LPaeE*B0?k7S^nYLuL8G zS|8uO)23Hi)Rm67#)S{5B60;>!p=7TE3Mz|3hVDs0<)onQF@^kNMM$Ce|M>N*9`ZpEG;Ycy;Wod(qm#RqTKI zC_lX=Q6)wHz@loR5LGUsYH^6Fm|KBPE@J&rX*&Sm{a5T*mwc}>d(*gW1?!@y4%7v) z!jhgV>OwO&OX83W)-lhjXrzfX(J8JK zUE*40ec45Qs&R&8sufA|iQ{&-)1~HESIlcJD&|a!sTVPIY__S+NoH*XO{dxH&H3eBU!WZ?1>y_Sjq#E$Aw-9X|RPf$NIsMH6d9-Ztg^JJ7NbD>p}o=tvXWM4NcuD&i9X9-`24ezqM&0&lG* zQZv+8v{qAV@3^*TtggoV?S8cv5MQ@;YkFho2HuvMJ;}s;yF;^7T*>}Oz%+rlUeg=>5WGppg|P(bvY9sWO8wUY{&i(kerZ5 zvpdGz_%Gk^F)nA~z6?S-2lD-u*_+0Tu$Sbw3y*FzCHfR-u*C{npn{M!6Njw%I1S|F zHq|oK?+uw4|2QHCU|r3hx!a*%nq#AI%-7%Jq#lGbu^Zz!!D8w~J$c4GNiovWRIh@5 z=Atq_?&4pek6esZyhQTXL2?(NUQaQvT2W1Y!d4)sK$|r&2Zv<)GK2Z;Fzgx$?pe)O z%#Dlrin*M2@a@5VPt$Sl0{3#+{%rGXlZ(}${W+%NY!A{`N!t0KICJ7hC!@Pq&uuW_J0nNF;@8frpk|yLe)wa zY?JCCpj&nEqZUyUO*3_SCRTgNvV4HuM@&_f^+^{$hTO3l)04MTXJA7EcX2rrcV=GG zKFGJ9*_+0{kWZ(YXsW5(GqJ*wQj(~WqC?jF6m=H5a5|1fRmjlQ8t3sA?&fkP*2zCD zO1}PQtNCl5$Xf#jS>)HIysK4Itw3EB*IdrT+j0I%vkW80UN1H02E~!SCDxWT? zE&-Y@@!!qd5QU3kCf?M}mkrUvn$~5F3?*@}=i`;Mkg0`mSrUa7?6SL+wNMj<4EZ@J z$xv2?VCP2LH|cHxbbc1}zKf!bEU8@}w=}UT+Qzjpyn*9}rmCx;tzC@Pe(d%Kng#Iy zmoxE=oIKK5knf#l=Z`hQ1MK3_5bYdX#rwNjq}Ky0gIL*;Th}C6Ny++~xh4w9%Hn-p zEegrXMY8-1m?X=TY)6Z#i$b!Bct2N*Lb6JcEI(&oLxPRXvuz7VujNgi>!+|g6==Z3 z54fC(ui%o94w!sb;!Lj9Cw|uC_DuZ8pcgknocL6e`DzAUSU$bjmwcHx*z=W?v{z2r z>z=e%PTK3P_B==N*sdI%y|#)t)Yc-t*lVkZL)-bMFnYV;D}YO)nph7PL{V=OYob1` z-3(Gb9L|H33NbFp?u5T=nQF@Po{NQJKI>{y&Ya!k^qGtLwjnfGw3El2i_<0MSQWi$ zVngk`>)N87{7iKn8LtPLa`A00XW|FKcMP$3`MzoPrtudhw`byI%(rQ*_y20Ch=&Hf zcwzZo_I#N**z+k@d%<22d)w_T;+@II5hn^^`E0$0c~3LfRKamB>TSgGOfDD7v!to& zqFyGpiGJi-g&*%?5uVTGZwY?`P=*{&FvmvWnBP%N!!jLcjKwot&cyRfF765B`-k9?SE*l{5=$SzRQ{TW^Sm`qgB3lawn&wRs0fPbjU7V+I*YF3yb@n$Cbpv zo?jt;hHgW0u*6S+?s8FItSB>DC12j|?&^m?icby)m2!MKwer zs$4|nhsnoyb}`RtJ9LAKv1&2*lvcHvE5B7O-qqw{ly!E~^r(koogvjj@!cjDzEjwr z>h^E_Qc1t-NJm4yZ<#MIRfiX0FC}*R<(oc9`ZHiTjw1C-fKmGcq)f>Gp2n_!C}V$o~GmB2JYo@Ce}T0+9&z$Xu77cf2>p!>7yvQiWQa= zIGNq1nfVSAzR2ZF+=qLNv<3M-Z}z5f^7+s?%${7u3QJB6NmNPEe*+XC3Jv7LD&3Z3 zz&r{%&BY>Teu32ZFkCeG?O(=iYWiYb$aiJa@gGXz1?`-Q;J<>%%EG@gatbdi5; z+naxV@fB+d)rY{=6g=PMOgxx@N@sB%I=yFzYD9aPm{&8D-C;nP#KT?A#2Yd_X(stz zZFc^02E3-vtY{IgCAkKBKJ(H|1AN~7BJ?j8snoVx+4ZMhoe*q01eJc>l`OR0?DhdF zUA(!=nfL&cizyk({xG-OhpZ!A&cx@LT=*_{h+GnU#g8oDJB|J6ZZCxV~wOU62d2fCbz^`MqEBHznR z$N%7m{dMX!(KV)S&%_E#&LG---pt?r{na-198h%GLhY==|C@mqVK2%46yP8bt>UT5 zVuhiOeN;9yG-4l ziM!ZMc1^TI$RJj>q~TrhJO|KM(VZqX6#q-t-V054?Gupya;s5f$`{5{Sf4S+{HRgJ zJ4{tqHa(Kjj$)U$D)M=bPl9$f!PFOMCdGc=Uo30+4l+A`;s%}rSf8Sm?Owh;6Z^AF zL-f<27VAj@@8W@?W8!^1aY=li{C=}5>DI#C0$~F-YRuOxO_Lev*6rn*0 zMdZ(d>iu(ob@Dp&m^GFkcBi5`!>n~xc$JCOYalPSD*B^oZIpH@YSnWZWydj^#qRS! z(=OKA+BEIrPfadnrxUjhoq>GCU0lw@dec{WgvfV!vp0>GVL<4MXayiw@$;@0y&Tly zb?p|qCR$kHU3lEmS`Y_2LB8oD{O9d~sH#Vvu7Hck`Ov>yjE)cD)Xh}&hoKi-{5#ac zTF-~*QXIpZ?Nxq=Sr<`p$yg30ZWz$`h=;qJiT7FH`9!{B&E7PA0-5m|&H(V_WiOb?XmbfYkiRq$m`X$#4j}kp;V*O>P z#e(Xho*pmi<=PlNl-*_vJQawgVG#L~qh-xJKh?_R?;gt!iX^I} zXuZIl*%5`PauJo!u3l~Sxp_9~{4Q%SV7T6fJbiw0RY$l9vkr*42urpvbhb7*Ia1i~ zX1b>FmdKPMT7+v!AzPShO%z=789)`Y+W+NP)m*EhO@K~qV$V=bGUTVWewh24tKY@% z>~bc))#RcN{n+Qfb&|Ged@eGRAewCI_Dp=Gt3`{*P*SGz&9xy48Oq|TT`dY3@*eMw zZlsypj)U~ehvZY=0qmY>sw)55HiduFhvNI#*CdITV%E}CCg11H&f^`NVP}#=i*PN; zbqRB=iGpih@m|6=K|uKJq33y2yW6(MphQyAWQrOw~cqbuJdao5i44S zr{g4#aOEV(4ZkS+_82n`l+D*5s%>G@m-|Z;q`Z{l5}!XymwyiLD}!X~dY3IId>ax~#1( z>K776Tk?8zypCP{w+7Z)NvtocwC==fawD4#lYI4Uk8TUZ`j!NHS?s>WeW85yjS9Y* zIOM9tcJD{GhTSOVHQ$4(r>XMl)JhLi<)b0hYk-l|H$k7d7}GB5cO1JcaH}QX!+>U8 zya;F~idbor**p=?^8qKU%b^EdjP)PQt~{`Q3GKCni3dVQ zy7(;gwu?)V_y?9ee^~E~WfQaJ%S;)6Y8k6abEsR3G*zWp&eG&DRd!o}7KOOxawZ;O za&ZbA&Hfm-m&E#}Lkmc}m))Fp^nDh6aug`szl6yFIN0+;;8t`!mb4!Ag~q$6FEpOEd+Yi}Bveq+<-3&A9BcYdDxJ)+rWpT^ z9x=9W?NUO9e;6ibt&3e(VEPMSha=zs4reyt*EV5)Ry;WZTh_)*bp2H;&v>;2|%Bx z-XE3lg0q@rI@w#lCiUR72VPo%4>?!l z{+*u-=@+<@`Juw&;Gk}NoeJWnpV%J;Mq-ms+@2t2E;x2oE(<+)qUAv}`$4qwUvgJw zAIw>uRf|1tiu*J8ay9hW;&w3mhl3-*#UO5yuK-tr#}mDBt^ig7JAk$xa6YvOl8axl;b`!!a;C3)GDq-EC=`L#)b?{gZMJYc#7*)lU^TEqq91@f5bO;ON%T>tT%9?d_lCy-^=SZ* z?-Fp_{#XAeiJu8#-k4_}j`#h*#vJ1f5Vtc{Vm*TH=wyrAAHK(0SS zz_DN)h}&t%XMrccGvF6sDu~-`j+rGF=PP}%9Ee*x^65YyW8R$T-{tB#51hj>aW9D5 zt{gKb1N~=9UBBY?UHT*OIRhxivx)y#tlbq@)2o0DLEQF39t&;*k0<(%n5!ijzh%LC zAZ~-{;}Gy;P~3p>*h+tOxA-ua2I966=aenMHb4&>aeIIxn0B|H(d{82uD4V+{4v>A3v^d2Q<51Y8Z` z@4llw?#sR-h}$1HHb!%Nt+^ia4B~dKbj$)xKKjhfBjN{^)_4%>D27GD`zY5~E z64$ToKm&XL;?}DdcOGD4V(Q>D@+x=SWSg-jeL^Q&+?HOOM-!kn)MnhO2uFc2;Mk~y z_h)_%1Sf&<;23Z$(AS&#CO>YkBYzE+VC>WNFp9CA4O*DnJwe=FK-LfBzXF|;aX$h1 z3ZO@{+Y+|opox`!Mo3VM^s_nq_?s8Z^ z+UNv&fV;8jH#Ysi&q3VuAFo?MjO&8$VqihP+sHo;d041!Mrr>#Q& zl64gISK=eT3)a97j@u>dUk9T7Hsme-2m8Ij|GJQ0d486}t9`xQRyoz~kC6`par;m9 zuj!BOGb`Yhq+j2qUlpGVz=aF=H)-=SFa_+SKEro_xcw*l1Ng2_+(tn0al5rMPZdD_ z0Y=|P$4ws`Z3TvdW5FOW6vS98~hLq0Goo%LEOGU{vOBowqQ4~64(?h16BZW zyPNwE{rB-l!S6ua26OLm0QfOz2XRYvx<`pyKi1|*a2I$M+yd?ZaqGq!YXPf*H9_2F zA?qi(x*wREtSjxm1oRu-RIeW@F3EYYg|XNUEQh=?h+DKv-!stB;&X!y?#Wv z7q~ddqwk1mK!6sl!urAmD#7$$bKM>FY)=I{^3(pqUgD%_$Oa)Vr|CBs$oEGfT zKa%JV!dLg^qeEP5N3nCjTi~n2Kk8oLUhyq(Jh&eGjC+jEuzXcR-2RjQ9G+R^zd!sa zQ2bVK+)g9s6(F`3^)K+e@+a^XNZY%a{W}udZ;<8tAb0}ke;@x6#O)~Lao`+qDHsnX zfw--Wyb;(8=(C5oJw?sG1+Rm5!7QMAu(-)4oyO!xKt5+NM)FZi-2PiX{U4KmgT*+< zR+95QQ0`w!4I@k+TLENSzKL!2>UI)*BXF=TN zA|K8%G?R0u?sawoyMPSnydJmz*6-8r$hXx3`G&H8CHNV*7Q{{G|L=n}fj%JC?<4d% zZrpZ5-WTXs1b;~M`ZdGC^nEz5Zvl1z1A(ps`rIRKb>vkz&+5LaEjiy_i+&2wJ=Whr z-1J$=NU%A+x&|mtA5b2OPS1UDJ0k2a=ojs3YZ%aHd^*?1P3L|+59&k3E5KRcd=NMN z$(`vy*OByjQP+ok!Tmsg+NKF^1!KSkU=%nK3;{m|aXXE3*JN-ru>06x_$TM8_rZaj znma;9#I@$rNx1_z8&H|5YCSCiZ5~g>|-0vhH*b za~Aj~n0a{z?gW1Trm+rYg1$g&=YD*(b}s-Ig1G%(e$2%l;1r%A7w2AhIM10Ug9kWA{*3dRKCc~@%-8?wALC=**k83N+f_Wj z{tC?FT2Z0R>(MQhTsOYU@0kVs^tW2#pMe>dtUKju1s~9tp7bkj-=)6<-)TT&u`ifG zZ9N&A$-_dYc$zE7w>#;YH)sU6wkrfb>7 z;4<(_5V!k~XM$(JTZw)zy)0)!Us%?#(=2~N?vD92>4CD6y z8Xxnf?H{#}_G5ehtH1iQCs2Mp1II1)PdfEmK592^mvFwC1LlDjIDf_MyY#&|w`~r# z0{Z1>+&<%6p`WwqOF4b@7Poyk$4ml$1Nw|UZr`Q_=Y!wC&w;qrI0x?w zMuADm`MAOHHXfV-E&*}V-&MMS<82^wuY13^eV6`3e9r)91I5MdOJp6B%YpR1v7I$? zA{fQGISdR0BSGAjMBWl?19nLCd$Y#&1H-^sAa48dozFp_)`R|lUBQpQE$F6!Yru6N zZf6JG2k;7fzcd&AJCKiJ;Rd2+Zb}(3~mE=fw)atn`0PU18xFw(|1sBfF0IhEWm8!cM|(&=(b#!?{qfi z+6?0MUHYEu@txQD-1lt6_e>ye>!9BVME#DzFWT>7|Ciub;875_b2i{Q2%aXFYEaJc z;1+Z%Z5X|{2mUYp{z=SdU>dpJOZubF6jlX2KyMH?eGfedG{Es7Zg(xkJc1{|ED*Qv z(x<*3B>t6Um}@W%?48_4NjC=E7HnhTlR(@~$5;1V-B|m3flIl5y$_bwbs0RsaZ-XW z=eW`FvN6Y@u6ws}%>DvgMC>ie@%w+BPk(N051_y2m3|*~G<8e@I-h?A;--GIfK`FM zON!fT^da_5K5<)-z77E+fb4O51OGRHzUPw99QZ>Jw=2nUHMkc%4Xy>Zg1EiTIbuHO z#Jmw64LL0dd=ZW6qD@N^mW> z09*#*cKIee^Mb=RWnRIwKCD4FZvV+%>CHL>Z*IXg5Ud5Z2g`z$z#Zh;v~SGDJ>dW7 zKLh_4!DHll4#e$MbhAOi|w*Ko(J?~Yts z!2<($t_FJ`S3%sa+m*Eo9tG3Et>9r0x0{3RHF!370=x?1w!F(kpgaHL)g>V%_V&=+>0%l-P10o=uPSQ!5>7?84 zWM+aOK@?FDqueh_P()CH@G4#qG04?jE@43hmW3d&fFK4C7g6B<{ne>Dr@9CJ?!KS5 zK6!HLSM}8Wsi&^z^y`o}U&ie$XcD>=`VMq8bT)J;oouDhA+aYiMj=i~u zbo;qW1Z{c$YmhfD4>Na!UWewd^{$NjCowNNnf5r9 zy@645#b=Rm?A{DI{|Dc}f8l2s`=Mi@PRN@dV4r|)fNl!h|Lqjy6#CRCeFjoml_0(M zk^VuD{LY2Ec_;UY(?|ol5&8q<&F-_OPeR^kZ|peeQ&0u+rky!N89Ii!cqN#R zYi~&Fa$Oj^BXHN*vGx_d2VD($a|Lt%o1y(O%uOI~w%G_7gARdCfV|N;`y`Yl4t;^g zn=$M+LplTcbKrh(Q?##m4$ogh-t0@7+Dj}!r$gTS5WDUROpuq~2KmuFPwmU-UZ(uK zS?=m(l;Pw{*P(wVgCOm|ctguJ<$a{m&# zkTTpDltXSif~%1Hym@wW?!-fTGEZ!Wc7b+>njoD^cvHo`4tg5evDv#aSH^x4q|Xa$ z?@m7!bOGdzzKpvibPjYo zfMzjQ%m(wtBesv`i8-DpLf&ZZ=-o~a+;y(KBQzgc0(tWp?4N~h5YL1+bZJk$;y0{tDooq7J1=WCEZBmaLDUUBaM&DoLmg1q@M_KTn& zLpKKQ3wENs&^Mv)K6IHqR1It-oXQKjeEKVfKMmLW;wix#*<*An7jc-Fu^#{|^=l-i%jl`TzDfnIfnMU z9{MlHn;-AWo)om4I;}$9JdN8X)T!Lg58O|s&TfPjyP9d7rJIOIt}us=M%&QoeftoUL z&_^KsPN_E!V}Bg_<#EIhT?73T8i(|2n=2vxTIWH~A&@u!x8cq@o-qv4Z+#vEd81z= z{XTRvq@Pyx=86-TKSI|+H$dJzlVc3&Bu~(>kT+LgzZ&{I^e}WcbT8yhXBTH_&|T0g zkT+*yzZkj}`f=dCu7~~vEh$hJ&>qly=sw(Df&LY`8}jCV%l|BK{TcdnFZvwv=4R}V zK(qSj>yS6kVtEVtz%2AAE48WPv`}xWmE4Ox#|ATjn2>eXwO}DZmx%Z0(qk!Vz>i( z5_%qb44Q`Sg&u&sX+!tw%xu3o$S?Fw?A!7@p6B_{kZ~mG8A&%dN_u2<(cp$DL!Ls}Plb2&14C8Rah9ng;;tsSn0u7$kmKrSng|Hz(q-ve1a7J3jl z)F-cvrNh80L8u8T1S2*Ma+b z%Bp+%r$XaFxv#)}7vw+r;^UE<>gYab2J%L)cwPuy0^JnU&op*@Yvmb8cNo3Veg1Js z_gwTLlJ8)@D)4_6w-=y4L(SBiH>$_g&?lhvfxEup{B1~gE`ADmqkCT~Al<$FIONSz zEC)izK%>y1PzQ7nv=Z{>f68|~0e%GC1HA#=0^I@q0-A(wfNq4m`QP%ZUG)RG6X|uu5$q>m*ZtHQKU7%H|6TxI<(~XdKGj<2&-GUG0|kDbdZ=8jkwEk~v73m9 zBtKB>CV?^m`PXV=i$ZqQ;O;ID3={_O>?w2&_vP}{{9s=}u~=9c?jnK&7YBRG-Y?(P zRV}Rbs8k#z3sESSp9oN8-n~>NCy}d5Ca&v$YS|tRbv6w!3r=e?3jo0&ZT%F#ef* z9{PNfc?d^oy+*#naYN8-e0zMM$?T!X$%yho)HbeWo<~C@6Y-q|ACh^Q5^_eoGMW&- z<sKG8P< zK7L!%r2a)Ohp46^qq>v15c2VDc4^jZ_Aj%=8XKSPw;Z3!+YVnld=Kfv`_8nu=aoF= zD!y%RGG<#|G4OGS#oH&ai%&Uv?OtPE1CwV&fCvBLUy| z{l<(hZL%Nd$Jv?|_nhXb^p(Hq2aK8KgX_)v1Dt7b&!>4R880K^+r|0mAwSWJ?#aWN z*~^+zEkVWjtZK&K?PA=8A$1dE-y84uE-cD>-Sx{2`Q|t9oy&FJA1+P!e39B6pRbqpGdE5>eMG{Cx6S{d4e{;9Z)zTP zt9&ElZAUloRll`qsmXdytaFnw2c!Z-Aq^~0!)uQxrgr#&@DWQKO z94VST(6bSadX4mHgzY0Gy&2(XS|R-yVWtom>A?s~!$8tp`Yq8+l`FU7ZHw@tI`V*j*bD;|0Db! zJyPoD5#GjP_2&q0Yq9!mgtxQU|C?<;hU<~i*Jz!Xdd(~U_a&a#X4@YYOPpq>g6(eW z-;#J*yi)_-xdHD|*O!}8FTJG}@bxt-H7ClkEAK4oYY+T=ea%X3omvQPbM3Pc?Qa9qu`ZfRNVYNo}xdi{pV@*bKp!8OP+keY^0&L|Oyd3Q1xd-fx!ViMmev>uQB(u;*>*WvD zcqIHe@G7u$yzp7zW#B0OX8V~gysS}tmnpn6!rudTZkjeDxG8^nTfKRUw0XCyubaWs z&1v%`=YLm2c)fWyxpi8b9ya`A;3=>)z2f@^c>FzSE04nZvchzLHFs^jAzPJQm<<8shs& z1HKJB(Uvw}A%3NQAGm#P+RSqG^(eSwUfO7PLH>W_IsRzY?7>s*^AgYL$FoK!|H5y8 zN1w>1!9q2lxbVPk@Wyp#W=_v;vOmdprBncV0|H@Kfpf+ zmjY~Wj^vW|z90W~@ZPR|pAqg(o3ki{>i4hUnVz)yGEd=+5xmi2+C1v~w-A0cYd@B& z`rQtEa**C!`3G1xrvH(R{q?&(olVBvO?vV#fG0Pj zKhpt)b?aq%>jc-p-l+byLp*hAI@X_G0k@x)Hu{Q^%JXe-Wi)N>B9QQP4dLzX)92E$ zzSf&~Ghnfa|376pJ;Bd|n*;nhcD`|6>3%`S=XkSR=eNXTV;Xm*E z7lNnHNSosvwqKqgKGlcPUoHNxrA@OdPXRnd{5!hzv`g0hrL_4ddP4kP29J^6c`p1o zc;bs`qj5+6H;5msb+z!X!K0r~8_kr2{~bIIKE~n4z-{EuW9_bufmb^JjiMSU({yqAmbaBv6MkC)xxF|e<%s{Fr~HcuiS%CEkk+zf7a z;m;HQm1#5T@b|zeun%u9$=&{+z#Vu~#lmo0<#17kCC-ad>}lC*eyD>(_Wv-%6YBI@|@G0Ds8gwcu&6<}pg| z9PlLhqcd215qO;b)&sxBzpLbbdDp9=$1TjzG>7 z{vvSa4QaEli%-9@(*pje!;|3V|C2Vu4&Mi!{BhEs9tU$=V-~sgdj;J7v$WC4m*Q8( zCvQxfA3MAQxbpL~IoM&nN!t9Qq(7|!kCT3? z`7bqQ58~H&cRslNk7@HA=YOU6pHG`L#diX@tv?gD zUl~09*^K$E^FJLtwk{Lr|5EVOF&U$iJ;isO{JS&eeAgcOj`%3?jXD2^z?Ib*v)cK; zAb!&K?KO)nh|$qZ+}_)OCl1M&-CX_e4X&)um|I+a_5*hwlraZ8|HHvt=`}~W@)f|7 zOEP9(CqH@vXmsn0T`wrV-vGCtl$7WD;FixM_*df3Wz1KJR{XyQ&upKu`|rYfgFAI{ z!mllp=>TsDo;)S--x1tQ`b8JthrsP88auv7Ui7t)7W9F}ON|H1z!UJ_?)Z-dkG?x& zmb&^Zf~R)N7@Y_!KcnEb_h-y~u0GBO^Q*#@ylfe%&b8yWLH$A1gB?d!;o!w-T-OXy>;+Urqp z2jO+WN$EWcZoe>N9&=c4+;`HR?O?U%8(?o#{@HAybzYJ&y0xM3?*@;GLr$;&BUnyuLX~N2l;XBdm6X}+)Ike&sV{tmuHMl zpoK41`0=X1}2N3YD7 zdtG|3fIF_r*biJQ{eQ^+2O0Ba=bxT~JYJJA+NYBL7T|qWy}O5yS4sXnkzINI8MLIDL_mzcb2jKe+QpN&T+{S02omA=e+z1h?FhF@GbB@^b-r z?5>RYip%en;Hf(@@&43J;LZm!W+Uf+ulR3IFo!ezA0m#aq%6l@ITL(dz}9X!aqy; z(-64h#~J%`bt>N|xa}ty^C!puHSqG!8v9{c^^Xg{GdE_;i_ZT_;hQr?`$`IbBe(~C z&9}sVJNRTU>d4|LaLcbV=4&qeGtkD}N!c*XmJ+krs+Oy!wu37V#^Pk0*_{1(*^9z^WcHq>b ziM;IzZU*n={1<~e$iH^V760K1zfsoQ=;aqY`Y+Lbnf$BZnfH<($A6ai!9M)A!TgM? zd6&!owczpXvSx3`e=E58-Pw45>S1ul;>3SO{0kDCVM~9E{7_7rzwN;j*{pfq#osFY z_l)Uq_@m&?*E9Bq2b5oZ#WV%Zx$p&Wh4T9NM!@5g54B+9|1x+I?8obGgQqB;=l_Xt zP@dm_+k^7_CwMd{&&%Qu%R@y?y)PS&FM4aC16*_I?&p2+LIv@Nf{!C+2>hJm@R^@LQbGFO>kHn8We8u70z^OMf z=3IyMb*pjkf4THu0JmRcObhcH#rF^JvqAb<9T8ogQH z-r!0mYtC@#9jNfg>vayV246+||Kj+M2X}0djq^JQo(Avi=3AcwSCFqgU4G94r;x`9 z7vI(3@$Iwb0f%n{j}reLF8;g0Q|POQoc|Nxj(J(5x6{-=UInKXX3b%)zi+}sX#)Ax zzP9{#(8S3Z=|_FxckE#F18(wP3ho5&?6BUtZVPY;?2W?fP3(?CvhjN2^9mo}Z-6TS zz8pLXX1Qq7`vrLXc=W5w-#y^zBeL=M{|q>V|F<0f8{p;@*?7F$YK+Z$XtGHV80d4emTTYtC{0Uj*|T;ARt7 zAD0U|qxQWPJaIxc9$zOFp8U1LqxsvN;IYnZd_M9ZxH+FSJGlCq29F2jc}AG|72;3$ zZBs9T+q$#n`!4<0!P7n2cz-afcY_uIKNke$EwJW zi(hYfjaRbfIftjfGo@^-ADe}gW*SHV+YFMpXGsBiea{+R=ACp|x(*$zCuHmUzT zz}~1n=7Kv$&<`&D{lU|p$;SJiE5Q}8Uw?iA+zyt$QThA8sgtttdg)|gXB7V#;H!f6 zIUhWA3h~3E@ZSNCel{DQH~vU?G;3Bk|2x5*XJpO$9exlz5ybZl_=f?00bKoQG9P>u z?2YpC26z;I5=Xw8Q`sH8Z?qqxFUm}wo%El*ij?5 z5dI4d_%d+2Yk#HxJ#gD4k$zJAKW*T@r2*dyp4lpG?r`b-7Cic=q&(B&clSLM-!tF| z_!m0<4-o3?>W2>?2Y2<08g+!9&-85iT`o#;o_(Kl^giK1fHb--HpG}`zm-@ zATQ^E+ga~edIbAjXk6xbW(uiSt?2OdZM zG~ZYL&I3;zADur5UnYOjLzUY8JJAq+5Q)n*4hH0~+{`1W%Cu62glA*arU+xP|d? zZ|8q;59evGUvp zZb_%j#;$&9;3<V<1^720cZbKioL+5K+e z`@qw`P8%&7g?|U0LcZ2J{4m%X#s7Q*-h_$bXdr*h;2Fm29K4Eed$2bOzgGkPD0t$j zXn$1v9pG`sLp#1~#CQvC*(@2qa`Jzp$<8muzXtq5AU{?4yZH;NrPQh59|raRC2)IB z+FU_i6#g7=%V^rJmxR9q?qq&=ob$g1+{}D@(&1l%r-Jss3p^go2Ob5tp)a(bq4=Hz zd!zFI1w4(uz5~1bx7gGB3iFlD2K-_0B<v&npA zBUgTKGxfF3`M;v@&M5yIYhve&@b(S(1K`QuHre&1^0!}u|0?;@zJ5G74*XQmp9UKE zPi?^GgQq$Fc!snU{}tewK!0BYuAq-T?EHV+5dO{v{4jXx^sM=VY(52>G7@zAFg-)dv1?aAkJd z9Od}015a`P=QW3K0c*Si(&R6_bx%Y1$H8NPJih>LIXzkL{2kmpo%GlDw1UyMo4ELQ z2HzdzZ!WmP__y5oF9A<&pOoiN@Ty?G)B*NJlRH)CO*UqREupc<>Q$<=@lhNHU=C2Y{!8{H#)V_GgZA{V50Te0MsYKYSYO zjq2;n27HP5S#L-EZM)PJ;L3Z`M)%m1-cP|(w3h@z^VPc=!aoC^Kz{xD^aXGS^Lsx( zd0G5_YqIABitjD(OrTG?CB4gMu?+kYne1*d>V_e$1FXM!up&%wl{^vA$0k7eTiaT$1u{&TkTzZUF` z(%08F+jmWyCD|zaZ@@Eu%9z{mQ+y9K@IMFcWc}~cds+PKhxq=v(Od!s>zmEM(?NZ0 z1#T{9jNZIg{JVnB4dy$0E4(wx-|_~09C(`b^aA`8z6-oM=x>z<{Rd6he)se7ONE`8!}Ep) zd}jlmYQTR0H#45?N?4`;5_p{Y@%`by8~8WXL4`AOcy8Z-^>x@W`tSMpDZX}a8}pxw z9bOHdUXd{`JAGAX2!9%Qg7xS9nJD~);ES1GD9JgjAFcye{*p1*y7}lNxbv?~rrF`& zfv3LJWHx0VMCtzrSnmVd@VvqM1lSwp|9NmFDDO++XTMv;R`|CX__sjt$7Y)B{cQQ~ z0PbM_=McxgH+cH~WPdW%T^*_o4fpo8cBgW=16P0Sh}^0bN3PE0K+EdT$^!$ho^r0Q zRPM@`a`rX*Tz+_cidX0>rNU65r*)sUd2>_p$Q66m3(Zg2cj0qA!vg~&KAnROUuKgz z_=u&49hCD($#{E`ihZZwrZSK(4wBcx`r=T3xx6M-=^IFuinXC!rCRRe0{{bjOCZ;s zFO|CT-D@JB{Mu?IPcakc;R>(*7os4AS}iwN&J7KXq)PePQ0u~la~G$2%7X>j_DMyo zH4+>u4E7XyazvFMs5$o}3We7f4hpr_g$tINHQjD0_Rf|IfvbLTkspPtbBYuHYh0hrb6b4!s%%8U)mG9}P%C;m` zDhy(o-K(2XTIVfZyeM(&_ipm1aHB_ah_Kx%{i}nT&Ge zQdJvf`Y9ZYE)pLz{g2=cK55A zbAx=iBwy_rE)JH}aFH$7T`CjQqbm9$M~mjVMuzz0hF{oOK`BL#DG7!bt-CwLYMdMutdc0?l3!m*MlvOA;%bwMiLuK#| zm3$xiGtxXh|JJEg&c_Kfmfn?9qD*Tc(r7{#*s2~6h6+@adbRVc7P{AZ``TU_K$=!x zcu4~1q}+sihkA-poyx{&U>~-qUBjs6Dh-c+Y>&pV+@ioGYQlsgsMf@>K1SbYiN9~R z#KkGCAfZHk1zge4w_$`qQzqQhL>OOP&DzlbnnwB z`c_@AVomZoK<5ghq80gaPkBJhb_iVEI(Obau=M8mP)@$oy0EQnVM>l+ecO>Oi6%;q zKtqhMy`>S`TF#!U_KeukAoi82XmHWUp%EkDkL__O_$eyIQC2JOfxy>%#d<7N)w_=1ap&+U74|{$QsqSocZw7E6Vq zl&zb4%++Lc0KGmu#27h*l%|SIgXVRmYGjE=%(pnPE=irpm#qfYtRNm|Yf!yzYU@2+ zTYDI^t9SB^A7HDqJr3H~JJ}ZYAZlNalGgPuLECz#ux0DrY`exzRX*#bX)c=9yQv+R zapo$8YO&lCIuBQ36t}VuRV~y;29p}IP44|{2Tp+1?5VE&aF0GQ=H1aM%14YVN!I4Z zt+ObeL(W|^H(<5P0pD4DjJ^;1PUYN#hF$Uh+p;SfB3xl-BW?iokujr*dUog#w(bh% z1Mwi6Fjez?%zV_$xk^vb7iC3mgVtRUjiS+T8;5_J_N6e%2%9h_)tDrb$msleiEEP2 z&{bIsu@%P9A;`*x&XTs!HAy4avo1-xC#a5ha8H=uUO-j+aN-cxz=m~fUBYDKki@U) zVl@(Fq?+|bEj3W$OJ`BVzn^j!Z++XjZ)nZGJ)^ZLFg5+TA1rQYU?7YSkgf+@;{b)*_{e>&Q|(m z_hV&jkCtNi*b0|(q}pUtA(%qQDplaD{l&ii%5bSx@DBKEcE~D+Sw}5ZsTA?y8O1P= z9~?=^fh7r(_^PHBtqbSQTP#LpT&vjrJo&JbeZB@5q1FXU_F-vOsCIRc>soO=ybvH+{o7!II>1Xj_j_`5pczhKwZIcsuud}(vHQHojKtY=2X?k z{!BH;-iNY~qeoGNvRPFui&ew2xE$oyGDR;}i+#mGmQ>oVD6+cQz#$5%`qrEYt<7Am zyoS|vv__P7RJx%xOy5*-*5ZZ2p+x0)|F~u&cCV!!zn4v4;}KJ$K71^i;kqC}E_@NA zP=SW>yn(8W6O3ivGpSDPo(sLtaakw3=Mp&B-IxFeqo;F?+91k}+FS!&rPjJ=?)-&5 zWA;P$q)jC*}! zh%p&jlEA?lFLtbNp+#+Nx%EoqZBk17g47bnWFREtJj)VbGZFyU`#`^2L@qew2L ztP?h{>nOI}`q$PfZ(E1;a%|ax0uixwdfS?|KJ^(<1_MF19CcOUBdo6o@7hq@dS^TJ zi(4v?Fv*J&ZV>p`$qT%9@B;7g1_EDso2tUCzFu&6+gicBzD_6wZjH5o(*|{*C^wMG z*s;F+iRO@evy?1X2XgGIvJQ>=kJPB>ty`culxp(w+m^k@bOk9$B z%nhuWm*gT&jp&(#$4wZ!$J6oH%Viv8@J=}oq>Mb)BNZdbOp;(clP6y4kD_l7e#xSOR&TtDPRQ z$SQ6{Z?*90T9V45#JVI^Ee{WAyRV!5B+63mUPHMZ@C9>uk@y92;asJ}*`!;LWIfi# zQDG3A4TKc8Mp?#b1Chtg_ZRkn2U)%H-DJBnIc)1)oiEa@zAED+>y;t$MO+*93mi8X zmHP^VEc?3Sal_$IJiHTC5X+)>i{)^*#ujOXXd+8o5(k~4O+4-9h=cB^ArwI86J*!9 z=rE;0i3C1DorbPV8631y@9a@e4*0?v+rZiC67Onj!hwb=(2RAA7e$ctgyD&T}ZLzepIb%1$)1(3+F9f=)xR%;GyM;B#PrL z+g9#`M;n0J#|<3SwKs4aTsx3kmoE;nFE>wwihcvhk*Kj&AT&1x*i6}K>Quf4-Owd1_JAfOJkp@^$&enQ}( z?~fkW%cBiZU(m=YYA9tRkUK{{eu&4#4bkQ{xrE?CUTs1JB> zu~a(1y2nmOtY1|a>>KJ296DG^s*eRuE>N{lDOZP~9ITd~X@dPI4O zgj_rI2e3iDCXOc>ZhdCRIs<8pA~9dxx;V*hq`w1AuwW6#_PIk=ee8gxt8yPZ_~0WC zTAf?H^ng_d=`ui1t(=Q07$6zv8~IflO{vs5M5l7OWuH8J>0v7lwEM4v<@WYNR;@VT zz}&pnHY;F!*}uSXSV z`8ZQ0I#6EgQgv3HY^Ge5mU;>}mN^FNN|o%S$kmHenH){h2iXqy$Dyhe=@7fWknFq< ztci=5%k|obdeP%+l3dSkW#YqebiS0hwUPhzIzA1ZO0=cqlOs|N?OpAx%a_*Va8z4F z8#um3bGg2OXsW}3KLWXOZSIId9~S`%)dM+@rK@nqq)=dwXAO#8QW1$fxy<#i;{pK^ zQtqzH0MkWX9k4ZM+sln9%2bbT3P>OXkjGs2NOy_D^ztz333+E;xMWGLR_o3W_9l_C zT@GI07VPGYTg~7F9(70Rpb%N_bs?12mt925Y=1R&idr;9~0kvW!(@smG`w1MwG}bw} z8|anD*%`Cx~fCV zM?*%+4v}HnYWnU{zBmvlqLC5Fz5RKP6vJ=Gu8JUM9OR2dKlL$KPW7s-LoU**4G*Lc zYq&y>xFOtGBi@VzsF;vRnkbUtlI|Ihmg>Rvf2&)$22re2NK~r2yKcp#aw|TjEY=Bn zPF;*z->{?l90d~;B5M>NbphL@hqzb4)u^a1)KWv;q?8{Ts>^>YM0Wfc8DZMh$2}d! z3nvtx9xjwhNsq8wjM{6oJD66(b1{pa$Lvy?fRz-DvDRK_3F6i=L!uT|otH*R8|-Iu zpznK&3PP48S-R{2vYdwcSAJw(}-K2o{#jN033CS^F(Oq^`un<{Gm z6V1?|gS&@DD$dMUmq8Jq)rnCt62zIs*5vHcXT{ohtVLG zDpd#8O1CQO@>15hd8C;WQ;B4#w+(Ftms>{+QC8!+TQNRL`cs|^GtZzx?JU;&L=9$R zWx(YSE?ODbO0<`GRD@Kq+&xs6=~#@Mw&+4QyJTPOT)E(KeQ`V>943i4s*Fhvr#08wO^80G*6R!IT4OKs@$U6 zQjvf&CPZrwKX;1Sg3NO5u}(KcYA8&jyO*4l?J+{=Obi^lB+absQeM|>tY{C{H7QQ? z+b{}W`$vo1A)nKk^Vti52wxqIXCCaYH%cyHs_Fb&$rOwx*j>%1VgiVCH>4Ri0 z5(E{$yHwSD#{RBXPAcnd2Ozn#4(J}(KykVmv~LyVWN3Y=)LX1F%6V6RM~AkuGv9dn zT4OTXT_?@4riyFCi&sN0YfJUoQiQ@3&Uu`sarrCN7%d}pH<(O^s8xF}l)+qzn7=T# zI4kk;nBNoQnhGa#Lu+Y`kXMzeDS^Avnasp}?sGYQzfAJSTyn;aR))c#r7=n8i*b=gMr;kFN*ZER^NhG*ZK}^meYrB#in_5L zOB3o@N~?ZyMG!VE;}p~$ZZ;X+ETTf(+9Hle73^+=`1wq{4pgQF-$vvqv2HkrnU&B* z+vDy+F{4|)CsH3?)zT3VU%No`gL_H$oErx#jmK6M4cmUL%C*OXz9Z45_Oe@~VWX*J z+`_^5XqWrc5i_VTtEF;Z2uDWNRr6dVZ&=3DSS)J-F_U1s@yoV96NZSVuDV?vQbWu* z$$8_9lL;vsRIxO}!Q8O7d$4}c_bXsqekDwN8rW$+)rKb7XgBVVB~wQv#V+D-k2(;- z{Z7j_+pP6k%+7&&h?U{jYE6DvQ2k@@o#ODqqOPo|VWY51_9O!ANa)gkeT}n4&(&(? zQ|zkPo7beNDO~*&+3mx56`<}$uhZ3V!o>n?caiH~kx1nVwoj1Y+Z zGxvxaF`g#a%qOdKomfb>*d_^HHGS6fwyL=MYKq6uwu(@#~VB8V{El=FCZtyMT-};s(mVKQuYrIu4(OBpGdiv zO)nGmp|wIx+4_%W2ljq>N!Q)uHnv-?sqR9kqV201?zlmF_BM0$lU#q%YKutYsq`vV HE%pBaYq&!t literal 530422 zcmb?k3w#ts(w|K>u;AiG4T>5xYVZR^B_4W&hX#@bRM05Ii?{1p=?jJ;xF!DSOC zO!m*XeA<-DuQbY{YT{|IWul`47fJ zo=4#sf@cJt%kkL%C@Qhd~la2>s zjr;o_6FM(0Re{YZ%2~Fwafn}SgRN*LB4=8_|6>UAi~IA$LBAa^dXl1?jUrv~oMIMD zK?rM){au7ustK`AbT;Fsnjr()D*tGI7vSlH$AzaG9{!zc1WG$Iyci+-UIlkpJ#rzxB}fk*fTGyh&QpLOzYCLZRqZ}E>ZCVaP9p7urfm|32EjPO@@ z_;)uR!uw2qwwdrK6aNAee$~uBV8RcX`QMmu8bbbA^d_761CU1gmS31_w#V`bW6b>1 zO#JyK%)Y|E<#*d zmOOqi@xL|k=}-7K6%XmXZSt3D=09rUKV#egf010n=r>b(tFCJ-^+w)2mG`8XO#iUm`(g7v%aTI`p=m3 z79fxL`DVVQ@6XNh^jpmT0Qq)#|K2Qb`I92EyrrLaO*mqf{|fMoUCsI$Ve)U;YrR?D z1{43NnSZ4T?=|bQ`p=~%{IZ!p-Nc_^!hc5oHkhnEjY=dlNs?%wJ=|@0f71$qzs! z4bK&3dCOkX&HT0|y@O_X+BNB)V&+@+(9498rKI7x*@Q1bocIdnWBzGlFY9r624`bE z6#%2g`X}BsYWma(zVQN1^GzK;b?TI<0u)Y|TmXb=)5cE|c*5ifzUGXA@%Ky^XXZ>P z9zR)f?i}y4mzX+!+IXYLxG9r;Q>RQEHEG(Nf@mYlGN^aLpLUS-0RalVPlD7L{jrNAgSdCWv)7fkW{6tFOP{5YS5 z;Ga0L8D-M=Nt34BGhUfCxft#D6k0 zOdbd6kDFAij4LGZX~pBm7qG=s#}!QlQ{#O17LOn0n=*0AbjY4n6q-$-RK}p$EH=d| zRxn|*QfP2AZNi;~bWjDzOI2(Eiy1XSJHoVxw7_4ijJocoQE2TrBKfA=r4)+(kisTU zDJ&RsFQtXXQ5>jN83)^;AuGOd$UxH}7tlv_0E?zf^NqcC@|a1GyUBB*VKvYg3l$do zQJ2-mu}15RJ~C?54A=pc3B<`$m}QvO_!;OMh0+hmtxzbOFme3kDKSF6DgI(;MaU5c zwN02j%0CTq9W{zpWt!NeF%VY4gnNW#2%GFoQwqmThL!oop?T6C$N*hpe8Cvs7!)5n zZJJRUNs|kdK|`(^kUi?k%QIrJ-^F4wT}{A@m;Vy+aQ18eXRXGpG{O$SXvVZ+Ekl+e zuJLD3Fv>cmE;~)exr%DWvZxs;^t+5%7zRF_q!BYpCn|&B=eQo?-)R#jU~2AE>VU?y zGXzdlelX!=ffJM_lEL3ztb5yxHRer87aH@Wq>GGsMG_o4$Csp=jd39f1K~m7(;qi7 z1OrDIlGeir!LXAd78@>xZ4|}L5DS)MhL}mDFl>jhhanbpsSL4zNn?lwQaVGlpesWx zRJt?7La7HsG^i)T)3C;62&dkcAr>TA3{$WUW{8EvV1{R6P0A39zFdZ#upVZJiT((N zSlo zhPOcf42MDg3^CzJW0(j1GaLc^GyEg;&+sHRs`-rcn0By zV@T-IlW-~FT7i2JE+bqma9_gZgewKkBD|3Ba)EOQFDATL;K78K5iS>a2;t>~O9jp) zyozwKz{3ew5*{t^2*O(k=L$TMa5dp9fkzXrA>2dY0>ZU~(*!Oe93`AA@I=B5gcX5{ z2{#gM_?hkZ5r(ft`vsms*hRQn;F*LuWz{PME+yQF@N$8JgwqHw7PyQsw-5Dlf#(qJ zLAX@la>AUl>cs-jC!9riw7?4qbE&H53j8$TT*6rbFDA?-hTcQq=LnA`oF?!x!bOCW z1%8QeF=0jE<%DMtZaB*JuOM7XxK`j*gv$t53;Y)0a>A7YR}x-Gc)7rv2`?tRSl}&$ zmk}-(cpKs6gi8gkCcKJpvA{bBR}vmAa1G%tgmVSnO}LtHmcX@yYY6uccrW2v!f66W z2}cPh3tUgQfv_TQ1K~!(4L`B{2MJ?jMf(MABV^J32(E`&$7ICSr=L$?uS(HmSOJI7; zq7j692u#meG@5Xl!1SO+MTCRV6XsvDHLhk_`V1N~hE1D2TL+IMbQdRK0bR z)E%hrw50Ocwk|a%-4)46cXoQAjcW<_k5p%RbPn1eq;4G+sPCcWyY_h9d-5AJe@a!- zm5aa!O1Lm?Gjyggbfzmvj~+nVLc3<2ov~f5-Viuw+5zp3B-OHk_*1Xii`Wu^VB8(}sleASu(`+WcjRx{<89b8GCf5dnVyWA z-6Xt($W|i`Le{ZHL=HDKp|F3GK^nHNST(!JKa?f>GXtEYKmgHA;NPqZa{mLHpQ@D@ z&5vr{6!lo(t7G6Q%c}8|zuRieLyeK7o)i#iCiOC7fu;iAQ1vQi1U9GMe#fZ%O??EK z^`+L4NYLOe^?=14<$_7668!;c2-GF?>CBR|Rxc6MO5ewIuV@`4zSaN29&Z#P5fz?p z=VfbSYhKt={m-y5v8k?FUMDswCmlgDf)vf`Mv$y|DNwgIz==R8r-QPVK+Bj4vZj%B zRlSXB-qA4rH_P4o&hT+4GCO}GhCT}smrReFM#VKyJAbO>CPl=eDQSCusS z9R$&@F`;B(lok6%5WNGF#if0zF_i5D zDk{~`#Lu9lQ1H8^rYro@rba?#jA4-(3C%%l%)~3k7e+$mi2BA=g&skutb_KNGPWO8 z_lMWAoLc?;D?h%kZV5yko)EX7-V}sGN7bDsLIV*3x+A=R_+fYZh-1t>Qwt*fo&JLH zmq+@$xM@<=->p=If?$VD9S%)I7akH{GzQ9bhQrLF)K7;uHE1(IXq%ODa67tMYOh4q z$ZoC}fK+@rYDUEcsJRd|PaRj)zrCXMqcW_bD6})9GPKn*?_aqL%o8^OhxaE zOl_!JGrEhuP24suTpY^}$c;XGSeT%KqJ?0Bj+Q{!yPJlzggdVEBY!#2)MQ9h^X}F< zGC6aj@4V7pivMiLIz(B2qJLKVj3=}RbUlB0oQw@`A%x+Odd%N{43e?-L-oI4f*+<`=oc_wy0|p)bU~+$3781bpf0wk zbM%X~aM@iL$~MtYjKGRr8c)Z;#^+?g9j&DahF~9SQ7Uks6aDvf#MIREXy+*~?<^+= zsJL=&l+)(|DH38~WGnjp1UVMs??l25!#uRS-A2nY-}eN+#9l&aZ^aYZjYuTPQlTn_ zuXq0qBzw0cnFdJ<$+HkqrQhgZz(7p-uy5%f&|svqiug7Yw6V^)KubH>4yDGi{t%F) zo>_=sB>uB1JyM@8wAsOhc9DSeuLO<0Dj7FP23>-HoLxu64+BlnGXMs}eLM6nh->}C z7}nD1=qZv(FYnM@h^s?dm}3y;s859Sw5x~}I^+p{j^RV=%<&+!%M-dCP+0su&0q?4 zE?^&_WbELSipNwu!S*cBQ3`DLgl>dP!rmaPz-9PUb*4<4;8K+6)!)-Y&p4$pP%>Zf zlpO=^5-A9pe*=alz7jIJz*CVls5hpHVYZ{U!=H?V!AR)cA8vIhY(NW9K{VDIuwA9C zCFH3%t5-)BYepXg^rx{O72NI#jsn+4F|pAUIAS)cJ+@8MP!E$@4K}H?MT7#IhOerI z&syCUtRGcBRU-%f<_YydYiF;u9JwcW6Kglw_5`Q1v_MjY)2w0?cIu3t)lCrCQ_;!{ zEzF9v*?qwwoAMbvkG7V%l$y4Hx#;~()yOQ{OfyAad$F#U6SLT~X05&{B4fJ2fx3D*h+AH4&woCv-LvzVKezD&^U$NU4nl6L zCv+6-?Wr+b-R=%G7#1{<+n$9hP+VKUfhM%wcYaK#`=vchw(5C{h~j%Fpyn1naugYC z8^ zO^+WM%ipk#3LCy{YFgK#ih437#)2tL)5CiKo}jhDFt0{f9L<}6xoO-$+_cPA+c4Co z4{V9zvJX=|fMN|(ISt|gU7X~QgNA?FXtf2RrL7=W{r2?%eP#5+eW>kB(W%F)+AGWiM46DDGv*h)-_y|O3kVO5rC21j6)XHFkyrpsc<;;_hGXgmt(-GPht zaIlK*#e5@Fslx9~pmLM;Vs88u?!@VbF*nhqpQ9_r5p1x}V^YY4xFT{3_G6Mc)h^|4 z>rn4hw0qUZmPauiO7K;1v+7f(qEjkX>Jv=;xn%>5(58*|pvqC#6|-Cvl2;lqdi#e_&`h8fdt_0|24r^4C0ZfX;?;tMPELQM`_iR?+KwFLaQ7y^7psi&sr>teIfjaQ? zEV?x&5}_Lr24>|XdO~^F`vtDib37IOC-+K#!$!8YfPKkRF`$_153c;y8VQ4@07~&x z44RBEnM#T?^0W#YOOwBWEppjI`Ml3c*G^=+J;5tk#oC?#LQVb-fwg^&c%y&*VkRO7D)N+zAmxk_n91X zG%U&lDZZ>QHlYxyW6R+^)t85A{iLzT3Lmc7!uc`EBPXS9mehqc5 zJ3~_fear9l1iK?G1E%Gz1NrZIReM4&LhXRR0lbg!SFjGiI^b^!UqI6_)jBU99wN@< z-nRGnpHP?D7=->Np#xfuP&@?tIXkd)Kxg_+6pJ3EgE*xnMc)crn_d9L*m%D zn`&(R@@}{0mc_QlzK7`9I{M#cqt3<|mws)_VaE5mt$ka+0&nMX1t#+YZfWSxf(dge z53{ydA^B}~w?3CeAN;{!IIi`(?XABPXqFoY{SM54klP$5Vt!k(kHuG^gdv(KK*zRK z91lJ1#M_gHTJUSkgShgu^E=#*`{|d!{eo?i9SnBOlpbR7!M9KkmVHK-j<@iv*DzUM zzn?XXH1q8>bhn$|OxAEVxv|`3vHm8^%ko*raSoGl%u0WAGdzH{U<7N(tF`QE?q%p2 zn(6S4GrjrDD6FQSaGU~M_HOyrUU0P^Y#0OW-Y1+KK4L3Dj>v#z5*IVFsc6Xwk$9bu z(i_U=4#dhUuI@&=D*uGKW6FOBhOQs`nbzKaO0NOjs^I`64MS3~J`V_1r;VBRSyXWj zb2uXwzh?RG6w}nE2%Upkr8%|eK`B;7wjY)!KA151+v``hTCzc0oSnLm(f4sXy)3*a|7`7 zY@ozkb-eMtWk)`b$g5iR=HYe&{ONO$l39p83w=5^fc?!}3i(s@r$7LsP6f66Utqe}ULd_C(>p*v`b=#c)7;6ox=1tAme+6_i$)ImRN^{=>3wASFPM*z=|BD= z(~D7?J|1=a0@Ho%Oiw|9I7z<@PZQPAe{7O=9+zpWf4gJ-TbN#DF#R2w{_yt((^rD% zi6vc!+VsWj3FhWreD_*TyZQo?fL0dwM=S#Lt(;R^0<^6v?-|$(GL(&(`!}Bg#SN5b4|rm0J$VrJWbqsGv#&y5R-!Zl7liDMSOt+p z-=>4x1alJPC|;1pf=j;( zG6Va2F_1>j%7MN&$qj=O7c4ak-eN2GX|@zx)N*)^t8tK7u$`^o^G3n5xtcdez2kLh zW1nND(WU=|X21{Cvv;iQ$=>1b6~}Iz4|;z$4i1`hANFUhX7(^Dy#Yya<>I(~0_9{? zt7j4~HYCe}5z@CWHM~*UZ#Yj!x{K=!E;4?9m2}+TQ!VEWgY9#cD^ZQnw#?tC?$x){`h3h&_4b$($2DYyed1fa3oVB?G-smDqsY`}p)zejI{H;BLmF-`{3r_R zH~-v%ewrPnm?)PMrKOn=WEDvKQHFpSr9<5kvCdW0!?T=$KHsF`L4q>N`%VtWJF{wx#u}u`^X3 z`?Jo%yW=ce%TLxGYQ#c^KCT-aq2&){udLMuAh!s$*GthtZiinA{6pRpoOSF*%KMX2 zR-tcWJJl213|M<=A@O#3f?E+ryx-w}td3JLZ_=hvY{u~hC& zlEoGzdZtH*v?hVn*N}R=@>SjgsQ&;5S21&-mSD@7EhcNR7Gp(wK=XDgOU|eaZ0;@x zcG~MfVCZL~d0IySl4#8u^}Do^6zv(&C?tO#G=%E_TIthlpfQqNZKjo#BMnEh!LJa$|6NS+J=2>W zi&H!kMDcma+LM+}UqYdXw*mh{rVWsUliGU|V}Tf9UIV(OB&Fj(!kG3$p#~xe8VWVa z8^~Nip+C)tCt;?3%2e8fN!TKxfu{k(S?`dxf*~axr$-z&&4LFv#G?FwJr;HTxo{m{OZ$u~G zSy}TRthOoKJ^3|F9Z7DFw+5GE?mx3nXX4*~?jC}^tIf+VZx(=nku~c}RZvK1cNda0 zNf~r_!{+VgN?Tl>>2BOCaq?&!=dNAY&%C?oITA3x<;e7>OkYNovSC`uZZ`D7 z8kt9iX28%0Ctw(`bHuaITXNLA6#WAbLC*!>GMkiU$o(Dj0z%Vv+0QC#1YV0u*24P8 z=ozS_?uk`{VL);$XNfiV0?M?OohMX}bp1{I$F(?#R@#mF)*TpOkcqQCIQR<04DAbM zy&bbVF!cpQ5E;6)5^gyQUUx7P8!4Tn2!c&|5s)pP)fd9;ROWq;ntVbkcZVlOE-U~>fNJxP7m3V zR&Z-}WyC(xbjFJTWW}B~3u$5RH&&8GlR{wQbF=8FULtQ^o^l44qL;yTOAe3_n$ml~ zNKcSo8R$JA-xK63Wn`I*a4QQg<(3brlv;JmhT3-O+Z#SVwcAF=+qlbD+ooG}IMtPy zzn2F0n`Fut_F7Os2z{Q2x?@YCcP|Fg_j>0Y@B~+ZrEWFgxX*y$Q|C|#14d9$bpLSC zRSp760R)aEdFGx69avStD%g)7v1D)82W7to8)mpR71-R@7^h#G11fT>T56GzVRto9 z*iM;jxRD_p-t1mx1(xk>Mh%9^9Pos00dd}hQVbS@`F751R>2FB77NGY{J8nH@^SOL z(zk4VrW(9+EGeCV#?l7$j|V^M8P z9=7&^;ya+Y22T^NO?g7RsD%Cs3W|D2ztto%btXEcE|)({ySBQ>3C#f|vE;yf{&>~4 zOcMk8i6z#c1XNhrdM=P=7w-VHM_h!ivH+G%agvMMP(M>d-g<9fubtrjcbm0oIDT{I zC*7`ucYs9>oAlH1zvVc)bMEf#gb}#R`-L$t!l~1Kauv?|o+fULa$E{fu+d~(oLtZK z=W6Wd^47+IzxmsukM1tZYgo@+8)G=O(nr2&%)_(pR7>jPCL%S)MC2K;iDFurlOxJjOhoc(v;i^^=>y8z z(5N=sErX5k46USwqn(zw7b17^3PN>8r8cxawAFu)=GF?am8HoSPX?ez>I;=8kWEk;Xs0|*hHe_u7aeugf zQ|3lbkSjJY#brd5C-^R*l7>)|j~f`qsD~p+>sAQdUmt(HC(sl6qX_%^JQb-ufN9Ky zO6nm28Tfua$StH@(1!D`&X8KYrr%!SR~gt?IO;O<_y?a$Jn2f4< zxJfSgP5;qKI3~N302kU*w0zlh$cMBn)ma^W3N~+$2D=x* z#?F#Bk7lPaylJ#El!lvU=|B~I+Q>+M?FuqnNTuK%1{yAk##?Q!T|(zsOxFF|>bA1c z;Kzk@x;WWh`UVq!k&>d?qAmlf|KkM^;jIm3KvUV}Enr`?{Dd0^($(4kocT3&;v zqP?f0ZN~OqTl}3ewg)ykGC%SS(Y#1^>B%GmGoNm3CR24tnaR(kZD_bLK($mf6wjwwTq{ zJ!CjRcioPd?1;@dJ##RnTMpl?ZW1d9?egVjG_B)=FPwJ(=9;W!=X7fj8*c4SjS0?- zi#L4D{l^3CB7uDle+1IQee?wN2z6lF@F0=6cFnQNsW%uF7Fs&!R5;}p3^##4waQ@l zknbAVl~ijs`~)tjpk!@ePB*@D;N~jC8Utjm5Iy~{80P8N=?yd``M1&tjTbIjvA5aI z-cykndj@(;m>Ty-+)HE!ztTV1bX!f29Yi0&%G^lzIU(8hYK_%67#3ZFY+l3*<*2>Z zE~pi#V9jN@!!OzQV|0w|k67k8$fTW5M~60U?Lv`?UIZ|U5fq~U4(k% znhWjH#Ux6cWoaMRqWiH*c2>)Aii1c40ukAzL8^$}^;sYzF1`Fg*s3KbCtecDXsSDf zg5Ts0G^P2j*M1i`=J4-R8=t^DskW`7aYJJwTpX5AN;fq6aK`)H-VgkzEd#aTgIaAE zUW4(Shc2xRZE!i;$0+$Ls70sD)(=%1`DxDfb@Pq7S@9uMTqPABOY;p0^hxkHs10Vd z4XAd#R2otD+v=2Ay1Ma$&$S!i){;MnViOH7!nj>2y<)WdE4W*R*L4nUM^0KwueybC z4L*c^kqkB4tG_`zC2%+q?Y1+ZzSr%SYH#?U5w)M&vi3J@4YwG0%xtwkChjT`cV6Hc zCt3|*+Xee374^XvfzR7Ij%+xRDBtYqMj68AC8yP(Lw(Q~sC8&JIzOnz<+SDSH?9Oq zUWoc%G@Fk)O?z4fdeNc(vfC4r?Dq5>t?hXBbb*Oz+S44`(=G4|mOWjKf~bivU8Tti zrU00<&`N9wax=qs33pHS5Za_=l8#L*7};d&$J~e?-xxaimQpjv@G!b1(-GtV;*h-Fm=nves`~)H(W%eJku+d=Re4{oQS5ZLWB=fVX zW_j4MYwh))i~21wTmgJT$$0t9dMyS%$b6kf9oi5loTZpmsu2iaV8mO`I`P^FCM_$O zyvgz|GIYym@G!W=2~r!_xQeS4z?AJ%5b$q-fA_UDsc@!ex=xFkiEO`j2M67}YHKO6 zjobBOF~tp(Y)kOW%RtOiF)UxrgK=e##8N}MZ@4xsSG!?|Hf%7P@7)sd)^6F1;VC-{ zT>CJif>1F}=L;ge;0Jrct@pt6t2@T0u3Fv}1nI_InKUiC7)YsFb`gS3T6O_~6fJu+ z0#UG*x5Ju)xi@kEtfqq2ZNQqbWSjH;(*m0(N_Pea`|+w^UBD>7)jeO`5w#6L!I)hH zP!wW{csc2i*>B|x^!JE2ii;E28>-i?pTQ0BG+65TkI|?=$=*|@doWLHN)K)OR~y&* zC|jBz4Li?u)Ho^~m6(epCTPVjZLm{Q*8Bk|fkx+aH_(qFzUEK(5A9Dy`#XUndDT%S z0^S{Hq%gRJEz7H>Y3;2<%Wws>Y%#c5Ux|a;RpcwP#y<~>9{o?S-ZhW+Y3r(cY0cdr zT=)E%dl@{lCYQnE>koiA{SIKQ|B12Tj9rE-{d&gwFqXxbm$9oE+eW7krGr>q9^0mJC+Hdr$IX}0EUXN9TWKis zs=`@WLf)8j-VVOtQGRdC-B8IHCIMe2ZU(PqwPzluSh^JRZDsQ~u>;?y8I<0vTY;tK z1K|}HQ^xuX$OJrcs7TiQ7MBaLUXhlc%L?8Alv!NhOD6EBndSeI14FX?2n;XyLe5xQ zNlS-YGW9bQ9rnngpE%n;WRi0+UT26Ss#|MOD1xoL&DGSQg*8nY>~X zf+k$)sd$h_V{nMtW84W-^R{UZmP<;t_ShUr*`YmHCMh-AV?jyTtvy&ODYe?bnd|Ar zmL>Q)tbY<_w9M%V*3n43d+A@7Is9&-9 zg2dYRl2$JP6>Xqz%|?Xq&7L(cB7z0KFKNvx#MGzLS-0lhg0|1Zh&n^_ZbQ_EXfd5_ zPWnVdi!^ao1&EF|y!%K*M;IP{IHI|RJ0F7RU^urNgjrnLVi-WQ7kpn&ggqeS?g+bj zDh5tMUOM7wh)+a374c5mKn??ji^QS|N#Y;t#XoMQf86{g-d0@=TWL~1%cztAbb}Ln zIownzdkd-9=*e$DOM9TObf_!gFN_k{CNPWWj>MtS!iXa+t*U#;1P1{#G#mv(EpIcb zMrV=(!z)pregnkOOc`GTFuw;7o3vPt>~1WpmnP#vPD>9rf*CouQ5;E9kS+BkxnnVx z6+=TM&vcBu@4b{4d|J|TF@txHB1xn1ML}36-W|!}OW63!sFb(7Wx6is*8Fk-(ICg< zCB#BRJb*bqCRf=8@Qu*EHL>~#8i&(Bd8DL(bTo*qS#=`>-UY8)va(2)(V8qnh*`1` z!mgX3;=>7XtD_cI!8)VXXmD+FZAC_H@K9VEVSRXomUvkJZ3{Fyrk|;0C!<>NIQIiF zk}Wl<`54Ra;RpRLq)Chi^~QwQkIh-P>OF`}^wf-9n)hHh*Qw?m#EnjP$w#46oVsNm z@PB1adr{7uvOj=2qa2Dck^&MJ?OWC)(thu{ca}SI`ke5*5>7JcR z%+$zz*n5zs&1v`$u%EI0mfX*}rw2B>Kojg{R{2il>%HwrU%uu*$7*TPZD~@BV})IX zO<>DX;Th=A;LH3lgD^&sM!N`O)?``zkzGBK?ZjkMVPAiG75Em3pJ|Pp*MUWHVl4OL zG)vQ6z5*KTxs7#9UZS3nqeOVln0@>ZTJiaaL<4=$}r4i7qxK+8Ipb>zANb zQ`v@{P1X{j2a)5Ab~&!BfR{+@M|t^j`;lYco&Bg*^^k97oB(h+-7bK66u=9p&q9iA zs}4t#jEw`>vsLLNd!++VX`=n zEjnasJlBtt>jSp#|1pYznAMx8;qxzo_kg|51e#17aDBq^Kaj70f}clNfNzg82xnoz)?hld@SqfR5LONi zCOIt|nNf`Hs%+}d>Kgt-Dz;waj+jwzxPK>gK%;v7QErHAbO$!LGyV1cFEBVcDie9A z(hzn&5Y9aqF&K+v9b~0}C`viC>`q`OH9}ibwCq%MkW=lY-j~XeC_6<@r9Zl_6A}!J z$RJ_3uGZ8LW_4ILxp}Z&1_J8-!pJ~iMDU#o{6+eUyNtkivGcpj->)#zzm1aMS$}hz zz&EZ{FaF~n|M>Cq4c{cHH@gByoU?jF`gbyl(MC|3JzT+eD~ySttj)sC5T#qF_HR?S z5Wr(ts7cVFlRVfCla?6rZY(LwoG34`l0;wN6yeGbpUq zfX#+N+^JXmZOwXBKfFDsqWVl=ST=ym5V#2B)<(H8W}HeTVS@RQb}q_)ua=;M%+U3D zMuq0^qSi!L(fe!^`@%ljMVvklaA`NVaTtXKb23z7C{;~Xhb0FR9md`g_UDJWkq~ES zq6INXw;EADP~XM(Y0l(<2wU?IDrQ+@p%CpKS8Y_C>gqGf?dzpqm?7>@Y0kUV~%s+}07?8p5sJP;QVr|%0L^1EpK zSHps|Y_RCt6*%JXrHBIpceo(+y{`=>#Ruv*MO%kGwi@D(HU~VC=Vmd^Fj%-fz8`X` zhI1hQA)~H*zSwyXW%BjQ%p&S0_5I9Tx35Q^++^QvFih=qHCa22?yA2FAEtp=;2p%v zYQs|0)6^SM0+%}YA+7{fD##w21;g7jP)rfVfKhzn1`=TzCN;CR<0jZ$eRU6O=LAo{|1wooNfD@rqZ2)WSgl>u;#j}1u8-&RSoe23MDwCxx zCQIkoSehHp(m_^v39H1>?}$!tO<5U-pmlI9!xK7*~rh%JV`852!D^S@g)?9u3Bz1@-}Swpw6L2jcLHdaL!g?RQZ*Phi^41?uUwL zTBp*)&@26~Q+f%R4ZcpCFS^r}5vb+XiG~K8i7E_lwQ5^M+T% zv`l*xqcj&nLoUF`{V;jqHO=5e_$-LW#bk%o7 zYzyXaKQI!=K~eri0?TDW0s=K_u&t&Wc#?_@iNS-65|Io77HHl zvlubnhB9J1VliGKv^<15wtv(}Sw6JzJw zHuZJ>N3;4e&=JsmTYjFfmmUAx7h)fwb477!%9vG~Uz|htjZ4X|TQ2lm(dS#F^DK{p zTrx)zzdM{Qce!AZvJ@F@ukk+A7#4Gn^{N^6{M(VQ`rTN+YZ|p{xXz~kSdPfrp*-{> z@NkmlcVq7~w%cmXb2w%zjX;PPtBS2e<{Y!7R;v(Zeu#(7_I$++ZQbs}_D+ z%*^3bx02wKQX}R-Kn`QbeX=fOF@?FfY(R`53iqvUp>yQ>rFcqd!y7F$xeG z7ZT*KCHxqB0d|*M;ai>DWa2~>XG5UT#iZze#agP!9+UXwzT9gq!KWs?t}-w7G1&d( zhKK7*R3km}6Ic!IsiBk3eGgHf?!qPUh<7&>2rpQR62|u*XLwAox#SaX^SWCqmy~EPgdtZdZBH-+6J#yQ{bN zfbX2Zq)rZe=@7SX=ZzE|cU#wYz%{r;bL=TbJ7Ou8Lb_}IR9v?7R3wynzsG>F70I}9hizlY`i|a-xALgp?O&Ku3*82PR^|P|AwN8!<)>j*@YhN;Qu+!B2_~c*+Kz1XaB!=C29bDyk4V$wksiQWRFM8mB;MvD(k-$2&&DD9Dy~;? zK@i9Lqz3t*9j-D5BKy&xG6xz{hUR#`Lu1Mkn!P-@^aqFz6~taD=03y1Z5WG&EW^I# z7)Xt1vq`Ka+Wdb5tqajc0j;~kA2hyd5l5^1T_7nyB8P&AFG>XWV&XxLT9RD+4zRaF z%lbxO7_TA4n;lOnhIYatvFTy+GVpxw7lD#AoP(YRVc^;|EVFeH+`#1RLaRvSp_(+S z*jtbvdFkcF9ccc7Q^xkvk}nib@F&O>U)>6&gOxZ(ngcFl-uM1Y-%9h>?`e6+>I*2Ll0mzcoGd0!t7n~grj!Coj{n?OVb_AO%Kh0 zajwG5p-F!aQ&Z!<>Pgh?nHL3tlV;g7??Ds;L;HoHbx@3@iz(oRy7=yB3tjYt5yo^e zcqw!NE#OUFVY>m%KPk2!`tR88B5db1^x`#oesL_L(l8-1!!M|eX~}jEbc-0<4?hdG z!7%z8@Yu{RAp1Cz>xC{E!yiL@pf}04^B}L*YCdRpn;F2@&qf76WtWS$h;4S%Hbh+s zE{;oeubqosOMrS48c2n;qWYE{bvaSLH5h2kYpq>{A)@l28dRyicwSf8QEwyajX*ta zk8j~5>^+{pA}On9%7dmBYKIo9A*Jr#-1646u9=2_wyOa8bW;^qlLiL3eL+X9$Qpd>lT6S^EREk7l&$!YA~ znjRmXU-mM>I8DTmjTItham&%G%5pBp034O?Xl{U7v_xL;UX2)5d7y%qYW!i z%au!qu)m&@W9ywv>m^&m6`)F1$NE?h4Drt3P<+ZPIvF*}MKn)E&hI#fmHG9p_OG0s z`;44l1%68Me`3*#oigl$=$FX1X+Ri6aj=T_Q;^s^eUQa(eD_qg+q~49i%c(Nttzcb zgz4__Ojo}TrdOjwi(jjI%6M;An4XWUnCmOFi0XdBF1XIbS|ZL_#(lM+CrVf|-qiG_ zN4c0|BY5=^I+jHbzrkZ`=YB{A0yVb1Av*b>gfVG0WCE4W|LiydT7`n<*{Qk6;R!jG z4>IT9|GrF>WxgkuyRm+F2-9bIXE69M1Y${CB*c$c+0;4$lfUTWi_w@{b2#S>w8ZB$ z(1tJHR=*SYY^-c5SynLL{vn)>Pa7+5i=jg`CPN_(xtzw6X1ZOmpFd?Iieu4%c+bGe z{TM-&FX>rdHChQ&oRRjqBWGrfexso=@kN&Gxyx0aiZu<^PVG2F+fMl%?-ie1I}4*g zS1d&qppe(Amd(&=Y(G!ZJSq8tBgID}9r! zcWkS9s^hGn?s(S}gi0={&cD9#+19?TL?%6#x=Gr&T za^JFJ|C!kEsZILb_-_xd?8VbwXvZExY~bM=Ncazy&7KiwP-v!|^0eT5bgFie0p&5D7;hnLZUC6QM$6Q2(DBL~!r@oKkBewsr^2MOX$Jd|s0qU

u#;1q0kU3>UsPsMpi-R4=h=}^u9 zN1DGL(7Mu}F7mC8KW@gWI;wYDV8a>e&>cMZUJAM3cn@{uqQTMm0@jGt<5ibP&I3o0 z%n#rP8aw*G#XCq<4n-YW9r13FLvU{;vm@TkMi6CCdJUE%YY~Z7p}XS1GFknxE)jQz zJ?q*U%pC|%2g5hvz$b{$+&;}lt*ewQUkA2jT^kdR>vqk!qqzpO5anA_c<0C2-f9*P z^3f<ey_H&irDGTV)nJ#7W20{&#kIn*31gr(bTbw? z*cjrVCwG3og7omBmcJ6GlJgGJG)h)PoDWebd|33H;Dg{t^RLjZR{gb>M2yP~0Ubiv3oG(ApfyeFO4)7BGaP&^ zS=k9@xy!kXe9D_<#tS@n`3-h)JGg^i=@2Msz$ZD^QaB|I*1d0!{|!kG-ovukU&3K; z1K8kRtyWSAt?}SRt`*6r?we9VK~#@zEAI+c{R*pY^2}QxRqv)WooE z`594cgN>A(-hg*UN&uE;TpzEg9Rj{4JN}R~jcVc=HFk#vxS$tif0YtlMDNChiS!`h#hh zc7}dEI5Pll5%2}Hm<>nkKFZh%swFj=v-hRm`mi&R!f{@S^R&Q5XP=>!JR+~uKSd#o zNJCd>&L=Eq@vz;UUA({;kn$`2JLPAZ!p>_Qjrt?x94~!gZ$8c+FJM{u<_u)~&3s(` zqUQC7i0-eYa^ld-;L>8~Z^qDhe+=ITdJuukWaCGx!N(u1cH@4LHCipBxd*uQ`OnVRJFaK+rjBCj#JC**v}DLq-c&)El2MY$YUFv zcDy{e0si00<2}mby2no}k8-;_8c_Ey%44J*dn2)->l2RKJ?+@@iQQTryow>S8Bd4{ z%$7ESeQ{Xis)r$y+tL3HW`4j=%eF5)Jjs_?dU&X>XX)X)e7`R}e1q>w?LnRo&@VNB zkW6y$;T4(WctZa~Qf#7A(}CYLse8AkgRcf*)gHW0(7soPFCi;`cdV$sLZtTqhd&MR zuO*(~$M$Ga6!D$=dJjnS1n)yjFjK)vSX{@bwYT_La4jv4RU9|N;%$1H)2>9(=wH!o z4I$lx3edCT$q)X}X1v)X4?obPUnI;N@7pmhdx6tgK=k#!(L*s^m|Z`Tp46WlIF{h+ z9XRInT@}Yj#=HQ1?BHBbdV_*+yupTbdqWx> zYC^o#>R{7V7-v7o2BdZ$J}W@TyQaRE<+U)XQw9M&0|Ip?%Uusoi8m1(f$NbM@jsVrp+lm4@-;OTh zdxprEV`gxVPJa~tHCr8ihYOaJ1@`E!?nh|1@%vzSRY^^>P}!c%*j0BX)`m9g%iQD% zJb<37iFrD>;7L|%5=D5_lFWk4F96As+St&S*VNv?Q3n;8*jX%>NAZ|5QJbSbvN?x~ z!`7w*W*wnnoEDjA<#x2DIVPI?^dG3i_5#o-d`hOl=%gvUYXEUqL)=SQFAf2*!Q-Ef zPafd@32w(sYgtwbGFRTTxD&>;ltMEK;^!hjUJARhI}|#D{Izs;ik;D0V~p~%=Q!Xo zZa>7k#~Qo0OOKVvLkYA#8DfjaPq)`_P_snQg*6x-4?-(MwlCQ!za7K;=?MFV?c={X zMu^zN=-C+F2f!1a-FioM0mJCwNc5Gc%i4h(f}=~U>k~b5_p)b@&wO8G}FJs^U%jk!^Jk- zX2e@}-W`r=e17491xz)TPV3PGEc)m{U8t7a-E(tzy8BH4H2!#VF{_t*qt8R*THdy@ zoO6D*IN&lwYeNR!MhsmdQ045CpX^@=LU7My#rPPEd>exw8S-BO5_IM(Va~0b(3Q|I z*VIE~1j2iFq!IDfFsQrTc%eH5o&#rstv^EF3nPC_Q;jORHzxEf4_+7=ds)VJIs#t2 z#_Fxj^j3Qwyo>rP*_K#Ri-~a}gjms5x-Zu+@|t06{bFo}?Z$AE1Cz0fK8uqNXJBKIMxkHvqe%4`v9jko6Nk8LfwxVc3Tv>z`c%6G-sI;Xwm z$I4XLMey6L*9MMt_K}sK8#)?<~%A9ljN~$;M(YzDQSl5hYfng6>!rp0Rg*;`;nY zxSA@>*5?2-uFt1)6t&h)uf*^QfM?z>l6h7tOdNZ6Xc;FD(ebF-*bwD4dF;~%EBz&0 z`;{K)?Fl|dSvb@2?uruaLrmON#E*ReGolnu95anKgNqbgTc)Xo7afEbiJyCM5u3n* z1M#wz@#RH&6Kdf_XdyCCdal|qdo%fx4%8R*jsv}nt!2S(q{ubrCdcf<{DpITq6J<7 zY^oc%X#E3BrEhQlM^(WN#I*f5A3;w3$iQp}!{D5sun8|aWEiwX|64gZwpgv9R!2s2Wn-*GY|AFzX?gL0CMz0v|2wPjTBRp-{uC}oVM!)(qK2u zyIiL&AtMO@zb~&3GGLgS0sr2BIYLPO{}^yv3pS+Z=7=QuZH;{C8KlSKx;S~pY4_&< z_`Crqo{BT%_ms_@3%(>^_0`pAFs;n@d+kiz>BTO4wiA+5v++Ws8<%%ELXD@y6PXIt zk05}Hj5X$o#EGk)h3YNidIY7+{cNk|Ypt5EFt27EgZfboZm6T0{RF@SMj9}LuSI|r zR4E_ZIH5V?iw?0G(~KHr+c=eXr|8Q;Nv0e-)`Pk%%ASXA&}ur8>mXLVWabGvpr1fv z0)CGvbS_k5soxsQc=gYuqiq5m+>^I{WM=_11@siCi9H2#U(@kYn3zvfhTsAsfJT*Q zS|N;=UA*iSuo0uO-Js$+-j{}wUPKc?mnOh5Lu!GTc+QSZ1Wq!d6~H0U9}MPYHEA`% zQpj4|9gJ1T8#BitCdyy3(jZn3VzB~C4GxjiJe#0cIAt;3v5BE}hcHq3aU0W%7Z}aP zAe7EzHAwEanGO09c!r#+Owq97mQDKR1zVH)KtO0xim3&ejksHBfl`b-egBfB1(5-U7XyC#j$qOPfCD#B~X7s z;oFX<@Evxo%qNu^vyH9T-)~2qK-2>#LM^bX;~JnE;`=+AYdKPS)z<6Q(_^^zaT)-h z(u$2Z+Mmyqe%5L^WYqWjmg4o-f&Nzel9lM5=-AM zUBXw()%gp3raMmO=c&xsy=|`z+sDv`?`*ccv3maT*1X6QZr^ zp-rpk@&Mz#e*@;77QlRWfcaon2K*ta7l*OC(~!J`Z{l-C5#4HRmp*O`A)@+N=Gu3l zA}{vJllV25GVjM+3H%c+=E>@9$(Sqb!oDq71q<8M-^bW5-%g*?+*0$^*GA_!3RH=L71{-PSx;m3?LPZM^m1 z3I1%iyE%_LWo=KqVsVMna%dcmnj$mCL<%W zJ3aH};s3rp_$AVIv%3*^W z4xbO}`n+vo-tNHXjo8r1*wSqaJ}}3?u~EI%sqaNSkv8msCNUsAkx|`^pP^#_+tMoq z2hy-`>OP6IF+m1(??l*VW4Ui}4)7~dtB2I|e}U!Z9j{+0F^45qf|cJuJ$*lL?*w(j zIn1u_4(Ad{D!`{Ogwdplh#|Wv94bJdhTK0Pz90wxs|!-_eFYpb#RC>OeFhbA#uB2Hxq*B7GMq~XS3H1PK+Kq4ll@|nR8@g={&rJVa;OmBPYCFilWmJf9 zPhJV}!Scc>=c)@76vD4_;eQ9J?THXZ3}iM-kg=07h{&nutBa0e*uigLsEgPb{8T9Z zPZSF3f<|V2<5U+IttG**)5F$byZ>6a7IiIKr!A5y7LmMXW$^07-{2>B*4GsFQ%Zf_ zC6sfZ@t7z0APS%#&YgsC_0y1zd{tpOQ0FM6U$=3EQ_h}!WE8AvI^O&Xo?f}PjSEr? zo{kh!P5X>gtJMWgR8t5-!H&q$!URF0UF{xBP{ZkfWQgguMO!3nI|FJc4XIj)n1N{9 ze)CcH^lLGmJ&o~9GaA=kbM(t)O~I*Y;Or)e{*h6BzuObM9g4yRknw)|us10wR^`|p zcAl<+VCC0&w{?Lf;ABFu2FpTv(50!?5?vf&C-;j^$P(L#I5&f2a1%x1q3XeZ1n{~o| zkozbB?xWDH^lk?{>~{ySU&Cpb?gqVx z^mSBY(<2*;5M7bSQG$K8CYxC{lRkDV9=zx3n|fl|H7#p zr@~rCnf&5CyCiw<4)uq?DNe`1sX@G)@9gP4EkcH1S3|Hgg=P3)k)V~%7>@3LH$X}P z-Atk2mhk&alv97my!pFM`d83(^zX~T1V8X(W1K@3&Cl&14-@+E{zXJnza zOHrFvT3{AwSO;BmuP&Rjy$)bdE6&0+GLXOueBN>wMk3(i79e69fTvX?^5aPYo@vIRcU9;%HDVjiamyr!StMbIlDRc zapu-C*DwaYEa+UquV1l_dzrhDxrQMcl&##`nfn}aW6Ix@0}VZ5Px;$zP<5$2WhmsN zPqwE-Fkb2-<5C_$%Jue?7e7EsFMG<69Z0#@o+8GWVo!PaL*OLXQ`{Id^oGMWo(pK6 zpW0KNC*^JSltdc!8l+Gixv(iqmS(>c0hqTekKJt&ugV%`bul>%`1cBk%Wsj5$S+vX z$<>VkRl}WFlKl|+*REo}*pubjxpn|_>sh&gK?N3;3$hT|`7;0CwWycGnWcppUqh4s z;PZBm@dq-f!&^~pOrd{)%HVS5>fbh+Tt-S~0+D~m;bZ9k10N($KHh!Z#z%t12Y;H= zGA>(NLu?Qi(IK`{#A`NqA>T#c`7-nMt}JZ&xklFUC)AO#)ueZZELNj;GywD1k1a5> z;xRd!j`k$x4q$?Wj1F3|tZ<{}GUH7n!{`WS$dWgjz>H_(OSB~^{X1l+@g+EqjaDxsmX>07(fH7b#Slm7=-os;!c93t;M zWD$yMa#!YUiRD?9aM2KTGw-EXo>fj7-mnjO<*_`goV0xh^L(*9tDH1{74t^K@~m2ZKl!i5PVxT3uM&J0yEe zGlgsM>JWu}2Ft#QvcIz4S^T|iGv(qxp)PZWruQVM&ulL@iOe3|!pg~kY2_nE8z#8-;>q3d2a@r-Ptiv^l%+gk8<3|FcEcpnn+z;CKj#P|I>BW4^({ z;(bnxHbqPP4Oqo|-2w7_{Z+7L%wv`@Dnfq-F?}GImYTJN%b+uC?}WZh!O&hxh@LKE zm%I~J*BX88=$3B1DMx1KZuLz)?wND3*<44m=X#F*Pm;Sy5V{@uxn zad7EAh3QmOeTbntN0ra>NgvX5EL6*TfLm(smA|w7q-eOXW>w#UlN57@FB4HB z&n9v=BAYyEO257!hZ^;z z$(y!-$?99>JtQnW^n5wZeyay7tN8{T zoYVj^xS7Jf=Rx5yQusgijNFNKDLhWH*OKgi@7AQlfGB|2vFoX$lDk-|%)@T+dkHl<%!in7h(TK^n~+({xvyM94s zb|S$zB7Y{43!B@LeBu$$PNXc3$WY7Kh%6+LlWNYKI8I(9kzpkA zt43&VPI(-WLJ~QZME;Lm+hJiJVk(qH#nflgODT-JJS3 zBHc*j{Vz|pISp|{_Tp0z`WzBDspcGvBl1@g8AKu{)uDKSx!F!`BawuYZqCs-A{|L& z?cS4Z4wvW6oK*i6L}rl4Ni~PtqRm7!68Rm8oRqQg6rh>NKoZ&a#mP3u9Y@4LA}^50 zNi`=qj>ubB66pmba#GFVVAb55=_GRgNjHZ#C7Ov`Ng|(oezMI;jU)2yA`n?XA}7_H zv^XNmNF8sU$z>$EoDiME>+YvRU^N&mpHjjgw9e z^!jNKD^6@emB{^-%ULg>WbESMrMH5lhC(bH`1Qg?_QLz}Q20i(@W5X$+|^$ApDcWu zS-9Ov7WT35j#_j28_DuPsCfMm8?Q1~M*?6)c8nzz8j7mGg$j z9?ax^1#dX<-uQJqCw5!)@UP=-CcW{*uiq4d z2G7jW`^TWsGr!XdV$k53$@;B?e)(EpJ;m@K=75-@reV&Sin}BH@bIr)=gF~eR^L0U zd9ykU>$TePqrUT~1r46*(%%8Z-*Z^v#t%pETt6`>88OYJ^-o^fow1u;YR42r)Q-hC zxz!x<6BGO>SPvx1w}|BGpIhsYii9PCBn2|7J%JUlFRgPLi|03Jozo>!kFWISMe&xK z?DXR9-YIyo&QsAdl-8-O3l|*Pm7azbkgpBC@qr&d!c~-ZqZ2^@7yUj7r*x95DL?UQ zim#_!J89q6HT$p^YMq5Q=Xx$u0H3&we;dl2o^}wIIUA4XX! zOyb8DjF0~t_b{Ofx!TqqUy_P>g^ss~jAaSY4P6bWxHylmG4+iMzro3l1*2PABRh4T{y7r#v2Rg-;APIjeb4c zJX;J^&cbgj;I~8@RQ%L~(dvxtEmLSCy&g3+zJxzBBD!DVjKzE~4AThi~vNTqR zK&%{r=Aw#4gvl`=Uw;(5qGIENV175&Ydz4CC_I#LAU{l<<_-@`U~{%HEgLVC_|A!B zb3~O_KWn0IK$NkUW>z(xhh5ulNQ%RGxHV1^lIoVA?tJ|Yh#rwY$fuVrh25-m>;$H9 z3Aj%ZyUsoGD;fCd)$ncjcEGvDmtQy<;Z&k&sdTA+QlRguqpP{R&!{}`qS~2B0eoT% z1<^Ps8<%Jmhya52l87UEl!};E_bB*7+mv5N%zqGvzd{jl! zKAgz~0tQc9gNq7^iVA`XiYpON2#kUfMI|e)X4O@Z-SxIQBd7!tW(G43gQ&#YiVLDE zuI`G87=lQ+n1G@NL=88Mia5tX37{cdB;WH?pL3?qOd|Wf@BicXBh#lZ)zwwi)z!D^ zPQ)qNKN7(uKi?S<>5n*M+ZVCr>A0IQ8b>48yTfp2U+p^uhvLFgBs?e*`w@#IwOKu_ zT5X9?Amd*MJ8+RHW`aVhMo8XfpDlR-xJmco+bfb7%?m_2LcULmbfONiQSjg)gG;mL z3I+wak~7>t5c9ce=IaZR6#qtVE?i&Zq238O{Wg=WN?fCv7nV*ul;)3@PHai@e{0JL z9fQijje_XKhj^3e!OZZ3*Vpb+2cfr z4rvo^5*OVT{o#mvayp$zUuIYKN4(*EG#u^}qPdwzm1iCe2L$<_`FGX~Ki891lX))h z&547i(*Rh|JIa#AM1v}yIsvtnk{(K!wWQHR%(`=Dfy$=ky5H6P# zO$r-5>%yI+NM}+zn)gHmON*H`nb=&Cz;LJm3C$zn9ZZE31SnbUwF z+-*??+M$4$3?M})3-BFK*I%l30UMz*0s}LB6_txl0O#i7w1>F`as4(sS&zB`C@l{( zxA@KpG6W}-q*J$O`}lM89c|8l}gu+j+402~KOe59&Sp1YB{&SPDF zp~{jb#s3hwItM35f$EGW&>AQQJj)WOma|lRC<5(if%NkdBnK5ESc+Vp#(C6YxFb42;}3Hc$ZXg+(a|1dB^rx{ zc*HW~b5(d6aHZ4C66lrK*E7f%|2cCxyiiVV(dFb1$YN4qWk}WGZmb~w6rB9UALlrV z$JY}2q!ZmFVrVI<&0>f|jdZ37%5!)(LTsdFvhG2C(AeMy&-W@l#e8=$iM zH^i%kSa;$aPTWt5wz4GKDi@f5JS?yjv>c8DG?uR>>Pc_7G5; zS%HI zFz#A++`WwRy5lINWt=nW!#7ExN(eI z?2fyQaWA^##xst_u~x^Q8CT+t`zz!A?2hAeHD-}Jj#r<|q3*al8F!{Tj=j=6)*W{@ z<7ky@xcrTAyP)K>INlsGx4PqagTY+mj=PL;Z@J@+X53tN9M{#%s5_4L9?bjQaX3kx zX5Q+K`z_t~T-Y6V3gi6lI6B}IKm1x96Bt+M&d0?)Gv6IIk#VQG<4$AT zQSP|Y8TShY3ayTwj5FPFy%_hYJFYk5mb>FRG49{)IPT<|PrKu|2XBVlaoj^U|K^T6 zn{mah#Eo>v9m#y>x#JARo#c+=Zo1hCaRK$zr}-zCCH8`w`x)9XP|wcd#KfT>5p1?k zPLKzTvt#NvbGn}CYG$&IG~PBGaAkDBE#B5EsMgE=Rx8^ZWdWxnaE*<82xcG^XeB?* zt6-iZ=?EsB9BlY$j#WwA ze~$HclK5#3R!O|s6g$>Q;-`7CN*cr@dgf51mOPCY|Oa@zXq8CDD|K9pNPL)67#z9hlS@w2|khxesP=g4vZF~4 zhnGwKL@r~|m7Hq^*Za4dFR_5NrY_5g-Mec&wq~&6A#9x3rXjAz`G`!m8^IBb`w3=} zMuhH+YjDSnWZYVJ+@*}GbjOK8dchqh3W zQqTu8i(_!>SSF!r-jR-lRsqg=HD)&hv&5_q%)=xsb|cQ#iQ}1T@P%0ot{o!~s-9_t zeu3SoPeNjyxgNh<%?gw-;D)*RBeEq(6H7x^t}J5J)f;6M5;e`2EoRyRu^UKwDU%E1Y%SVyN1YyfIj zWCtg5St7EN6Zx-1Bv*P=7ph1^V)kuCmNHUCA_&1ZWp=L@(a8W38qP8WksY1O^g@m9 zLLUj6I9uVB2D3Y|Vuz~|u}(FU+$Els63#T>a%sOi?n=h_+;ROFccVM*9L9}u$6+B5 zm!I5m{TbK89d{n%a@}#~GwvXokPy7e`xzH=#|>Z{*`wvlXI!;A?gGZW>W&-CxToB4 z7cwsBj=P9)ce~?;GVXeJTmj=oxZ@sRTz_}mFvgKR8qUKRm*bA}GOl@-E8oS8+vbkD zgmHE5xDy%ojyrA?#;TwddfZsH5$85jsn0<;R>bJOKR_@&sU+t{Q9QqMm z!(Q861=VNnKnyM%ci_$$E`g65?9K4wD74*XuB8O1PHR1C9U;8VT!$i9t|>MPFGwsy z6=wtEOYH?1Uyi78Jj*_?i?5GbA?t)!DP`%%T!vY&wHCJ!K_~tt&W=gawD?-J$b@PF zJ1|Jg{>OUX$i$M4Z!pK7$ta=XBuj1PRF=kYaT1nbkJoY=u|}LSNbG*#J(ZYe9v6t@ zk!Zb=^R!~USjn+OH?dS%n>mw}#BP*mg_-{YF3Eox?v^3y&ybyEikd z8q2f*I`_tk_Sr3?bF9Zg+e*hK&P2QbzhQ5SAGo(lOlW5U_ z#SnG);{u|kB?mhB+XYt9dkw}6x09u@I=n6#ygm-ROt_;IXjMO*jM2P4b}E2YeTtW< z5Dt?PcocZZc#`Y%{?x(_|0%`IG?X}+RBwDQ(&Kcmv zfchLSr#Hs9Af+mPiWN7;cx60V)VP=n;P_nXq(7t5r%U>ecKU25{bKmrMS%J7Zlf@kyav36!38=B~`49(h z9b#~sDO$2ywR1m!KaS$T&HlTLMa8?r_1K-iLwRq^oNHfHKF1H9RssqZfz$C`44hY@ zF?_sHTD(GU%&v2!#k=7~D!g5Tgr>KMvJ!C62a^2RWXzYO+H3g(YWg%iMX7JO)BvXgek~_#EXJd5Pz}iXPn|O z$3v#=28p5D3$<#jsE2UG4xuNR7eK!3?zt5$rsCv|U7|;H#a1s~M6dDgJPWRR%Ds*B zK#KQP@x%95@q@@cQUA`xbm9aLNX@bNST<12;a3&D;L#CAAIif;b9sR{F)c5^8*tv8 zb-rmgSyXMn)M;DapSSz8l%TNI}F{2mz*pvT_21K6|jYXFzk_FtLOBAKQ z_>LqjYZU5gYqUI8=A5vQ9SU1>v^xbPu8+kr&#%f>;VXc8G~m{WcyO8#R2u==X|SmU zV0qz{HQs$gIizA_+h1OEAX>C|{J~L=8C49R&S=9(vT={s_Rh&iCdS!Ocj4+wgVYgAjDDp`<$hJyFmTvA|%XPkoKg zCU2g{-vdbP!8@#cEzrCB`2m0jpNjJcH_;#_NuEShavL$k<1tzEQ((ZZ>TVzR+6%^z zABsZER3Gc@Ai4Y+k&92TBp_JxVc7cEHhd;(#GF*HBd*vN0)l9-c$ZW#9kQj;>^bNq zu;6AGP{XiO42CU$YQpv`Uv~C)7h8^9XM9?vBUrqK6t^xbaf25dD59MY<92vo&|@XApEuC@g(aN=I|bL9JRA0bdy)3 zAN+kw%Rqk@BM?HZ;YJ7~-gC&>4TT_3eX!{ILM@04VgMxIIaoPx#4rQ(sa2O2i0mYZSw}u+pcR!F|pj9NAiWCx4A4EyGtK#0-CU7c2g?k;9X;a}iVsXAj zg%W%usBnBAP{ARvO^?0+VIg7e0`O#UGM-#vJXXB~DJ^tv{s#mU_juxu zgd2_5YE7E8tuurhOTv(g@QXIyvW#LR9;rbPYUfCCZs5V;=~H^S#_GHEv3l_tSV}>8 zOFOIn6vc~nM6vECpm?=U6gs~f_&p4gKLQFWw|sOuKFYJjTZE(BkuN%WOzn^{H{Ewn z{Zq3wTSr~#qMn;6=L(3=u!L};$$<1?7INT?a1 zrILCOp?*>}4L7czlTa=~J#Hoxy5avsLYWA4yp?g;2u-|=p)Lr8$7kWl-2XrGfD!0( zj&*rsuY{rDL$?DW|9`u;vdx zK$z_L19w@e>UpdHXp49xjHHC6G>DIy>IU#}kU!1pItyw!Rt|h%_eTBS*;#3Eo`syT zDEhn-xRJ<-F=jl~$9e=lMnI*=tfW51CzK^3ydTLo;;Xb|_eH)}b(rGvA|EiNKY6!{ zMFIP)KGqlcYrH?Cv5XP)p?M0pOkwI{+}U)dF!ix?yRIsk!qmssN&1hf{`%P0_$)2? z@gkoQE!t^Eeuzi~ROU7Hv1g@_HLt0U(WftrcX^{Y>fKIrgOqYjZO%Mh^8EVLCYzZS z75!McA5EE$9uKJ2Jclo32vpZJ=kwES%w(E__Bp7I!MF|b9EFI|!Ti89hubx(AupY( z>;QX!5z>E)FOczCBgE|+)q1kzL&THr%Nw%i4F{w|%9~b7Mi%2G(Z(~TcbKysJY{}W zANvCe+WZxxzP0&PFX%6*Y`jqK$5Tp}MGa7ohX@^RgIFmDumC>B6gh!aHvpIXHN+Yd zqSba)&V*>&5Jpq0%mk;RW(y#HcAH|6S%GzYBl2{{KcMs8lhKEGV(YtL(Uxy(T8<=7uWB& zktkCG4yg}N8L^70`GC!sn`Ls4uoJlR$=QJs;_IrERR%TF~W@dshEOD<)zvos289^o}BgZTSySyt)H%T$Bd6c`#8!>$pbC%Ow^=Bke( z9Bt2zt-?26LoMo3dcj3T=w38W#t-w)2oY0f>Y^|NAy|sjaz%!JTV%LC3GVFz*KU|Y zKMx9;G)q6Gw0f=5MhuoH}N=>=a8~}Wl%|m4}jX$UwcqJlH?jCvk9U^M+7Utkn zY`f0k8glqwh>Q%TUV|BYTkPN|=o*E&#=A#Fa9VXHrmI+lEm79yqfoLmjk{na^hPn5 zd)0>zKxXXm+Fdbm9AB4uL_&24Js}~!%P?C)v@pMu5G~Am?_vpBn6-B@ zL<{rp5{eik4Pv^rmq5N*x1Qi8T-Z-GY(bGL+Ob$0duB(@jNwG27I1ot}(+L!Q;U(-Xb{; z(8Kju&BDWHxWG}^Sbl8uGQ2w?3l3|rL0I*yrJqJ%F68+#d?>ja;)cS|i_r~Bx=fgf za@>b_i$KvMlNY2?d!Zi(u5I#!c))N875uwgXjFs>eU3;)D4tR=*W*)M>D&JFaYdoB z9w_1%zwh{aj<5V)!s$Mv@(l@P__8XOB2>Jxa;^M8Jn8=)Z`|Vvt#qtooyEh)BS91Q z3a)4CnP6^Tt>}4UnMWwd`Al%#P zfFGu-?oqbmAXW`Ah(`Q*V5QvLfDq(d3(AECKzmV0=3^HkipTB9F11E?5rm^Q)D!9a zhdkx0C{qM0Z1tAx5{o~li_$8`6xAGPQ-5wEBR|DbZk6$N!vaRC8O%mP6$CmSJjC2 z@K;vjU7|g^#dka9{ikbNqC*drzLUiW9LX;L!oc8>xqxFV5He$zPX-Qj znEY7m8dQ#CZoJq}X2PBF1kfXJz%#jX)2dt}$icS~VECka{>mx)M6Ara*fd3)I{=eM zG(tyX88#A?$2IWeV#M3kw$)i0PP5r!wC0Ty$QTknB7aHxi=pxYC3WzNd?rG6{N(fG z>XiR1l7tJriaP{S*o`Cs!=vOE3E6w78?5az8GN%IXfZ;UvY`s=vw@Txf`+RsK&TZq z5mC4k$2VVc0Re-9Q6g|4(|4r9$KYe=Qh@{6eC%O%-`+4i<;gj|=INID4QFUlA3au= zHr2ochQgrW`iZ}VMkSSvASbOBJJaruFX6Jd^J2~I;0PCDN3!L^@s5uYCcF-ccLP(< z2%e^)(GF;sm{sGZx5)X(;o-ur+|VS2DYv9;SyyE01e*iz$x@#9{LE|kjC5N2ESf7E zPNo+hLNT+MNyr;|7(}WKjR%GRiqI@4bh{ObcPd@_4hmcipWCn|;hGEyF#QwqA7wIG z+W@TDL%zuY6$Gef3EBW1fJBPA=2sA!5xs(+#SO8`ev4mm zI(rgBB33=%&I!rjYIpP!>Vqzhu)=oVf{0dS_C~$yTy>1zb<7h0FmRx~5xfbV0{zek zR#7YtWEsJg1}QWN8IE}w!$v5G5Lb42|a@bQ$2r=A*n4(a8t_uRJSz8)7(LSDham z?P}SR`#`J%9gP_yF}dVud1|Z!=;w^4O~XffFnabzYGU;C?~}`ZW7<+d>L^45k4ny^nq7~)A^D2>@ zL@L|{j}AS7u>X{_3SyN17E!@du3&heHl2HxE7S7@qmqEuxhgn7g2`^pv0Cq6f6a9X z-}z0g%h*H!g0LAg4xoMPZRAMBV~8!?e~uBn0yb#r{sBhtVg{Bn{#*~n` zuR=^gy}p+5l97RZnf`{u(`^p=Y`C^(eIHMG z=p_`hNe8S@lkl6TH>1Nc^Fu_zn9f@&(VXM^tp`^hsetKGGraS*RQYvvl>H!BI5gdY zuR6+h;4kpEA`sd%04B80%S z*T(HJfC?{<&L%Z7W|Isq(K^~KT0*?Tlc)r$CRMb~plF@y`8@wEVq^r5CO!f&u-q1| zC@EG_rVH12#H0w=J=pyNF>x|$+ zK#{lHUqPU0w$cRFPh^S>}c_h1x+ z>Y;Lp7QnRZpdS7sx$UVKEQ|3-rc|X!=6HR~^&R0N)DRwKZr#pWpcfmAVv&kjtU^&< zW>~Ov!pm?b*(`$v7l~3gvsw+70$lZart z>NW#KL-}L*v)ST%+C}6yASijl@?kE~Dc4p57w8S#*TIGEdpH|iHRg0&z8Hn>UFAbo zvj8EPe48J`@anfd8e+%x)Zq$!re)UTuk)Kx_ze=ZX%2^F=h$b?cHoyVxD!)1&K5$~ zpf>YK2(z-V{s|qUEG!N|=A}?jfkW+$8FZ6nhVUm*-1LK$N(J%73(U0gWin?(SK%}_ zr()G;n9^)dFjPeER6Qb+39L*^#cVmtlluYI^b;@nMWQlXgX<~$o(cLmOL>*qHeR9- zgQz}%CaUF*)i{i7@C;JY^+aO^N4eV29I&uE8d=FdHKE7(8O_WHhn_-cFz05*L)(y4 zH32k{vp4UOCYV6xM4~Lr=hdqDW{&I>TJsnfbiIHMM2!GFjnTV3p_a+VMst2q<6pQQ zV_%Im_I>Ve41XD}A2^#;-ZOOXXY>N#Y=K{mdMzGee)maxkWi5Jh(X=U+tmop1VRxh zZDMsD4hj3S(v_U40WD6e#}SBH(|{#413-*LM|eKs;rr(NrL1?tn)tLb2?0Xdgg#YM z*lxc)F z;WW=uvNt06dKH2F^#4SWo`R*Nu3;$`|9e1i!dA*##4S?6zs903WHxJE?Yh=QocpsN zGkZ!`wrkpKEZWT7gj5n0Ay2K-MDrfXM3k7JBj+fLZHc?Y#p|vp7?FZ9p zVYO$2LZg^ZO^z?ys}tpe}0nrE5-pw{iiV34#GryGYxy`cdBK;v>L z7cNYD=$IC}tLepRY;~;-Zdlv2n+@zmYt!P;v8PONRC8L8?gsr)Otyirmx4oi%fH9l z1|I&+)pj4T0fw8D;g14?S3loAJAKL@Eq7W^u_=6X&4xo$j={ zV?%~(0&(Xw<$7F(A31GFpW z0OX8saCTP1pNBs}g{_^g!;KvybR)(dyWP0+WSxz$&f_=({2>UI%9SzYK4Z~uF`5Ko zLj%p(6V8SqzS_YbD<4#cSdtZWi1CoOJ?_cJ5uU#TQB~6Jj3Goj%^u}R9PV+deTda2 z;UpDcsaUTdhZS6a3h0Qc7_{rRxnFhsWVQbR`2Y^xQ6hFe;n95;W)(qR^us~r_BJ}l z>F6v?1MBOp7jO-E2)Ehr|3{&#Aadn3_ANvt?i{rf+>UYMb{%nh4i$?>6&rx$Bm|1D zMWzdw)5n~9Fx1EFD%=wSxoLEfOVV=R9O=ynkPtIwN zwicdWV&sagWlp|rS^ABECq`j4+6X(`TSH0ym?`>EET1mPzi&C@Nb+BhU3OeslT~#R zRL-3Afiud_@p|^$Qrt_!Hh66o1FO**OOB04ZUUxquIg3D0`|UV&>2F%rm~g1t%36Z z-BnJ{~B&qi=iHDEO2jnBJgM%cv>>b<_P46Z1E&94(8T)54>ns?UsO9ddn9d zJk(;}Oyn_6m~OnnBgT4t>={^$IPbA<&`|#f1uWf%vjRDm7_>ajBR5p~yvOKjUu)f| z1o|ev+(znHJHOMHO3+bQn>xYi9$44vNM{0RVN*PW23u#G;2+@SEB!*1=x$UpKxKjf>aX=%hBj6yo1j z<8Rc_Z4Y!4jdxnzNm{+ecUNEu6##sdqWLe_^|_m0sl$7FzpMElz?#yU{}iavM)T47 z|GxQUXx^_izYOBsR`Z*6bhCkOqWR$!{i+9co`bR3ceL!gW9`g$bbj7@jPmcq(D&X8 z2ol&dh)e*jKh=5R?;dwg|C2lY8OVEA&yS!5q`uwQespe0J=U z16UTKhR5Jm{yFNvk+!R}lWOW5Xa>4A*u5a~;E3>ny_-)d&Vw%!^!RW^J%;+)&=&l? z9pADm$eU@LZI+hoe8ULxXbna`3{W`gniu;sR)_kv$OOMO)PQ2-BF^H;9Qk*m5jSMJHrLufWsjtlS(68YPY+%K2FT zV9?}sjV7ILAx)wQn%szQj9lM?ThU8e03M*jn_{;BczkZkabo&L0>n3JLxcdjV3A4D zmf>2jxDOUzc@d#_xJy);D3cKwA`#&RH@R+x)S|+Zym^trJQa9kbircpjp(`Puv<$P zVtC#7qoenXhci$Fu8d%~Zmmx~9zO|7UeTiOu4;R$_3nVZv#Sf zwO8)_3L*M#D))XFnR*KX+*iL<4&qfqJYnMZiI+r%jF>kbox9xo^*Fif{Y|8TsjT4& zEiYW6ha?^_Mj>9^>JHb4hQ$fzw{?|*BlP}CLcU%gZ%cqYI0T>t5UT&TO(VAvGhe5{ zZrod4OaiEelhWx}SC1B}bIR)0_*%q(_B%=YGaZHMnVd@dJ?IO}*;=RBq1XEW>NOU1 zB5A71Q9UcBGc&~fnQ>t&u1v+Tp;eroFy9@Bn=7LVi1jFHoGa=SA3=T)CRwo;Rm@{H zj99@7Au@9bg(+K^!ZJ)5g_PPXDqxOowOJgV7{V-UV(SFF{Txk*UWdINb9 z8XOI+LY=kpcliA$z}S z+}let!cpR{&sk$SS6=>+2BpcHm=0-D$U8?T?*x=EzrjS&mWke5lb0@Y^e%G=@&okN zsnny$YM)usWAh>ywLyu&iY10`v`ncVatdei@dBXbJkUkFhr zkt^Rcj47#CKIuVsXzow|n3T;rmBAGvPhLl3$(dTM9 zVK$)B*0M8W)nVY$cAPT9xVs}p$!fT^rpq@lXN%=&38;Tmei2-;#pJ6$rRFm_0Pjj^j>pu@Ys zqSc}jL4~gY3M1|D5rIuECttkGw3ii*N=|Y4hmpITtz(d@V^9DL;?AQLqu>k1TIt+k zx1%qtYAClnZnNN4QA&e+I=DS}B!xrj&mwq6d2cA!(B_%A)P?|#>q_k;<%b1{!8Fp1qjIgcp3)vET3wSqUaic=kQKL! z0B=SFX}m&oqNjdcGv`cBk#qEEMi7;ir=_x>0uS{3!~j5U4R zR^RZQrwPHb7)&P4Zg6yyv|Ep2(u*;w@cX&#GUQ`v!U!IVN^HiY4*BmvN`XSFiwg_% zDo3Hp=p~*&3!1=t=HXhlpc@s`nyNai|FhnG=%9!WV_(^=AkuVD9)PO#c0rz&_}MmH zf=>ger+5PhnD6akJTg;8vDGASKXzX47Fpp1p#!T%iNO&a2Fn73+d#tcsYY`J%3vo9 zu5&g({M=^6ARU7#a4%<0KyIh@sURlARWt{uvpBL&S!;r<0VCY($|#UcHuf(o?Ozbw z=w&$My_!wG0tkrFWwGFRump}nz<}e>PMZOp?ZM8O?F~l_WPtdKw^Q4+O^A;<1^^>P zTf&{W1PWg30LG$Io`EWDnuKqK8~rdnCdI;0;K zv0@@NnDkFJAm-?uz1?jVLw{Nm$rq3VefBPF?!DYu80u7(M~^`uIdL#uEt<~e`Hw01#d8tShN zZ9q0qHf>;t1&rxC7%Lk*jvATrC$;2Z%PVYTWKuS=pe_jszG>-|E{9iAc_u1KS%)lDc^pkpznFgib9jy_Ygucqx}aR0@@oWPyGP(f>|jjvblJZ27a< zuqim=#b3LB$c|M+TF-7T$7Qcp?l`0Ua|7ECg&UQ^Y>ZS8Dwn0s_{uJyypL6`GWk&LcWmu)vzM_#4S9p>`@X za$0;=@`5KEPsHCe9{}N#mo}O5mU8IjUc|)JCS*tILOHDJh&(()d=f5fXmg(`58Ew@ zV9?b&zrb996B)4hZ{@{%er1bli_U_#QO@3yf(ye#~7AvT?j^O2}C~loUYHiVNGCC>>x4P)oV}RDuEC3RY z#v`@}rrJb(0j>27I-d?}@m71%KL{+aW@khA6lkAg!VX<*Y-8=fr%pQSNnf0A!BCh_tS@_E(5}vo> zdhHDwk+v4s$4&!ETfOzjB70hPw)r~R>BtjUHH1$$4m#vJGt=Xrv1Fvw7@xl68V0ha z7#Gf1;FaYK9 z+{E!a>nL7^G_E{%oJx@|xLoMBeqh#+$7SPsJ&!c+KhpP`{LD`o<=+{}{B$@1YgXDl zDeoc})0sU=VN=IW{Sq?EknKHzkJr}1Qkwnn9C(;W!M zjzRa~i++22h45&3q8!4e9JdeYAFX z?iXanBd(9g!8xoN@gh9hZPVotq*5K6JK(zn`+Dtc+RqrQDWCFMl#Cybnd7LW1JU05NpQE{y7$mUjf zdLJTNJrLR20l{9xxpm=(Z+Fs3fV-$Ci>y^#k%r9pUTkbYfU zwR2@v!}A2zekN<5pPVy^#pf|+hF$vuTJ1BX_8h4l+ndR7l6uf}gtG*FP&TbyxFzwu zhV6ZnIr$u!D<>7E)`}j4c^h+{a+sW(2y-xV?!y6&UxWDpVIIw#A1CKb;`K|+X`(xu zblT^F$s4#Do;@ka%aAkBl4VT$9r%r#{J11=aXQ=`dR8EivAiKy0-4Jjx_IcSU557l zy5_k2Qx1z4XBms?$oyL&a>i7?JTeHrXkOuOh7tS_zjo=6UOE@0%_YfXf?Ek4(RbLS zW7wqi*hLX9FLFdh*Jds?U$p^^lEcCW{F}_{lfiS5T%*G!1pjtDz@L+xGl^mz=8Q6D zc5+TRP?6|yotX1x=KLa=3rV$K$(;GjxiC3rQtg+LUj}nNoSZXBs(;U%-@>Vqd1G?U zq}uaX`x55-U2@K(L&mod=A+D+lbkb2{(a1O8*_dQb~&RXme?!<+jxXa`_aiA>3HJD zPoSr9Qr`&HBC;m!c;oHPTVMr5hj?Ot$6j)DSO%Vzo`_J*u=YnrucpTedq0pf_zNLH z(TmlD9_RJbHbK&yWBu7lo1Qt?4#?-R{$`Y-aj(W$fOId34uM^wx(=oww?l22mvgAo zH_vA3Sw00=PFo^H@01Vk{GF`wzXPul;#+9cH6V{pjHiZZ^cu7dYbr&nh}NS(YYD^! zMpt0f^=fT;LiQ3{2#Hh_zE(iwT8P0`@9Ir=Y}uGK2jDlZk@I%OL+4`b2pl*9UbxX} zI#me`1iXm1nj*u7!1j4X8jaZ*J^`?mcHw><%sFTSUNg8K0PHE2dz)>o&MIG>(~dR3 zZV5`YnCIe;tLYVxc1Ki(qKJ8uj7B8gs`AWZxHAZ69Ko?fAFnFoKtEQ$cOHoc-w=bN zVTxBKwgy|qEx)5Go0F@Z(7@w5c&k_dRV$r`Qpfv0GFRez`A*ziWv(tAYMJLX#L1qf zcU7QT`a;CJ5M;I48m=*M=-*xxn=&QhT_uju!UuT7{sxq`glo!VQiWT(e6Y$U+L^}N)sUTB<85yhe%*a%%utOWec|&MHAT|N;{ZDn#~FK6axA8O zq}y%4(5k&n;0?NFQz;Ys-x@JcUoaMut&^)s{3YN9ZnT8vDH=tYQG6ZJ0 zsd7-4tm9apCqZ>%2DG{xzaBajzsHKI`4+OUp&(=x%3}`=M;?bnyx*~}rAM&6TadYg zn{eh_4o-Uad`G9+YyeZTd|Pt9QF=Z<@&&xr9tBg39v$Z0dft)93z;Hr&w-U3(A5}e zYyUxT23lB;d7O2;)!prCb!Pm6WiW2z*258;Xq$;p2Pu0eGj;mBk0RD$*dS3d@cU2g zh-Vf!E@PcEo#&h5Q9srYcW{FUmQ19m9zXI{`+m^V#th4^;`}M4##MTaV^JghAOa2s z5AmRMG%p!gw@omvU4XGq#=2PznV{`Cqg$ z_9l10lY76$8%=VKE<^f7q^2M-k(P%tAAKr+^ zUGi~$Px>G073AdD4F{Pob>7^BicR8aQ!90aY6fL9d8ez3j(t}L`$FIa3E|O!?pzf# z7L|@TDJ^Y2&L#c_cvsN?DlhsOk5u@w#Oy0CZnA6cUDX84&;?4s1b1Ispx5@F_c1hM zXdpeJ-k(KJ^v6*^nidwf@HxHN=2ipp+1wiIyFbCH_aNhnQ=xL^Q42g(??F0Ejwm(W zy;w-wTgAZ*(@y#$Hx^A}d#bpmz((~&HuE(6NnyrVb|7H}-k!pNzW76j;l$^-K4#tk zP?VT5oMTIom_EZaiJ6b=(nHMQC}Yzwm3wvUe97F~qSNz_4y65xd+PE59^7i<+Q9)` zfV!i!{^Jz-pwBQlSS?KJMH2p+f3^|44yqX7jYSuPn+HuZf^UK0v{>#y zwQ_vyBqP`a4Q@%-E>Eg-P1l+H>3e!_;PVQw<>h>280YOU&S^3K##IF+X5(m1s|z{C z3|d?%WcUzLD`enyQ};NeAc3^(=opLc$zHx4253S14tQtafew*^j`J$fn~k>%jvP1d z9fTsCNM`zNV$TX3ILer@6#=_0SZilyO8+~X1{{d!A^G}Q;T%?lU)kpBOR_evd9Mn zyCAqk1#v|rt4am2>72D#1wnu;a?b`^skiZNRc+1iE-h3?cr(q;)~sh6iEOo5)aYk` zotRV1S{a0w;hAK)7)(?0Vee$Dk1!;CTQ{Q-Bi3D?EuFLzg%19`hiLFfL2X zSm!hXefnl16QnUA%n%dqRdhtlF!(JJ?3Xc88dHzi;L^)*u z>#jq*9uSo+brhO(7`mU0%q9=DaOB>I12QRWy;~R2Gza!u#1dTw4`d`0ZkA5qkCyQu z$S#GpImHR8GAP*$2o_%;b5j9O7zQ z>M-?S>mF^B(B0t{>a9745S$LjtyQtmObjZT?VU@bOS&5EKm|5^%2~cE61Mk9R1fpR zf0K0_9Io_Rp0ICe%SLO8#I!>Z+oJvh=pKJvaS9W!)_X|kU2JVlC!5Z}8H*G)4H>CP z>$S)$A`maN$&e~ZL5OsUB<;}Y^BWtC`8$lnpf5I1ZN3OUf_kOfAPAOkdFyhxHF3i2 z@NU&mtI#2#5J(8_^p-!yDJXTj$aH zkV~6KCxGbjR}RCYkw7^kUK_Jsbw%`*k}Z14K2lQRJ$ihe z1#tlYe6ed|$&Xk`{BdE zH?#OfuFYL*MGuu|elfZ!z|{qh4=-->Q9Jv(&4U;@pi2_hZF2DNZ|ib5=0c4z!Ns^9 z`)9<+xjDIqYCWdIN3aI0@E?iegE&`x)^?zVFSS_#oiEE` zw%3(hb@#O6cd#oglOK86&{Y_!D2VQ(6m4UChmP_3%Kr<-{r?M$$HLSg#w53e@o)Zz z7>9I>yZ?_cZl6TssZcbBqwzm+x;}}DPZ*t( zX#5{bJ-MY~ly#e?&KBl#t=lyA3HPE@kESo^ZFzo?VACY4jM%I`2^KFd>2Tcq0HYR< zS>mUXXICkkSEK2oVuQa!#+tOu)XOv%aP~38gUd7MndBc1CI3K!+6v+uW1a0WSA$iwQ%0LO}+^T8lU~8qDd_l}{lV)wW z1}`yB$zqdh6df=v$>4;9Z;owrUlO4@(}5N3Ov5E2o1MRpn5JLnPiNRldV8 zw!sVUAS`EGiupj1g&$?% zqPn*3qiE-}t;<=p(A|(H+&Ecn$yM(V1Cd$b#e4v1OkN_U=`Io`ssUZGVFtYGh{^2t3*RbEP{g*4SjY766^K zxJYrAlnZzmwSMhJ%-wpO_eh=Dj=q>6^L{*geLMOqd}U`PD+GU!8t->d1{6y6{Ltnp z1MT}+9G=zgR5IKBAEDIi=q*-7zISDRF8-l)&&XB@YQU{02^QigQ22}9{`!1>gE4~# z4;(Q+og%$E>W#&QeMVJKkA;oc00i6W)Eo3BPkCJ#pWL!gFS^>@_}niX`_t;!*U;AE z15uBBh1|c=xgS7xXuEUgUaqmZWr5SVyCik)OW3(Z%#)96+@eER3J5mMg^mfrtTE1*?qFzUWX7z;cO8 z_M`ui5ZfRG9(Qa#-ui*X^~NKo0i^jQd$O$Dv^g*R0h{~r$6}piPA}^-;fW|EO*3CY zC`FeZ!HVpD{KrpG&1jI0-E8cJR=QcWPQ59p&FW?w1a)<}P}P=?+U(BN2g?AkE5lzp ze0O`BntP$f)n0%q`EZIqVPj@)?hV5OJDAePpXRg?b+%S=PTXO*#qmiZ50S z0;tv(4n_gV_$-ol-}+X_J4bI&cjUz?9lNj}^{DwLFO4hV!pmkU_zXtAl&R1t9q0%D zwjrGkNH&9T{W!N4E}QVr2Hxh?qHQ|3NdTvhHpMi4ykG0ePTeZv{#uRqn+1{UMudy& zltZabGJ`2x4QqxLQKX~}tHHr*kQ4SseitLS8$mpCf>ALxZynhfgDa({5o$|44H>No zL<9D4s1B8S`kDe^t!d4|coi*dgu_%@VO7HXQR-U6|3)%MtIOJ}RL$3(sU8&SX5 z9+^g{5X4S31N7YIAU6&imE+VEnlED)`)n~}oorZP3)hYHbv zTJLJ|k|&0gq3EsSH)ttOsl(ie+D-L}H-v_eDjo>+I_+GtDBh zj|PZ22ujKlBJ%>Y7x_;<93pE!b`V*Ppm_>l@SUrehyJCCR^!-auJU1pPB=Nk+}Tpr)ThRY^Cfj1V6j0p4#U2)8%1%Ldps3F$t+w= zee*1&K((Kqyl^MF5F0U>9mT&ES{Z*lTo*eV3jwsT_=teQioVTKbU{DqnwEtYByn-r zFy)@t4boc2oG?P_NC-Wtwk1U-mz$NIYjYsFsH&KqS zqbm)J$3H2?UTl=kWH)YFff*vmjfxQ78zp^wD4IK+I5xW~;snp0&&``rr|R@(>=z zz_GW-`r;!RD!@nV)U}`k&g>OTS{};`uK-Hr73U*iU91OQ4Wbr63nFE=pag5oZNm5z z%0>MU;2^C}EYfFv41$G2If#u9)U^=3V;xEFSe(|BhYUiCvM_tf=AU&?TS)T?Nn>wh z+R7x)TmBaaiWBb0)x)EqV~Bp?2W*hc!lR*1j2VNN$gtu4*6%%!S&g^rq9LB+j16Rh z%?&?djJM0ETgQb%XYp%M*+=*)mvcLjGS#HxkPxY0!yTc8{wxrMBxu*iGCqwO*C5_?#H^o`c0Mjn$Mva03eU zt1er`o+SfErGW$NiiJ2C{2DqRlo)J!It_9U7W1#x==$^vR;SlMp`J)Ny>wx%Cg=mC zvVC0lG=k$1hCkL$)x6fG-a&=?blh_R5(9JIW3)dGjsD&$gz!2#eb;=9G@HLCrzoH~ z`oPr*xI_#0h?gO@dkv;)B#<&1Z0%uweE=iF;tMe*Qvy>)hTpzqS*--|mo?Ctc@R+> zg;cBZJMbdKs=Qriw|^egJ>}db5qUZpuR<0_B3=YUHFNh=YOw~e{Dq{RJ5>lnaUs1r^_(u}YSocezB z5FO=zJTHU#VkmDl+_h=e`;pW9Sa@%q2IwhVyj|zwCCIHcs{j53@l!pAZP811Vo6)$ zi{~)avR+s$ryS%0lz9d4ZJQ78&$UV42l?y?faY7^znup(=%n%iYK`|>ebrOB80d{b zUDxS&)ZGYiIKq+$TnyMNSCqt^+oTcYe@7~un=4E8mG`K$;^HxM&;vkA4U)B~~IUKfNZz%l8w$e5*aFI5s1f9ak0e{l2>yjRlgy?dSwYskgN;4NSC|%^3pGUxtSV0f%%lZVIlWAS z{K!>keX@={sJH&Sf1v;T0^pDecqVYx#}jsGa1*3es*VSc=}lneEVcas)K%K#R)kV` z)>Ar-We7hAT+D094%i7NAO&{VELE}QI>7v+Dmg0g1+} zp6M+o6E?L8Nw-{soQ$X@0Hwnj*X^GJwJH>pg?b%VI#8}h2z{HI{^}~f_a|^b%u}9n z=ZfeJI0%d}(~CPKML+Q($o*_w-|Bvk$e{yNd0toA_$a zS*qdwDXVG5ZYQew0u?j{t9`%Y#lL?cZBW*P3s7sd@hZu#9={k!9kdf|u%(&96mZ(-es(5cw6MyryIS=OGbIP(5t z7mBp)U|{aEpmGmz9d(~`)&RS1^C(2wq*d!$uPm%oj?9RBb`ELI>pfb zS&Ac#_X;tgnp<;4P;>9cU*4!Ll%jLI*bjXQ>c1HbY03^#{2 ze$o)C@xdP%m*3UvSy*+r##Ub;p;KX$s*#af3nn2^tv9d9Zp(fw!xK6@wodecxS5Blvt_`m! z`#OQfVw*Q5s`fVpWRnr%+Cyl)@9yZ^yhYI(R#f@>u~Q#;h`b?Z@o zizi1Td%rc|)dA}O%&*rs$OS;^TVK(3eb?#Pr_9vrvB`usPB(uGD%fP|8m_6yYIqI@ zjD-IV>qp3qdyD&Vdt9r=D9z;uv}D}VSWFl!CH+04>UnXT9%V%AFEE8H(WtCsj4dC_ z_;FCU(64&;fA+2$S6lWaqWVB!ff24pgZYt}6G36|6&CwF29sKEHm_K&F;9V!hg?pU zert+tf5j3v$u3rGo}dOHm`-_c2xM=lMgpbC0y*aEPcgovXkX%9{Wwhb#|Z#bgE;5G zjqxU=J7i*KFUg@0Jn#(6f#>A14dx!a(wEm5_kbI z=rz7`0?lv~F&F(U5}0Gf4MUvo&m`{0AWOnyvZd|_7Wq*?XP;ldS|I#OBab^$1E)_X zV3C3FrnCl6WhJ9yO#s4t*R+-|(Hy5;s=%(*H($8K2%6q`-c>IR*`oM=A)w{fv42h zD142G1ZJtP;rJR73CvVqgYY#V5}2;O`s1r_Bv7ut`rxZ)BoI_zJ@D1tp>$V#b%D6$ z;Ww9f)8fQ-H=8m>7p3zbJ=9XRilmTrx?1nT?mh3ktJYiT>+Zi7ciwNkr5E@|u|Q)( zN24`(<{p0~SBOKBWDHG0h{qRqdd@24e?M_VZrMtfr;MrBIF544)7Z!Rgzv&C{t$UC$neu_{)oY0 z9)AwPA4@phcj~ea2n4;r0@7cAP(Bq9^FA~U1?r?ghW}$HT_I`y9_iA-?ZH9mI+)O- zN7HE-LGhnznF_5&+;fjZv`W_^^nrxx5Nejt282$X#ngI)PJEJ~EeQ4WFw}t1>O(Z~ zwjuPogklIykkAfB-48IXKSBc! zGBg08cO^6kp_%D~Ld(6eG7}+M?)NRr#1mKIf6TH>YQrDk$%Ab81T%uO(BQy+sE%3$ z`ZeKYwBMKRL;S<|y!ZUd(A}QW(E6EjUP3MtbI76winQlwmHil}RvG2fy8lBQo?F@$ z*Le1&`}^bGF5}BH!0OXePE(~_tEtQ@W;hEwN@2fC?h%ua;;&Ul<+Qs!LxAKpZahlS zO%lppUXwP@1K$ycM$W2^2Gh#W5_h4?P)I}GywF`>Dr#~UI8JJbKb16kVNjqbV)0oV zWuYUW|KONJws(&;Uf4w#iiy8})pj~W3YpUy>0)LL^| zt9~-_iV2U3GqJ4F60Vb)oxG94-10)K;5%b1ea2#BBdHQNVlkEm12qq6PGjIFhz{2$ zC*H)^$lZvAWZwA*&I~{$PA6xI$p}&*ybDV_6P}%}8t&#Bd$NdCY~Ov=c#DzHx@4 z$q>SX8J0O6&5mTS;eMon#sn^ zv$TZNV+K&1gOirQ&G#Q+PZ|Q>Oo6*}Z0OwA$x^mTx&sF?eS_cz$9I0r@QD9gs1J-QpMfOkjkmQWqRuFk$T(dxPP`h^m7$T$+1+tgumj%| zbNq{Tab+)-?DxNlcD;hO#y$+&i~U@IwgBv{JIUJ^UxGYOGtbLTo->gLu@5o!aVOTY z_~rf(NYbwf6v+l9M0r`{$g#*FS408_+W9(683qjyZt`!}7RRJ%=(43Y8U6z?flT)g zV`b3aHRAm#q?%|{U|Loke=Rz!8J%Oo^YJPzjZ?Pj_~SPE-kc@$jS$ev2lP%`-VU$nSV&_t10LMfxX3DL`jV7xiFm_E0#$MPcV zB(Ciz{5UOzF;e6G*i+;E$Z^Jx45$i=1~%!h2H98-9{?mxn1MK957wP=KLjDr+~Pa0 zbQ>?_iS@>F1TJWwA`_MzsxhWkB8?O3>&2Ff9eBv@k?Rlw0C$i4Oz)9rBZsgo{w{ZA z(dXhIfVyw`?_LSDJ z{YkI5%qiLlMH8ATf{V|buC(|rCSl1eA`P-g*Wbk2Eg^JFWzH#E9;fM}N;_KZUj!K>{XfJBFG4$DK~ydcNO+TIY@L&NTb!ExVj^j-GvZac7h85Wo{`L1qq%f zzcEPiSKt^r7t#`)YZciDUug@pJ{Ix53b~eLfqw_tjbG)SGe_;`C`8t>KF3<8;!lx} z71O^Dg+ZWdy-ylZ3Q6HQ9?nGOqbbS#&u+xEk}c85l)dM!f6#2u4+4o%?@NlJcflM- zoh)OJIz>E>x#s>8bs*CKBz6+pn!9(Ci7g8EI>BhJ55}HGyHBND<5aSPs01O**tR)Bc8U@~kUx zfQ?BvT0A)-C%3&A9*`E|`fQN)LlI@jNad(w5PpX<6 zDL^#6Tu@?S*Re4?qEmheqN7C(*aDMwd$*~dnEKhlA2{u6NXM>Y-MHnevvHsB>&V5q zku(@Y-ffgJbZL6l6wwT*)`S)!j;Ecwh_fFEt6M);gGzF4&?O9WblTltmY`V_G7-xL zF_jVa1(HCbRQs{~I!z=8XaGh5z+Snv@O)ck7ou!@MU3gHmWe8-8}VE88a^vcp!hvy z_g1Iyz}5;6Vin6%h|vYnCZCzmlznHa3(VDYQ}hy62YRzNQG&=%Ww&lc3RKduxb=ZF zL^IyDU~`ce`v8Abv*scM;<=mEs5h%+3bsZU+Wo96CKsVKzM*PMK4VG&T$yu&p&m~^ zq37L~RmmSfluZHvHwmJ+DOCVRz~KtU$ww#w)=JLdOGGPz-W( z3YnZC2^7wZwBva~Xk!m*rHIfZ6~` zh#!NR6uoOv-Xm!qDHo|AJ@SikjfcDlKpv&0jLFZq+W322mi9~nO=h!PXtV$16xOc- z@;nUaAQ?NE9X~V{1t`B#Z`&eV0qCuY+ax3?5uQ#Ft`K;Z6u)I-(WVsN34x5iL{cnd z1<7JKKyTo0ki!U~6 ztO#dDGAtRJ4b-6@m!U@dTt|)(Beg6HRRBQ&9P#F+6zX(Pf+F-D5~L8$K>-EpfP-k? zuK8MLUi$!4z+mKX@dgX{x`r7~4YS^o7^i+$2`<%YI_V~sn1d3EPUdD1o^0KU>QdNR zuQO{Pa@cIW0{t}hJ|Yym=CoG0ne1Bb>?nh8SX_e1#}@-K?X6GCja!br_|!%^ZrWq^ zjT4t^R=T9=Ze8x4!Fry|shqW})~d>rs<=il8k>UNdR!LS*gaZYZ#|$J8v~MKs@xbO zC@T_QzfSpIF`k{-P#Lp7u^c8tnAR4bpMqRvA3Tz^Ln>DCoCeM4`=AGNOW`hPDiAExybp2I2*6RR3@vT03@t71I->&C< z(aGz)(8)zvJR)22|FQS>fl(FL-}v2-Xu#k`4Hgykf*_!P1VKp%$lIa_0R)0tO(7&3 zNeM|vc6n(90-NY=*Hx@y)%pU}wzjp3RWTq!08Q{60c(&KQK{WEs8J9iQug_L&fL3u zcas49etn*Qem}z9y?gJOnKNh3IdkUBnVADn_jQf~lMwByD@H!XgJR%9Rjt8aci|V= z^##SQ2eLZ3CwfkF3(V787veP+N8@nVHHKKV+BD5pbj}Alallz=_UgNkeYDVXB-aJQ zAs+|Qw`Zlg{+M;1+Cet!9M?6iNz4A}mgfJ58=CQ#kQ7rJZ33Ui@W4tdxCh{ZQfLU$ zsN6-jrva&$WPPm3#z&GRB3TUF0xMki2;3epa)i}zDpB?xZ_ekIh8ThRA|&`glCylB{#=RRNTrmFk|%ZG-zq+0~@@M99_2C^_1SMFOy>0d2ye z8&A;yG0EPpKr#$r!XeJ1qVtU%U)*CY;>if?zb%b$JDk8+Jh^TuDg%dRa5~;0hGX3_ zFeDNR2T`Unc)8O*^oF+64L9 zY@>KHaG3+-Goc#LepO!r)IWT**RiDzyVMinjc3jfh<{EYFKn zS=ZawT#u}``ma~X-o}mB@lKuN1AXoJAYveAJ)36V(MX>nzrV(B%E4P`7|>~bRwsKk z-5Dq@r4y3H$m4n|D?B(CcJ7I8_Nt8lW7cOkGpprxFE?L?N<1!8;OVu2Me7g!t5uj92k#|F(m>P~KGtbs4k?zRxSraEjE-damv{G7CO_4xv`MGKuLH*X+89j-UQ z9&h1#7uS2Z-p92~7{R30p1&K^k^^Mw9Q8=G71!HIf1C@;l`WA z|0o?z-RPt}I?iw)`4fSGfsy>?-oR|xCRM~9wk+Lgo-o?1m>D9`&kZ2rft3k$jy(=o zfJYWTh7%ib4%QW~;`D|+8Q-~^urxJrV?yJ-=!av~!-IJiJy=-^QgehTvl`*$bQFi4 z27#@J{aV)CEVvEqwg-LE`|Oi4fDhH-RD8GAFE!1ylyV_TiQ&sW`IfiGOQJ?gDi-IS zOnn}=GV~TC6h;Vrht~Fwb0NBMg`+kEzXG`BH7)c@+*oo$-D1V#1}iDtk=&j}ZZYT{ zu)<{nF2v=6;Hs(*V@qh!adxqi;XI~1j1)1M7h6G^<^be_c#wy!Any|7IeHS3p(L6{MMjv;TY z736+`Tmlf#PNrkbm^b(jIEW35lD*A3KvT;2%by&0fHOhrM0pHn;egwW(;kck-!xl4 z!0@CY_-E|afv@%Wg5$`e!gNsx22{s^Qf2ERGGlp7e z1s1`~pKZvOITHwb>9%M?6-Y@7hGO8=pax#gs)1MQY~ZzKos6tQZZw3+13!aooQ#Qv zTT{OU!B&EQt!hh5%`QE74>`!WQnrHX=h@%X`}M%>-7oWe-h?&bh`l}&t9~j062FI! zz1l4{&eLP?5^-x}M7Y2F%a`MZV<8@9RquEfK%6r`=RU;IdC^5aM`xd7wcoKCT#@c) zv7H0X!-n&$^CL$WVb>u-AmSD$=ccuDbnd;)OyGLI-ti2wW3QLY@5ts~&v2Mjk6b+ zssy~SuNh(UY_cWVTwnSf&tO5c`&pmkG2by^uo_>p3}|zn_U3%Vi5zTEb~C2n_5`j^ z!hT2TN5FgjoX6Pf3V8cV92Bp@HyX@tSUdd;U{^Ojw+0@!Kzn~RdEPIa&WGC=bKL^adgIK zIF`!n)DI$|Vo1qvyDW#YdmlFPOLb#4@qrA-YWrP3hr;wZo(Umf1lc_+ja*p?IFw&~ z2_A-Ye8kAYRx8WhJPVzaqci9bmb2JT#;eWNgqNs<7@lDB1|&Bb{&JAVvZzw_19P8O z?|9k)q`-#w)IvPF6r}>QaOh#&pLVIwz-@?x7*68U2qaYcMac+u$!Z}3zuRp8ZS7i0 zz~IgH>JQ0NRuSMTf3R#+1Tq>HU4Vg{<5|D^S!|?nC1xmIr~C>39 zu&N6Pry`kSXNKch`(5Z{!R=3n9>urGa4&-qh2dT}Q!!hIwPZE1&R+#wICL#OPsU*` zE6!txv+x%O1*e_N&SWACZ${V>$D*^_`^=kdbqWker9OjG0AKJ8e1R>~EPdYAFHJ>4 zli_3hq8{0x+KyJuP_xhLEhLKcd964GYOU2OEl`dDd-Y$CS+uj8FGVbv4&8S^b#^b> z*L)X!f3tdj9k7L)z%}Y*1UYCe@I<~jn4gX|FdHiw^4nryKd{ikGj)-4+yv^hi@T4> zq_vyy88JH$)v(u*vNxx8PtvTSGn4mpEW_unMfbM0`9VLQODu1XVY4=!7Wy7Zvi43wc&#`f5#?FmHOV^^m+RYT4fndK7vv{guSnK z%OE%7X!$st?9^<3ep4Vtt04s5f9u=i3EANGV|?XY-?wu3ILUWhymoGE54C6A-3GCP zcox|witEeHbPe;TJTAq)x9N-aW~N6n>dQX~jIuS}*JAtSMf&)u7}QJPf^nd+g8S*n|A( zA-fcuiKc?x-yL>8Wh!X_n`TqPw+%@TiclScP#qJYYTh-cd3WyNU2~54vk&hLG5_+t zNtM>7oPXZlhIKw7k5x(Cu_}qjC7?oSIrxX~&Yi~19lmE!!`d@iN>SP@3%@-CAKzL| zP{-u0-XhMK3Tk04S z{!doIECRgwVzc!{fn;dPYmy_d|@#%_}$L ztubNmF3!zE#suFz)?)sXOMKz(H}P%g_$)Y}VbE%P?n(0Eld@@|_%CbPO-O63!47w? zeu<#1FzvjhCb!at z^0pa_zbol!-hL&w&+zs$xqX4hkV&iytzZudSP*N?=3ldJ zG~RGIntk0Mxl3>*t;@n4nkkZcoHg|{r1pIWY%UU&-=C9^s2;SKvF^Q{vS)<(VPJU{ zk4{+9Cq}iGb+aIS2J(~ciM``+<_5#n9XsVp6}j(ISUO20gbZ=M!B0sBQxMK++Nt)c zKjJmKFxbwnZ7<9%R=)AwhQiT4SlnO~j;!e0!z^kfix%#(m@LLH3sgBQlSlq(w7GYA z6V%PeUTlmfk9K=UCde5+p)~TIb>=c=KJq9AXi_gZ7*!Egt!$q%b=)8<4o~dgzi#9i zh?nKO;qFTrkIJP?BLf&!NQb-HUIzk-*L?dB=?euDF(WI_?^;;$3&#eb}FOy>RzXI`6ppeZx{c0lHzrg%T;My=oAw#yYyfd9{Z}_L9_T)Y~|2O%>@(R_i4N$It9 zRUMg|7I>Ur2tGS6efxmK3%%+weF<;5zwsUOY{r5BOn24VGPb#Y5C<6D#)58q$O4Dd zvrw7zBfh4kud`apEmF-|+1Didu=Fmo;|$;)*Y2DJq%Xb+ zbuRS4KUDRWx;x=o5SZA`7ud$CVJZ5fw?Z}2|QAo z1PBOKv0*3)a0CqJua+F(gpncW3ZXqlp@XZDZyhIYYC)#hN(dFPA<4*uKv_F+VVRK` zZ4#sE5b%s){hhx=1jwxlA^Vz}Y@0sgF~B1dY}=TrPQe@>XRD;mwkVG`Z$1&;0I0Bx z@M5wqw!*CfoH?X;85NF!91Tbb0XXHU)Z@{aW1I2ptx<-Y1z!Nef~v3{U3w6FA*^RV zN!y6#*zMTAH@9H}nnTW`-pSYXnCHR2rCaDyMXzIo0?F~sAhr?l!ZWG8e?j(8DBq;l zdrq7=uWtQ}V{7>s ze@+m)!edi}td*`ivi@kV`UKc!dF@qa1E>Z4Yll1sulhM z!25HzHr^8ha=R7eNRE+ZXaMrfx(NF;039J<Kyou*v4y5{dyvDD}%++>@(vB%L%SygVmMU6&J!R<_rn{;av0S<(X&B%O z3kLU7%F=G(k=+d+&)E+X0E<{7M|K|vjOPUQXPg+ohs!m6c2oYD1hM#p!5s>1cZ4h7%7vWa8nF&G0rIg5fh23*S`$Dt#{d zW$0$VcME6)r^t@VV>VZY=R{ZEk;SjK7Jua`vB*z*U{r&_LS&$a5GT#O2^cJ153&Ey z=cuK*efM@`?pajZ-hTIYxWggvm_PleW^65QuWk=yaa=b#N!$ScgvG^DC4XXd+GDWg z;$?EQ6$kD#%lHkYG`QA>=-cn*!RakhHd|AUla!4Kmefr6Ry-fpSQDL(L~6YMRv`DE z8~+2_vW7y2#T33xShHRlt%%ew#Fcyj_dhq<(O1-9OBX-_&R$J^RItHLkg*)WS78CPhZ*YR#s7jU1vGBUkIKDOSa&hyg9Ig3dER8&|~uWm$o4GJ)g- zXwXH*`B)B#4RUi4EO_|hI>+~kb&eyrj-qzwcypTBzk73jz*mAd=NP`)d2@aY7DD}X zqRDF?#MDKz0#!$VEb(!Og+~~m5%{MT-J8S1NQ@pL=g##{oVAYO^1iJ{zua`p2$$dN z&0z=^q5}_L??<4B)v^pBq)qUGS1b`)ziX-3Wec&Vz%y8~t%3s`6JTncG&qo5yz<|+ z@Z{WLt=Zp@lj@z{jk0bXSoiHnT@t&8motzNf#!KQO|hH*ZmJNk<2(P|DROftkn=5C zh$5yU(wc(bLwQE9{ayCyV5`^^1q?9rMFFX*fX2sx97cFp6v>TjCPRcH4@B$chK8wU z!erQ>`8P9=62ezr=Qx<^Useg+ypFH@%c|t&Yd_br;>kDuWt@ufVpYeo<#O{awu0tZ zn)O@upxhh^?cJvM8>37#Gt$P+P zRBRPi`X+Yoik~lZ^*h!FpF$T%YxfqUsZTnHevFE@K54(vqxo}c{L8p*juQ0nqu@A4 zEvB3G#v*Kk4Mm#t6w~-~YB_awule3J4jm;^+>a;5h9q?|<9Fy!t~$${qmP?&Z&F#U zdy0h`S&cHYa=*OlFdj3rH4v&*LF30?_=9EhO_%Z5=9yp|fc*m%SjDXPCV_95hHq-6 zY6tKOT#bIF$++`7YD87!tVb=vza^E;c!Qb?(MN&dlWH!*4XDworG4DWiqGE|=_6Ed zSd0RkG}odft4z?w`(l8+R-ox7Q0NX|-8S5{fQ!)TH>Ny@Egz9;iunepyvPGX%(*>< z$W1Ts8HDB>C=5h)eP^@qBNb7wqFH*0gt%D$m#hdsH}-^*5IUlCjAD00&^mzEf62^OND)83frt5h4%}Z% zkZDa!WOl9hcNDD^pzF(DEr83}|1p|pKfde5Wkvm0M1|qB+k%I$*KEy^v1FaATBs!=nFbxLMUD21S zmdU}M@QLT35BJ(y)Uz=F(8~ZS$<+}u@o<(swap5-hntldtSW=e15rcl>gNrxA?w8* zDo+e5$^CA%6H_`MHZmMt9PVbGu4gU#bej*|j+Om-RE;@8yu31*U7_nF|HfA zr{Ihhv3c(zn-(W}pC1frUcFoQuL)J6+^%>g`mC2X|N5FCTiV#+3kPUu`vEk-{Z zxZE1S%NBNZOs|ErtcK9|4x}Wj=;$3ToC0G;Mt_aX+dek6HYY0)v8qNI>U3y} zLF!KR)l>0a0w_4T&SQ*hrKnJhZ!pb5F{>gXx zZ(V@C(m!IxGd9<(luEB7X6Gs z;42`2$xkee`&uoH`^LYhb9LB#9irVWrfLn>a*3nwIAS44H8)(MUvyFg0!mQ=sRdp2 zGC2&T5k?TzGsM~Pg->Zc$Co})$q1YJ8YguffC?}at;}{m&e?9yYxHS{UDySJH@1e1 zI@blh@1RP_?K{3aYXv(Jj>8UM4Ft(^z01~Q+Ngo*FYuQ7cYhWX`?wB&!1%Hs-I<87gjLh~(2(4;@^&zQDi?imo?V>} zhLR_`#QAv>v;dglMNyTlFpd`542T$ga=Y7Nyu6VC<3$C=hnBE%*q%B*!0jEECBcK1 z1n}RqnqZ(cLB1uy#Y`ZZCB;s2rdd%MU`cQg$iuX6p$samC1Br}NF6v0X%$0duBb_V z#1F*`4B41qsku=vQbd_uO$Vq5pI_DiaL0R2?5bWwiKfwuRKmx?zIn+4zhaM(5Yt2G z6B7$x)o^|+_pd0ymxZrmx>o~>i|dn2uU1VDa&As4B&<3YWdWDgdM~5g#a{H9saRZx zUeobiNLD(C@g-t_bzmX}-}tKkh3Z274|%IYxC8TJ4XUuL_wj$@f#)?EL&E&Lu{CAX zySn%=)exY)K;~zK)j&9S0JfA_U6-wyky3QO1p*wN(iOZHZIZFib(ycBcVvf3_PGH; z!`9@fO`@cHkx5BOibx7^G>UlVUusah6sdfIo9&Y!i&E^K)qsi03wc8}`}zpMga{Ao zeIjFDd4g}B=QX0u)uyPF(Udp15PQ`eyjUEj?$sfdRx=3sgTkRln62oFnC8_&UGO4C zfbOw!Yt=Pmz7!HWbUq;C`xxXNKRCHv@o3CYhh@f%VK|9vvNA1G_7gZmT$Tb*7vH+T z%FXUnu<}fJYOrD`)&FoM^NSefw4+;54wcl<`FLj>21q46p;VOgLGUo}0UKwEPL7zy zR^F8|p^=-;Z%rdNuCl1(a3j1oss2eW+p&NI9+_H`PUqEFGtlleE^!#LsB^`A(Z*HE z5T^36T%`j0bVu~$yjq_J<#j;)-Tab{-vgQ!Dx_Hn7k5dAKmYSQ>&@e zn#;ji9KF%NLkX>ECdtk94`qH+GO&EPzafK)14IKEz8!MFbA!kMtdfYh3uz);U~H}j zE;x)hDtL2yg9`{sF5ox1^l#CnQ;8XT5X7zbaVbRc0X3SdTU*-fe5~&9y-XNG5y@m- zUD3+TEwqA0|%k+67kjM&v$@i-fJC~vn)0&Nm&Q9Nda-rwJdMMi~NPI@1= zg1!t;ag~RPQ4`crCP1*e8y8Fjch&A0q(6lC=r0jvUp{GX1AXr4yOk#Hix zJp(@!Kx4>1c)mqv+=MdhYu;9Y$xUkVBlLGLf{ZJc9@F7PcXdWtMjlewzgU=WN8A+m89Nt{Cfb_yrUbM%|WhhwUJyEWw#NU799nQBLgrZ}yoIiKVn!O|T2D>+Bh z+8lTM+MM(EHsELiW0`_SmglU5g^K>~be89IUTA5;qgR^CbJ*dVi+v^=xt-4P9BZZj z!rY)Cv>4nHk(<%<{kc5HGnAooi2hpzNRwHE^AM!P7TuLJ7*VvA)-e}V#H_Zt4kht4 zV}*4v1Bq9usC9&Ry@-50!FCAunl}$w9@>?}l`WG#PT`@Hr?tRk{}o)|B7I!I!9Zbg z!-_v17VnH-hj}(+&Np&EWZco0As%k7O6>(_#GZj~7-~JTzGFD1jU$Ul=2=S0KBCyH zAy@=2Yasi3(Qg>6{ak(?7R832ywXKchEbN7m{(qZKGcnkH04-PX!Fq4|k> zcQtM_G+^Tzwfv`XnX&eV!&77J4?9?6?N6TE%G#f4aw}_p#^Lsq>x>3MAt{6!l#L|D z54U5@O^Nal-R5==IjKh0=Wun8(KXMsw%?jwWW?{+(S-)Bx*9XtWa<0W&sFmC$hzOE zpTEUV5Hsea&6p)cvydBGmXp@_=C1jXVt-{V_BsG6WP>)>5OM50A_`r27IRSQgc?M) zx_-^+Dc9wiYiCU^vIwPvNHyF3d`5DpC+@f!>QRN=VYmd?)a!l+u&lkVPV=YAdZnx! zSBI>>E_cm}u2eE85wlY1*`DAtp3s>ZMWp6)2wrF-8op)^?_S2;x<4}euU%`_J;9q} zuD`E)mNy4nPfG!fkIUMq#)qIY(6<}QoVa)!4?G74<5`_!e-ihzHcV7%oZx#O8g^mJ zO(|zsHC__X6vfQxGvp{i$(SxoF=xkOr;1(#Y7t?5^Kwjy6%E6FG5bkL;CP> zDyD=pfOv7zc#agAk~&E|M@+ZnwNmHbJ%A%-2N@E@6-pqnQ1=_~+o_3FYt8C0WOe$) zIx~(~C!jV?MXZ{4TM%o{Fa94AYhCx&iM1Ilc4}hnw-T!qS^dw6^#NMvRK)VXqlm>B z8iTW*&;7qkEDgO#M0Ns~wN9)RkSP!gC`H%Z$+Ej?1ES~lre4qGY+QIZ@0Yye!n-?f zp zoMPT_;oWCW-f`hwn%r^WT|qvdF=}d0A@7FcuA8KYF1%~Cl9MJyD>+H3(N-mM1$kC- z=ol8kN)}0V+p5~YNrdAOXT?$>9!}hRJD;&?SLX5VzpvUUDs;m%o~Szo)#_j@n#?>DaUB)MC)Kd6HZwaf7Giy6CaTW8)j?r>V@UIpnFIrZTPbS+ok1ScOSOTl{ZC z=e?4aNdMHLquS?GRk{H+nl{C()Bi?pTC3AfEjO*yiI#M#T6E8ufxmCYSX@ozh997o zf}gKvjO}r!wTGCE$v(OkQyJQe^x+4{Nz7;uhIc2=sMeZMH)}>Q2pLH&P`~42oGS+-)fTw?c2YN-#Ei_JH|`^z=FgxJzIx! zHf+^#42)^>X_qjfI1=Y9=Sv2E4SYEuh^bMQwMM-GVOnfMFEx#jr3d@KhVxYiVSHmX zO5gy#S2d#~PWiu&SHTfT7krE#JwZBOU43;EU}*Re#D*LH6De%J-EMm*NCV;`P6X}4 zo&6P0XS~U1=HhA3g}5E!NOph1R`U~I3Cd(HtC@?;qMzkRu~%i{Cn%S~D7wIk!$eJ} z;kg7^kQROw&Iw}V11IF*eHx&qk=`qkCYBtvR&rc;5ljv>agPj*6qq~Mejzh-4UJaQ zzv3VH;j(_*I*%dbr|pbLXxGglayj!N06@;ZLqf|sKVI5iW~Yv0^r0ez|7 z1Z_m73iL+|MFH9^Mr3B(X(9EI&Qv;*Q7Q{#v$Cj|6rHUpUPlU3$Qr<53h_Yp@p=bW z56Q{^iPKE!zK%4JFreUj7{5lu`voME!KdLA3}iRgJDTGYvjtV+`;iz$@;LI~il2cu zj2SNKNVRZGR6T56O^yv}&q%S#lKc>kszd6yj zFMT)n!fe7xKiu8>TXu2Sk_yof*tE!>vtPB+gXsJ+>fEzz?mf1nAg>Dh!6u)eG=oA@ zuY-o!?HaoD$E_$~@n4oU@_Pe68du8Xdkt4UG9D^|+lyx+s=6wl%0g}Ph$;KXBFFeH zCe5mv>N-Wa|6@pkNe^u8p6bUowvN@7DQ27pXAnb{RxpyAdwxrpfZE*%{-!jqCbMe-i54Pp`0EB8Lv@fTykIKTZjos-`#k> zknn{wEp=fFkbVQ>=%-eGwa|Qnt@OJqElR&f!RMe~Wa#Uzn3=~dXDZ5~Th4s@D_W-< z6ps5f?>O=uBX8no-x1_at{XV!i^vB-5F%ycN)JDRFldHF^U|XCD!8Es?{r%Ig<{ii8|Z*h*$!a zp<_SVpU+L*AZvOXj(+X?=cW^9Z2Ixi-unViuoMVy7f7UORI!j2nePNe)3;~TtJ50$ zsBmoXuqny+Sjm6pd4?vFLk7iu^VRvQelrk-!6XtPhx=pzLS^!Om#mmS*x6e z;v&jBcnyA;ZS=4e*{{yAfC;+E(tarITq5uvGHwzvAa$fQ>yw_r&r1Bnnu*0}vdPv5 z$3AHG97|r3?CZprxmX;Ly5vjsqw!ls24liIJH_0Z?gXYXPsmi}@m+I{@6N>rbtn9e z=BJ)T4p?tGbro-h9z#Kk?KS+WPvR5au`Xs`&C}ZUpz6W7AXfUD{!Rpv6K;do!Df(L zf}|+~O0xePMSoz0==zBeJi{za?w zu>0Dxhz++J;PP@%EycRHPG-dvLaUR4FTgB`#qUWxL7w^q#^yH>`KkI&g>4neCd!}`lUS*xfdhi0z!HtMpn<<5n->dT z?dfl(Zrg;rs&bEQFnv z+iw;ecv>9_r{s!hFtEGyNWn(fAHI6iGQQEiW(?tUd?(-=^SWcW_ep2_nltc)5f%1R zl6jF)e2oFrDA^hX<=`jVzB_B<1|K6b>1@81zGLv11XqG;3#A;Qtu1ptJP|vw`&wCb z!|96yf|Y;NEOLF%vyB-|D>HouYbIh=G(b#!&8GQ)yyI*z#aJ~h!?}M~Yya)$Ufb*& zFzd#(-1|>>YR}@Chzz1zd~^D4{~$^bdgk5;D_)%7t22n1gz6dxF=AVAmyO$A^Wb*G zwgn#sYPLgcYBmdEq{Yku(|&mk!Ye;n@;B+|m8oOWq0ea|m*R6AG>%_lIQY+pa}0PR zBnUCc2zR}gIusq|l^KXpt_q^~2pWd5G7w`qpy4}2hggri+pq$ay95g$G z;Xp0YH9dp|M$q=fi5BcA766%QZXu7VY;B(kRbI7N;1tUC7I5 ztSBpD4;U^i5OcNHX8VTCFlRB+uI5>3(llssto_Vs=!%_@nldh?(5r3#Jd5_&E;!=( z;)JK}EGt|3r;O%qwcEh1+YG7H$;8>%7!$JfgRI|nyL^%ro2KJRzFySSdlAdQh7k&U zKi6iO7U?=h^^3{?!XJqhTa^pCbE{Pc8oa@W9lKfe13qksvMA_VlpN}S_d}AHxxIQK z?i+s})mh4vUj_c>a=#=EK-gSf+6TKDrI8iT+>|n7pk>ULYyUR#Q_sY=FK{&D z9+$T?c>hk^{;;T>Kd;&z81s``;Mm9e)pX3?V~$9jR|1?uPq$-3Wo)U6&RveU)ZYV>_J7nVnLY;ip5&HH#<= zg&fnd<9^p4kSyB!ow>;(A@NXp+<)H%XA-IS|C}qaXB^=gO(nu9`5a+9+P_&nRWO z`m0Vk!G7;1WEew$;w2UW)SL@DxAsNqDLjVs0W;CP>+< z&Ihu=%M8R;84$5JC0iSO>lw)WIp7fd8h0%aIbiKL9s>lth5)8Yl`|NGEpBs{%&xC) z28Jc2^GgcrtB>P0R|}CTV<`QYwOSKc@<5jCYOUlnD{MDF)C;LlRtd^Nvb;IY0u+Ti zy{x>nUXIi%aOb;FexfS>5xlE8|1XFO(Qrov*dOjr@ScpQR83mc_$6zN|C$sPhYb)7 zXc#!Su)HAI-`OOtSkS9TaR}}%RwXyt^LiQCbG++{8b0~L{=fv=N zNgIZP;~_e!bh)F$jtujFD1`PPeYo3EBj1*cCU>5#zPF=ezY&u zTsMfb6x zR(J_7q!nBbOA8z{Tj113k^cp})c9A$6l!h0{a%`v!R0J0Ud=sQX<^Y}fRKct?;*Zs zBld|l;z06E)y-({cc#z|s_t^&6x^%%J#71udD$>N# zQm|{&K|b3jW~Q#cnWA+T+TY~vwp+zxqjYgwo%|LJb2D1Zk_jpRazr*RSQ(s;v@Hm; z$4ZzUfH0DU=8%>yRz*o!PE#Q3{KnR$>?;jmtx4HVyfCDU!|4B3%H9C2M9LznkWEkk zL1;^es2L|B6+9n(4azQlyG5l_tO~0?aBTcDIU1T2TRejwu)A)3QukGAB50M48)QKN zI2%O=FGT{eVKHI5suy0`pNDhHaoq1Xj$)w*j>9A~e=lh=Ks!T0V8UQgie`UsvkZ5Z zKS=9F84uy*{b6U)w<5*20=)dc0e<+u0e-9v;D}oXECFQ%1%;6s772__rNGxY8nun$ z9LHS24?CJY`^BT+_js8d4H(X_uvTa*nNjn5s^VYyJ@;524Foi1PyvtPu&liWyjnE# z!;byQjz-TnHcvS0uEgj=mRM&uc}I5$C}Nh# znxSPUfF*)CPzM573G|{#kVerjHbP-8|;|A^C=;lkK-X4wo-8rJQ|Sidnf=(U2BEMgY;Uj`osa`&?qNXw_eCZt_O zgkjuZ&Cv zAd|S)gQ)|I*XQANjStv}&k&P-DN!#<`Vq|db#Kh}U%vo8Ab-`}E^8so1zm~f#0b~L zocy>`!h^vr>I76Q-5HK?uxA3#SV_V*Cl3K>ZMm7Bcw0n}V3k>Too8o951oDV2a zc<=xp{E-i2u|z{~86P~y2b>KC#9Tb^`{~z!qxlTgVa4Dv!-~hv7CdGH4>b=pZHfxl zr;Wbbb!qyWzRiU=nQcHKyuP)CS3jt&L>^ckxXG6Bw)=DYE49X{85CWNBpB&WCgGkg zfl((!(PO;*6fg^ z7CQWEWPT!$)*cfewzutTsyZ=&cT~9Y&Y#&dS7P0$@O7l-d#5$?& zkS$1r?N|xCcnrMF7n#6`3@ZRRI)NPM*|3#BPy3p*O9LbKXZ>Q{#}OznbwA({{2GH| z0dwy%-?cVSdiH(vR|zQ294lBW@VvDGe?Rh{Fz~^7v57;>8g|LB)bJhvaOvVT((k6a z#%1hVvOT73G_tfTdvD;mfmOW=LX1@Iw@gUtV4XGSqiW#!F%{dTzK%f_N|h?aWwV*t zT!(D>?{4g30-DtNH^^?_(@>5Jj>NBcLY9UX8%IcbYHTq}h9HhWb>`*QspP z&%sS51=DwfM3=ToqN48wn~Z(#Js}iEt)n(%lSN5etEG<8OP+;$;5B0v>NW*M)$s1k zEj6E_viPb~U;k8JKd7%S6sWbOIxM%>c>_(_s`KW+M7BWE~LK?-KI7g?>l z7}Z$%*5&xLSM5Y%`i^HDCsRt!)qe3KtC024Bbg6bIK=B7?QuFZg)iWlHNt zW`?bH#|XA?>){!_A)`S_7172;QwH`32Rx_{sqTZPjB;y-{Fc5>vWUY6sL&aCQdBYw zROs{D$Xci#QQ^ZKi>U0G1Kw*M5>Ap|wZ?_G7hzJIOV6WL}>{ZsN1h3&^bc#sUTI4Z=NT zfeTvUeoi=cn<1+^^A&P3Q4ZA^nf^+S`nAq6m zB7W83+y)lw`!S=QZYj)Vct!BMkF!4|wuS{3SaF08AXHbOz0Hc=%MCwdF`e%R3<_$- zqFTZKG~?L`K%-+GtYQ%Qabh{1t?shYpa|Y#ldTq^grFA>Oct7Lg}e?g8lN$B*|Vsr zubK;u{exDbCiO|fK{q@^pu}k7uKLK5sUaO)2WxH)x-t@>whd5l_FZ^fa=0=Wo~s(op*(iy#YEWLY+QdY4^RipLZ zj6LUad^<9}gK2jtiES2XE%@ntpblN9^rkY=Q~UrUY&M7kM-R3I0?WQemc0?HQ#r1H z)jK_R3p7FSF*IykX-j^~7oL_c7QYu_69Z)!+1x4`w*Kt|6K1Jh(!{JIXU?Y|nG&o3 zFD>12=u2q$gDFAI>jgZW*;o(o6XVI=+cq^PWYkrh@B1j6_74s->ukN7af~>hmLD`R zMUk))e7T2%T_wj=$kFF+_ANRdcv13um7l#&Y&wz9*e;y*3b&9r5q5tc%p^1A9`?JN z{fmzK4#II}V82RR&I8cGu5(}IU3i;+)NxN^BA&PpsYOFFf|HqEbrX^^D`VH)xS6<*QDk;@E_NdVB)YV5pj~;rD{{03NR%jjMiH29{{rg8A zcj%z?sOZsmaD_fp@6q?_{uTOdJt{JL6y&Ps1J(2NL6+w?Ow4v5QKnv0GB2;Vs6fwO zm|yJF^Gn?&F1=@uik?gweOp@QDk?3}`}W93S?O12rVq+YAD~Ulcj*HL=mYxqzgC+j zxs>IVyDId&a%W}-t%LEXy!4Lpyg756<>m{nqv+pX%bQo8k=|cZzore)xTK2fsZelE zMN!Ee`lQlwmu~r&srRTLTE^Q*z6!*AJ8_<~yu7G@8H~(x7wD7oTuxob+ky^SMbRB4 zc`kRkQz|#wIj<<+sYf1ydi@t@g~fRlvoyU|QK3H5$y{9JdHJ)Q1-&JWvpi35%b!(L zrbv`mQlO8Tm@|H~K4IciB+>I{<(1swL}|r^?h2QzM=OJ~G zOBZOp%voMkTA=rGSM-*gM(J7kr6q+$cl0eRDvm+I%n60$30IaDf^P}x1|DQnrjShX zL4gRH#2{p55`C?^7M7`0qvOdH`8~R*B5x+dB+u?8j-Ch3E-F*imLwC~NTBOxlF6g= z(u(||;^I6P$dnHiG1F+GUKLI!nXdn!t9$p;S?e4<3lwA{49hIPbN-$4GPBr@C77Qc zc3UPf$a9fAC;68xEufczmlPvZ2zM7LJ|WI0`Iqf3b`_POae;{;PE=%iUU6~he2Swp zFMk%qbFSN2;W~L%MHOUcqUmqGsimNuRORQ!B(VOt$?ZhjNbQ{!712EA>V4-* z9>sVpHMq{2Eao0MvW%(?EL)+w*+rqVLSJ9tE^spIUqW$Z>RCCH$7jxRxymxHx~d{? zUS3H_-mHElr6qTixcils-*J@zSaFq+av1tM_MZ8GgkTg!$>c68K<`to7o*`~jh0B) zWVRoqQJFR>FH;@1=!7Ct8UdHGO?Xt$=(I%3x5%A%!Uh4!(fx|Gpm2-|K{Vg%W@e7e z%+DN^Ioj#S98;88QkFS!Qs&$WS5BsT-n50c(h`LMkg20xE7-U7sOW>oI-IqLOhI9w#NT;P%y+np^UxQAFfg5F7nPMkXAxCtJJlX0u23kg;-HWedY6=1wZN>r z3TPha!YFHi_SEa3-W-~M#YH7l^2Re-BZ7)SSHsH6v*zg*)?gle^Nk({s=fgB=0ZL1 zj=Z80HVT`5R9;C>7jnZpi(-P!9-(QbKA}`hINE!9OJw^%5S@BAScH=R&Mu@kP()cZP2{7CBxcNvWiu-{BW$}L#2|7M*dG^7pR5+QQ# zX;BY68KoWbvQTZ3iB$`#2`@WcF7{eVx@Q{(Cd}o2>4mKS6ytrIGy~x3B1Ipg4`(KA z8ZxSVsh6U*4F*(hr{c`{dIc;WWd$hxPif67C@80X?QiNUL+2aTYaV60k6xZXlw%gw zWpt^VW~W$dlpVwP!+^@lFMY^=Z0NpYbl9gHMqnW1L{o?^Hf+6U+bcW5qDu=TKMDyN zwp7o{&v%wl*H*a75fBLNgW*@J@)R{Fp^Nh@s0*J(_$U@kI1Yve1gAhc7Xu$t#Yoy) zi)K43y6XB^W0-2dL~`l}jL6H51z;2MWaN!xiLnthM34RhZi#*vb%>=YEZa87r#do& zcv6;WCS~dzz(MmU1!$2CN=8&D*kM}#O)*A*dV#XLAaQZ|P8H?jLX9}sD;G(nxR`m8 zCB=-wa5HbF2%|v78pVBn`HQ0SDAGjyvV zkfOOp8!~9NL%zGboQ9taj{256l?7{cF0efcIFMI$Hl(m^crc<81A&k5QlUr*+0^J< ziB6ljILpoQBBSpq99@G|jn<enjJ$g+U;|)ez`kofej?S)V%(y!(UHt{8Wd+rR50pO z5R>;h{kDZymE`JiJY&fb$|c`T4}?MENS(zaaY|$;Pf;Eh|HXfqM!X%TOcVI(<&{V4 zRu8-2$;9OHC)9NX?*EAEU0i!`eTB<>*VgxOz?`+RCEP`LR%v;DpXI)-ygmcWm8(=< zLyT_|_Qts1eEvJ*SqR_9jQc;~dn2y*aP2jo5w-*H6kSEzQ2aI~`@kAOTSJ}CE1yn= zoIZ1*%Q@W`g!SsJ&vd)s0#de52PB$D0}bQxIR$t*$LX3?YU&WjN%IzIoL&Z-{IoL# z4-qSC%1l$UP*aN*H_bUik+T3bc9jmptW~ex@it?mBV5p<|G;9(0rey)0JUNc;w^gB z$X|I{O!G(N;B@N!KO(9jL(+p9ozEOMY)=Sf8T{4(6A|G9}VNf9n}YU zE*RGE%|UVFbpZ$RhWPjE7tx(!=aoDAT1GcUk$Ht2;+X6rxj@~acY}2!G$vnBdkAhY zNZoK-pbsL3eG9Of0OdIi2cO^y72$&ECuW+?j4Vi-_!wnV9agwu3sh7Tx|wSXhR`xB zJEE6+&@6O;RSi!GEg7<}(Pl8yIf_BuOG}Vdj{*S(8WYt|Be8TydHF6?4bYnW=W>>a ze$}tI;tC9{z+*k&5a?wv5sK`u*hued-u2d_-Gp$kq$j?yI~32eG8d;8AOa ze60K_WoJaREC}MW@#3 z26Cyq>1HzcH>NPDd>@P`%E8EUiYnk)gKG7+r-YPOY7wG|w#ZP^migGyJ2ZK_>kF%A-~WWguW4o)Wo zXpTnqQEV|p*R{#2{|A{w&y_gmPcJRYM_0}^h7$$SZQv-pLmnUu@@LDp3PhY$73n+7 z2m2QmLV8(6v}*-@JIFNBhEi^UyNr3Z$WQNur%ZyeKyP4x3|jv;QoPEOO5wkW{Wpn% z()vF_!%XN=k*yr3Q_0KOsJr2vor|j6Y%5Ce; zcxB+u>=pickHH{k4@}t!ru;BqBBOEwNB+@VK*6Kvfp^HngYrEI0A3crfWZ4nBd&Lh zk0dg0GWAIu0^$A0DFe)hmhb5M$&M+GskHmcN-HoZg{I~|qwvX&sgrNj%iP5;%SYhga|?uoN=#>lv9i5I4Nkc|5zs=JjInaQ%#jvUmGt+%_(p$aK^tj zQ!XsYA75Hp7Ar8u%aKrY@#&07LJ$#R0j75@uokIi5YjQLKXJrpwl*I|?v3Zu9k)!KK4SFf$qJlc+Nc}GPMYqRJYtGNo0v0oQqI(9`Sg%b znGMs%UO!>tWQWG2jww^Lu@eYKbw?fALN|5L$|^9-D2?PaOat3g6wy^tbQK;O4%1;; zfwO=eowGo@Vms{X9f`^H`2GM_JKT4~^)p;uaqY&lf8qKD*AKXE#q~E_|G>2tS1m5c zpSV0R!`(r!bCu3^mb8Li5zONjN?d?BQC@%#Z9-1=NXO(py7+8{_P9fvGIcV1UXceC z+St*3q^S*v3N5P?wp2MP1(glY2#m2-N>TQOiKf{fRG?eXXMwSl_usH!qx}Vp-V(z% zD&9i@0Lv=B3q9OA+SCoGS)7nsI=*NIA^4AMt{*_{;WqNUBp2<~O zCUu!v>T+Q&hk(unE)$@nY`Os8TLB<5rN3ZNQNcw&l)8(kJyW~Oai7$E+aNCp0f zxI;jubKnLsQAce|%ypwRPKmm%+Lq~lnp#-eh4i)52hCuu zjoB({JVs+%>ZD>rWYmI5dw5Uao&sef@4=aHQHz|@Lgh3Cf=OUCz*90uLQo*CQ0Z__ z!N@LFCOEpD=vbjp69O`}wxKq_#CI8>lUFC3Ebyu#zQ`h~p;86Edz@4h@ zD*6AW?kb0vy7PyI2_f)52Ym(ZIc1uRb~VGn9urH$s5FfRmR8{`Dd63l3T+;|Gk4&D zOV65i8}FU2++lhz6*winliu1qgr^jmFuZR8QHbapts;N6Hp^LDrXl9brNKx*U`Iu! z#tD%HW(*l%^ekxIeu_6PxJ5*jvc>3L$I5h7Hr9oOnf}1I;;5HFJ}v=K29= z1gJ?}qS>2IiE;EPoM_Cq7m3xcuDNz_M&8VPI9W9K3tZmT6(A%_%ee3^JC9MjGi^^d1e3VsQ%q^NOZiI^$ahagD|zX&&3;;{OWMiy?0&FNr(KWDBWg7F|w4OMHWz@>?p0-vb%-!G2svxL8R6npU}0 zP(yT>;;d3E2b!6YoyuxrCuQ};8BL;~nV(1*GGtre_sQzfDrt$B;FUi+4lRf{0~rm( zqA)E>LS@9a4Iz(GTI?3oSMrT|FWGicAiY<4z6@dL64E;4aGnSi=}B(tX;NDp%?5iw zv?0=PB_Tpl#(GLK(e9XEnboyUS@g@$_5oLkhuos$1P`Iw&{meND}ARxd7x8p98$Lg0?XZXZPOb z{1jYf*6N#;FgiWewL}SM(l1U6vQy!s38Da5H?wa$8KJCj@m1i2%gKmfO6B z7v<>r2z(r>iYH6p->*iu7;~zj44a?GB70RRoGyK}tO{2FC_D@l$duVCg5UvyFr%)V z4gywGqM*!-ib~>utXZ%U7)FwQ6}?f?&5Be~jQL!q6Dp)snImI*GxO4tz7n>LeCR7e z4t%Sov52BfKk`vrX66hMsKK{p?Bm$0CMw@<&mQ?b@zjjIFyi7=ge=nNX56$I*FvVa zCWKyxa&%lGL8?!dXY=t)wXo&kJUmo*8Wt5B#)^v(_z_=p3=G2oDeaZqajr^wuN;RG;tH`C0M&9a(o|?2t9iIq@r1-Vgc2p%2zN%pc&s|&KkDS zCQ(5J^Y#Wt5;d>$__RSpZvQy z{JXGL`MH*hPxZH3(<<-AuP&{nm1lQrcWYk!`67RQ?KfILyGOehfA`^UIsWed$^U+< zwf^^j_JH;v{#M}cA^iRB|JmQeQqm*ZBif_*`~6A(tmL$5Tmi?wMETRWu>~%haV6mU zfB&cQj()P#*8W(U^?9`9q@MtbXl^ap^el=X`?lVHb%Q%yTJB*4j_S#*ifc&Xnk3B_(=i+^? zcAGX?o1#tCa#l^UJm=^77M=#T3vTvy{7fU7UAep+{}hjzKvQ|qPaT34-`cA3^mJ74>m zc7b-G)>*qq>!Mw(U7}s8T>A$-pZ!@&P+O^scEmIq$U87}1p0{=5*QQ}t zVmmZo0J`daXhCYG70`;0ie7pcn&S7+lPCN4mi8ZQqxQO1t8FrB(OK#dtp)4Y-}Z)9 zkD7KsUAJmy2{fsYqBUv2g;^_vcH6cUSdnqF16`4wxnvuInt69Xo>!4mjP&v zfkL5cL9dKR>t=#-S#eUhz#@lZ(YoZKY;YC%=%xs#O#@%N0!|@EkTXoK=xIYX#1n~C#|P#imjKex9!i`&9<-?L)1D?JQf0t)uO1+ds9Bv<7XLAxU;ys_h)xxwenB-Qcc0+Fos+_K9|$ zZNK)Z_L=s%c0l`=_J#IuEvS8?HEG{!X}0gQquTe{F|CvBeA~}#ooyG`F0@@_>tegu z)~t24U2S_>yT*2{ZLlrFmT4Pe%d!o%4YOTm8*Uq68)+M58*Ovg#@Md6-C!GQyU{kz zHs1Di^(^pbN657uHcC%u=kp-zouHkU3iO4L)TNPjp&WalX_kSLe+DVOKz=+O*9ZS&TVMdT;tu+ zR(09Z7+n^t$*lak6xgV;te@$&wkWa`a(a#>#dw=F-O2C!LQV;h9!Xz`dM||}UT32O zF4aca&c$b%ydN!}7s_WeU#92|wRAb~#&#w6x9lT1=8I}AQ$|m1fwir*l&0@Qk8!S|0DcD zctf~0+)i7I-~4+c{C4;qfl$9=Ki>_%7k)pyExbLvBfK-bKm2Lu8n#!1W@omvFs|YYnc` z{Tm6Zj?XX^+ILP$?uaW5*G0Ix;p&Ym9oJx7BXHe->n2>c;L7Nml)S8K zQu1rKe%TFv8(iPuy8N=Fv3Gq19g2PGw!;Cc~PGp?c6fZn+3ado{mDY+2W-*7eI8Zr+^XGl`=TwH5#{fKLLR#I{`uD5VK*gq-x z4P4*j>XDw5yad+{Tzv=N9@pb&$7k`KeRWdua$KL`KAuk9@%&X>|G~ASAKDC8yQ@HB zTqU?R;z~vSlX3kDys)19fNMD5=%b9pPs+M#_@)^#kLOs_t=72AM2x9g)uN^%()*@g z3uk0ZTKwoy5cOTFI9ufakK}K_xJpYAW>>P%2*#ClH8N9VS^aAII^)xtzH!FU8qR1$ zqIHW~Cm)RkZ5^Ht_11B-&iSwmBY0FiyypDU$?6@47iQ>MFNa=Q?h3@oE)_w*Xj7S@ zmL;D>hX1y;vMdwm3?*)ns@pV%Jn?cWo-mQX86mTuO``BZP%FeAc`z(Qxn^# zm7YLqIYzG@?%w(pB&?B#JV1=FJF@H!+`&aM#x#>4N}#rQLd|0^uAB3Z1z36FlmKY8 z62HPV8#`cOf!{m@ffWasRBDk|9PiUpUyg`g#CafGC$E@(eny+f3Th-Kjc?8?=Uy!c zN8^43QMrlmw@f5abYgOg(D?Mzt4)tA;t^SgcKUf3OX6cQ0rw#+L4-_`dq#D##$3=| zh|PFfT4B*~bO(&2qc&+8>{9-95bG4tr}$GNXO679;Ft1(A;L+#Wb_?bE!7SfqjkV2 zjkYSUfv}WqNx zH41*5u^2;6fcJ-9!pZRZWQrwr3;a*T@Gj26xcXM@jfBJSGZvxbB`Db(kI#e`Dj#Fe zN8mjyK)3xUJcx<5l?kii9q9niRUtf1s}jz#x#4r1XTkPb;P`?C!)s#R&4>Td{HuZ| zs2cvFx`gH0-{4WXOM3#|vOmISbcyZ%u=gI|QB_^r@HzX;Boih`m0l(Zflv~vh=3S+ zhe#6(hL8f0Kw=6IY^aD8#efAXHmoT2{)oMI#je;;AN5hOKeq3__uA*onaLy~ukZK& z*Y!EMR`yxDuDy0$Yqyi3BRUS^_i zdR9HBo>woZ7u8GZW%Y`BRc%+Vsn^vT>Miw-dRM)t-c)a^9qN7cf%;H=q&`+V)hFsx z^_lv&`dodXzEuBFU#YLvH)@yqR(+?wS3js9)lceY^E1)>FxA!vYi~KuhY-z?+kDTI)j{joWah%&JbrmXQ(sG8Sd=w zjBpNca-EURC}*@Y#_0ncrTq1SenS5GLjxjztd$kkBdP-bIcsOOU^x<}ou?oxNEJJjv!Hg&7IMcu5ns+-h}YKyu-U9YZF*Q#sO)oQc4N?ob0 zP?xKV)kW$;b%ENb&R6HDbJaO&gIcfZ)Y)pCI!m3Y)~Ykq>FP9fsyaoTtk$TL)M|C2 zIzb(;j#bB~qt#JrrK(jc)N-{<)!Toqr9i|Rdhp2C|qh_i+HC;_pQ`HnTSxr(C)dV$OjZWwR0~Q$y6gYOvZz4N?Qu0M%dhQ+-vA%2s_;Z`DinR9UKr>aH?XH4%R4r6<)lBWBnyOS4R!vlj3aMn3q=G7-9Ho@4ezSkI zf3g2-|7`zc|7ibUe{X+he{1iuzp=lzzq0>he`$YVe{TQV{>=W={>0vCe{6qbe`tST zziq!|ziGc=ziz)~Z?|8yU$I}dU$S4cU$CFIpRu2|pR%8{pRgaYAGEjG57_^*@3-%> z@5KYBciVT_ciMN@x7)Ybx7xSZH``n7o9r9yE%pue_4al4we~gk)%IrlD*HV zGJBJKseOrkv3-$!p?!h9(LUck&py{a$KGJCx9jY)?REB9_8Io+_G$L1_9^yB_GOda{%wY3hnYvNKHGScYYU=*4xlTzX|>H>(Enghvxbf=&)acWcC8I+Rs71 z{R||yry$Ec0h#VG>ru#e4@1s-5c1vwko)e3{C6*OBd)?-EGOufQ#V=Z9_u(8en ztVg84{NMenKPpFU{A;QI8{b?<<*IHojPA{#k&6Dcf=w&v$~(YEYxrGr>8-yi?G!L~jAcf;(UGvX1Q%S~86N`qcF3$ul*)lK?)5JvYwz~zr? zZ<+X>g&9F6Txf2yYE%)h$MBU2yhc5yUHf8OkNyqDcpjZW#E#GVVf1$Y#$r}57Bh=$ z)D+AfF2(m;)SbgHi+BUyv*9up-*YizI1DR~1=`Ocs6%(d{SegIl9=&%6YA=A=)~X0 z{~h>#A2s`PYa-eh&98xfZ4E(>vKIIdwEVUBz8kIaTGd&d1pF=gYwIn$gSyDR4t?WE z=t20`4_}?poA!hKffzNi(XUry6u$wZ$c-3JZpOHBE5?`GG1Ak;*+dQ3g8p72I>cTDGq{u)N}H!+^Stw;17zLC7)aXir|?jOB5c5~!TJZi^} z+0hZ3D4*-vr0~o9Ik*COMncB>bP`O!oe!I8z*su$QS#^~Tus z7+s@dD@RrtRgcFU=r}X3t~6t6m2WiVNV-TZ)T3z;=1=uU)VUZ#j^NQu@cqhDOPT$4D6=qvIn-M~;mg8HZwiy{{e{2V&msj*r=xefKt_WG3e28D^~P zYIo7&Wk<}}IcB!Sim89xlu$YqNmd6hyo zTL?+35bL4yA;TT6_ku;iNA6nGw^q&v(`DwsdLT%@Gr-zbF!_I9q#%d-Wv1| z2mSk4M>yQuu)xWMjN1pf9tUnr0iFj~0-u)lU!wolIVU-b!QHi(xzsrukxr3wxYOUt zca}K^SqH=S0;j}TXDxCzSPPvwPO&o+Uo*A+bZ0(##~IGS+_B&s=$vciITu-nITu)S zU_S+Y>qct|a=6Hv;9P65pPdY;Xc}AwLoOO>=#bphIopu-a3>Ga(-{2KA@l&qQ3D}Q z4S_iVvK3{mUXa7~g%p;FIC?-1%Ye_`=;8a|yDMa~&XCs9;3orA++=lxghxrPD3U1flY*R4x7OjW8|YLdo!AoxeivyvzCmGUxr)*N{aovp$BL`XOBTcQabX7x>-G-D0sj%4q>G5R9 zlyzEOT&JbVRd8Daneq%sj%xrXVZ%Wu@bW6O30RVPA$ee%8^x&9+yF` zTm-vnz!4bxmO^qo0IrKYVy|=hLH5js zblDvyN6#*hGKaz@0@sd!ZkS(ngDvIHIwxJrmo0&}f&J4~CrFtokTwaakU^6mgVs4B zZT@PvgzQO~l#(c=&LG@=gS7b*HamR@?aFs{o%1`S&Tk--{)92N&iMs$==b*fkV-#; z>`D4)exbjLM_L@amwa$41qwc3Lw?kfi8FDG*))z4re~ek-yY{;l zM`ngY2!_(%uVO@g86)w_7>ggoXnYT(SNgaY679br(cYu6r|icuYQJMW0NM3+NVB)X zmT)g5*{y)vwXAysT&~cv>@ARPuhMcZ=lr7FGq;0?Vm9V-VB-dd|>ASHbK6< zOiQ`vXlx^-U4~iDn(_Xt#2Cjp=yH1bg$7nlBzID#g7~fIz>{;mH zo`%HtDdx~0VC1WFc0zjGg|AN_KZ19dOMe5m-{FI{j*i2E?3sj7^moXd$*{2@nK~F> z(NDnMg3OAZ7o#x$*EzBysu^6`0i$gfjM8C@+HLUN3S)UDbZoLiDgx=ZBS!Ylc317M zgVw(Fgls$*E@_w-FwgwU!gnvs6b3_fu5*TC?oj89Fz!PkWB12=Vj%D#_$EFI?xP`* zkA-AD9TNE{{71Wi>^?{DgQ|1pLTX2k4LN=qCjo+>rz;_~W{nHEr7?1l+JRbc1|Bi1Y(a3MCW9xB? zased*|7>8Q!Ccet*7)}%9dfc4n?DAQm;bl^gpO!x|2NZ)ruxsmB<$GZx(~tOZt#xdS_lo!F^Jn{xs8*U|m>Q?q{qK5`qd7wh92a6L%d zpXGCnKYi9!yXni3e7<5o_k%W>FG%n=N{j^mPw?4I^L<-bxBl~AvCj+7o;3dBPV~lp z<2=Vz_?oP->7JeYaUwo=_NBdR5oh)S-Y3p3itZcz#MkH;OpxPXjE}LOqOm;Bt_{BC zdf4uN@Py9p{ium*_;)az+Qv9{h`|~{ay$o~7mEFjj71?W`F{)j2)=m+N`BOv$*&#O z6*@y7Cck5h+0B?)#!PI;$r#I;59fSn))M`ua%ZadTY_B({KcLg%79IGZQuC6wb0*1 ze`EA#rLi~==DesQzOYA9|1zQZ;_oQ^U;Yh(Q3jw6G5$9JIzav=>Hk&wf9$`bW6*tK zFhO`uEcUm|L;HGPr|GYy_`le*Z(f^OY zZi2+W(t6fuKAW-nyV_@C;S`+z*Bc18`_y9XZ3XnO4gYL7H3kaqj?WMA#{B;kH#aWI zog6zF3Y!GCWI)K6DKNS5Dhx;k@Lc3x2AcW4Y1bUk0?-n`_*)s%O^dx@B5>XRPLs9C zjgS2xzu6bH1F%PCPsDMPBUWbs>k3D!2p|o>8pu}4ejyXU*2*~8ve-v(Ok)qu((eP1 z6OD4pk^WquzS{l%$NYbn7jxzWLn{Cs4#qy|xxvu$fKLGd-~%TIL(2{dhRy)Ag54xQ zKA;+KD&P{p{ki>WaIe<}s_orcBG$3VqFw_~)A21ehFklJb zc)&S;YXSEIUIcs$_!-bN4|}!&`v4{a<^hfboCMei*aCPE@G9Ulz;A#S2Vy@oU_ZcA zKmniza4O(pz|DZeko0MwzuP#Zumz+i-*1bBE#F!aW(VCYML4c_bx=m~fe_MZcO1vH%+40QqY1>^z_ z1QY{m0c!!50d5C833vza4Il`9wg+SbMgnF7N&&|J&IVisxEt^+VBeX+&}2Y9U?t!j zz*fN1fSmvvv~>dP3zz{|0yqV51>j$R;u*ovD!_$+I{+^Nz5oOfwhLe$>dB+vDeKLP zFnhvn1Im3HpcQ!FhXn;i?%nR#Pg`4?&+C2l(;Zc~v{Y`{fI~@H@d`Yeh|9jPD_rgc zvD|0v@c7!&lANl7C3tw!>RVG)*_W@Hmh~;J#^l6Y*i%%CH!w>J-L1!xR3SG1;)xKs zS{|u2=2ytlm(c6WRR7#BFPo8jS4;F&tua~f?jF`#bhER0TOD@in_KR2F-TQ$ktN|| zr+l`Td*O-k>22qY-%mew`Z(F3j7Ni);?C3ve!O>#_l{sEuzq?BH~yF%&P^;Yys;UI z3r%tT9iM#U^|N@77ccn2f?J*uo>4}_VAC|u2zVD)mzNb~S5?>YwJrUotX%Mdr1=yt z#<0k2{obIw%jEZ>Uo_P(H*fA;%t~}meT?5Cv*+J< zmWM&~8+URmu4RqmD{;Q)hejsyE^Qt~7^W|m1A>R5b-B$fFR5ORyMMg*3+a@TSvV|W zS$WaR1I?RqxF(1%>+;?y)Bx0Rmbtz(ut_4%yalZUm$jEK=S@bk<=%H^4)?=*cUg%m zH{plM5H;?x*a1{_s6}Jq^wS(Mx59xTBH31KHC(2(FNKaYj zg7==96JzAHX>Rx*>RxmOW?SXnPC<}*}L8{P>> zR#|ez5O?BCFrPRaP=I^)X~BHqDHcRqQdYa0)r4Vm{bf)-V}Uvg-tZd1 z0{tXREIV`X5Jc?)oO!^dmQ{Ehq)9 z2tN1oJVg3f{TBGrW{qUpC;>Nl^lP|_tF)Y5lc_=aq!7CeU5TVK#Vz(lx~-W8YYsRu z7C8r{dkEp6^v%Lqd8$}mhs0HErAzyQZ|3E_JhU7fDRGY);ej9Dtzf3F-KoYr ztEl@Q$%O>WG~JXC0dfm)&ovkvr4dEKms(x#rsJf$TX5*4QQ*Ak&UaA9m)Ef~W=x-f zEc1QtDqQC8-nW%Fg!JXEXLFC8yc1g^L!d+xz2T0um^4_A^=p*4FA2*1&PB!OXLP$n-dM7Du_lgMUwH2gA&g{Z0-+1V@I{Ymx*B** zLYQe-qoC3dRoOsgnBxcekXbcMf9k0%=NTT$kZXO0PTIRm z6s_c{LtNKb)zdo%Dt%cgCa*=Mn2qY8+~u_!*6k>yBSYGz8&A+a@#@5%x6gZOgP|u@ z21AD(1$lS{&IZHGJ|-3pS_7F1@Bv^0+?|ufwD7&=RGjMtymks?TbMIoJ_h%JFkRsM z(fduda9RSthishxvaD55>U>B1Tnjfh;rG6U-7c1O(|X9dfKZ*lq4@3(NCvzEyB%i- zL;8J)BXC{tlEoAAdFeBU3z~W)TN%UqcyER?GPoc;mSeo1=)H{k`f2w%WAtC{14ejF z6$KPS2il#UQSWA8f4b;d@eUC)G1Fv%xE5zH$PA5u%=on20K1u5Y(5jDhnumpAt;!v zBFV(`Rm(F>eMMTK@0z9hbvg{=x?7Jx9q^NaP97Jho7^JzZR+7M0k!~eZ>nJ0Dqghi+IafJDK?9$~6zsH36 z2$olLQQ z^~`M<+QLU{T%JAudq`-*bQ%r8(8%=BW5!OC_kuXJ^txos>8em`%8Ik~M8uq{mkW|b z*L<_WmKEuXi2d~8JrZ}Ea=F7N7-9#SIR3b4>BnS? z@g`gYwD<--(6XqqoT@U47!ya2jjy|?;xg)7+Q3JHZth?)A#vh@3H%H$42IE z=u_h7BCz`Fa}i%WdrX)=ufF))Cp)9_Bj1|=nN_|~m)gXLmMgQ&uxsv$K_`Z}gwYz~ zMw2RN@m+;5WlFl^W#%PXt6a~01~iLHpsj&E7k#oM93`Njsz(Z9T9!17%dV&ro4^ClGWI0sO;?vE! zN!-i?MF@5$Bp3jZN)|G@5Q7t*5Y5Y&;j2e}cFQm!aky$V zHwDvu$HwWEPZMkUWb<;TM_>N|`$QH`SZN(_zyVhO$W(mc<{fP_U>`9vtLB*e>N1mJ z<4kD|2b-(EonZB`=^Ag(67^!ukW`jhr!Z>eyLhXT;i0 zKWOZX1kukKIc2tlpEf;z*2o#-$Ii;nqhB2w?+E8l%lBf$W3qSvcp+4T(K?FyY3xiN z7quu}Qd3sInIT0YYbf;c3u+b~gv+V$O$NZ2FlT07-@H70&CHwV#iU!{L^K6AYOscj zhR~bCBc3;{z~bc4$c&n$ddVgF{3K>iUKpQxIvSC&)OyjVt=AFwtaPiKI(oak1qKV{#Jp9%}p{@tF+-(n}aYe_N9(zA_> zo-sNyeR6CFUq4_vG4GX=$dams=t8PcSg~OeHU5eDX#H-lGc_NjP39Pry$UOYJ7qS) za}k!Cd>zh2JowH@a1*PhO4cw;ZzB%*Ma$_H699tT!t$kFxEMEIZ&c*fT0I1?^U)vd zkMK}5sZ1~w$n;C+p#fKPM6=D?(NfWocn?WPd8}A zc%x1FhK6aUf2=WrVP5=l*C1q_nn)Uws$t-mJb4Y7yDqpMwA43YlRDT~7}icaYp@VnKR9 zLv-D&VVXrHQBvZkydm1-)2bgfZZhf{dVJdb1WJ&YGVz8hENjI3_3C01E4E*EeMV>g zqoewHR7om=s>=&;H>4*pNK>^UdgDU2>|BV9J1FYGOm;ng^<@rsI;_pinjEb5>-HZ> zFf7IS)qTuNC>}B4lP+Xvj1NH&;R^0bNcgXbWj13>9Fr(4V@OnQef-_?+89?yd~qhO zCBD^_KcPv6^>|)mMR(yM{j4^1MA(AHE@pXoD^kjJWP(aY&uV7Uw%D}+Wy>>nAF(|?dI+lFXieB7C~Or%Vi z74&h{i{6CDi({64+8Fh>7?a#$SuI5zRA(;4tQH#!OLFvDoY}*Ho(mIbt&r!U6i03? zrDhk_7GfzJJ5I1xD^qN5--g#e`10-cYOsoMg!K0i4dty~+3)Tc@D~=yV$zNcx5w4F zkvQYClxWdT*J#Eu8tZ(R{%|Wz?7jwUN%czBtZ>Jq=`LRVg+;kP3IF%-qCQ>m{Ag&= z(Bp|$x7@gV3v_NBYjA-telC?Djy=xkZp0m**@oz3W@F03C;k}eDAwJtFm{*kd6sHql^D6t5TymbT{Ww7hra1W7j`6 zkwSRpE@e(MV#<~rmNkw)t!fR2+jDL;9OwVInl+No_>yR_n#INuyTPEr71o$M#fJHl zRo1w&X)LT3h#(jiE{-JH&=5ldg!4Vxd=Ot(;^W!#Vvpr(e7y0+L*+ufIec`6TCYEd zm~g&@MR)38l-OqHPh6ex`S&&G#KT*B z`NoFbra!MQ`gIe-awN8t&B?~1ec`}sS*XWSbM9SztFcmeQVz>52DCh%WE%fIp6`u<>O z1Yi+>Y1SF@?@0gu>p1R1UQT=%?=%@$57Q4bfKPh}Z!y6ntbPpphaU&P+ys+2P1^Z! z%i5G{BrsISnUrhwMn@m_-5KD9NxtzM`U3*1#A5HH>YgF~5b?l@hu38;Q&rJzDFb zpx3T~jv*2mHGAAR+ISXN!X7(IEQ+*`nWHDWLBwL}^qCV)5MwcM=JXf~?Bb4Kah{Qi zj~QbQj7!SSnlU*YM_i*eQ?dFR zX9L#3h6!8Mg_!#lR99Ex3%Sr=bQoQ*RI3s=Gb|}9SO_bxzlypRf|yG9TdE}r?J>rm zEHLGB!&-h>dHLd+3bM&vjqvudAxs&&IZYD6ke^r%E!JDiJqojWqSMClprVHS8T#Y= zEya{WmJ#D**fgobe)p*b+^JWDgELjtLv>}x_6t^R-fzI)36Zl!E6cr1NYX4z5(M00x4SFcEyyF_MGE=#b_CE+1i@@04Z_hBE$Bb+Ep ze?4>4(z9+KId0rgr`+|`C^BTXKa$RCfcW1_3ySKgUkb7x;5x| zvgro=^qP$Mjx`9Y*l}VejrB;ANgrS9hk=bRyY4YC@3>$bdmB$53(`_7G4-W>n$bZ% zs!PQRI1cB_xn?lEQJUROjl^;B)mQ#{UBDCx7~!f!5^|;izgY!6qibin68s5Q8i*&V zdNI3MB-=5(iIa$;hu?jzgxh!_@(tiRZ7{C!8vhvgE^ZS<*Z6F@6otaHVW_}yfPqQsA zlO<$BCOhcz1=X0PMdloeW$Kc7@$-qeFj&d1DdY%eyhrp~t$Obt#%h}GT*I(fhiA-* zQWRPer|E`q6Vh*@l3rZGu@Lh`-XT)G2x8WpLrpXkM1=rfyI}eyiUlWm86tH!yTH0HP`38>G5 z)67|u_vzQ)-6tyO00fwYSCDb~z$4Sh8k`?bN>#m2ZgZ`d-q+iV$PsjMx^WC zfCDbr$RFJcCc!(*RlGKH6ifgwQe8FL>h>Mn-k%iNCn-co8JrYq4d@E!1=t6W3ot#q zg==82-NP7q6Km|&Cn3CF{s7}8`Z0C#0E^9;DkF<^G<#Wj84ix)yp`5aT2Pr3K~$}5 zfVBhUDCD&ArMyxlFFGBYiruqi!j^{ktM#Si@PK|o;6O13iLnnNgxIJ>lVt<)y*&aV zIM;6jON;WCEFQqx@1HR=vWr&cU;YIdYz8f;z~)~x_@W3m;dpxtGc#5oMG|D>A+x`n z!neCl=xw+45f1f;oWB1{GvuBRN^p;zEqvvKl{~nWEkyFpcIe(0E zVXaTZTsIsyv0}HXzN4WJcB`XvJWY2s(mQOh z8*#gH8{eisG@_VvTr%XMBY$@Y7qYPky@1ZC2!WaAegtz>6NyL|A9h3kJ6GqAb*DBV5*#DV%<0EFMfY06Q4GL zCF_cTGNQT(xKn8ic04LxP=zaVI9%yYuwOks_~Mj{W-!u4qo`kYKL0XX+C2?LG{3R= zFd5aY@6Ya7@ObK@L0U$X2Ie&Gh6W5@vZFbM2GiWh?5agnvSZhs-dPw|PkjE&XM%YW z^5pa9mV~Lz-p22S(l?z7ZnD9KvIS_Km=_hVC@zFv(Cd}lNMhpXJ`eV{CBM5i*PG(R>H)8dU-L+RUP5`LPn6 zuhOyxK*aXF4&PX;QCcf1be!1uxl$iV@N<>NNPIEI^aUmycK4cq3D=yxy6cnrqA5go zo5iVvV)MMmVNpN*!df(8%yqRGr;j|N`-yAs;Ip0#asctp7so6?NBI}y7p;nVSeAuW z)OzQ*%;kx(`HH3y?fU-0-76k%c|ZxFX}lz6Q=X1uBw_Aji@`}2x=;1jk*f~UpqH^M02 z;^UHR{FT`LEUw+{85)j9*S+11##bj2=dI!XALSFnSK&p&{=N9wD!CefRju77GZCG> zc;Zv__w62?yXhZvVytzQ6wz)2mU@XADt$~d{A1H>>C`cTHSA~R;!-SEqifI_2*#lK zHOv>KJ|Y?^C?jWTdBBJp*nKi82!myP!q}hbSYrr7Tat4DB2a5-M^Aq#X7Vzhf+cz! zG-t=W{sgi8RZT!lU91zj@ zMj4c2Q_Q>pJT`mBORFkkj?a4a&$y%2deSoawcF`VnByjk77!V^et1%7_5Mkr^?>3L zNuh~=Ie=?{{}-^XR3LOjQm8qgBcMB=KVUdOmsO3v>9(Y%4D(b{1-Z-6+uv2@XJ<#u zatIa*BiV(X2RHu>$1&i~;uv7!a9ag8%M|1A3px>x3<&cs3u8nX(y!$OjM-HS3cz*u zX2|GReURKgtk+m{vtt4j*4=ei-`aV+UmQclRlrLMme$}pEbhC-73bcnz9b=icQN8L zYyDaoic{)E@R&U!vub#@jMs_d#?%?gRO}Clk5n589}zw zrK)0amJ5h0A{FKXtkv;&Y0Kr-(cH&Hz_VF%CXVTwH*ri_#GFZzYnGztrLZ+g5;c22 z42>dNivWug%bcr8zKy#pff^wJ0Y9u7wIrfWD$$7QrzB+HjC&nYRbDqK{SgF6@`M>J&UHWB--#q1N&su#V* z2DdObR3K!3U;ZQOb?P&Vt2H$~1t_)TMO<^|$~`HRvCQWKOpf}1@^LCo3F?gx5$=A7 z{+pNBmWeYjGHYopGtw1=yD1eDSp?|j^@oYeGT>O4|q^46}f&`Y9NBe^~x?_`fYvYoF>cT}*d*b{@ zSpn^vzB4ao^*3P{T>zZ(=!y{IAI0qJN8-|waC%D{>$A71*b9`P9NY`;bx%>Sv=(x- zk7VB-ER&Y22Sq#6xA(bvR8$9}WCRtQOSx;e2$zpLXUq6H0|!vz3u?+1Yq=8p#)N3; zRDJYOyB572ATE$$fo=CpbN(gPbA6hTo|zT4r20pxd}D z2MsQMpnAd?4_%Q-$X-9NL;6*7`i#G`W<=4G7v-*f>wy_J ze)(q6(|`QD>{FF?dcWpsW2^29Z(25J%>A<`ZF%^C(?X`R~zBeE-IY{hLJ2 zZrRSc8vXI@vxbj-`u%YC{q#3EB&pWcq{L5Nr1#jJVX!mE|9rOHqzs>pLv-9Vl`pdnQ z*CiF6RoOcC;set&uOHMe>F&1EzIy!k!=8Edog=RO@U9i7eRJW-ReLQsC$H1UEB5Kt z?dCQ^lAr%$+<)GAd)Bj`-@V|PpD(C5J+%7xb2?nT{*FEmUG?&a_wW2VH}qut8NJ^c zS~Ts;{AEl2IQrBL)=3xM*>dxim%2Utz&C?;JlJkR%FDwJ>Gkp9Wz&B==Gd|(SDkoU zyESK@m-FG(yM}MO{l!r|pB|Fe|D~r{Auw+<0#DlW+RA`v>>GFzCUD z_nVaUVu!imPmWu<^!vk(o|^jDNw0PJ_`L73R$X@X&?|1eWz3{!51mu`-irCDpLMS6 z`^$*6+XIhZ`hA;^u3Z;7{@z;$T>j`GQzpMwd-$UFJ1uJZ?Ex!u_WI=HA38m9&a1sH zyJG8*6K-BVZt?RgXH9tL;04*A53ktk=dNe{n6&faS6V-M{mto{?yl>1;^Rk6JL1*3 zhfVx&|0DW*(`7|7HX64x;4VBrs-G3GB9V0WG&^<~E~u%h&9Qi0^;qsS%duw4V9*URqjQl;Z}`(;B|Hk>f7sxm^fs$~ng56jZa_Wskw^l9OB*U$TX|a1k`PO)O0i*8{W~%e`*EYb9Q+ZlCBjAJ<_Vy1h~6r5Wk6jGmvZWXvT= zq4|J=0htD7_`Y4chRn(E>&?@mGd_$OR8^LgF07G(+U+Y7_{Ej|1%-7 zd_#BZ=A;nc;+=4OAjJ20uRJXf;@i7Ls{|H+T7_uKO~wgYQq*C5IHut@y{c zbot&c-?jbptU!q0cfsWQyL@++@9***-{1fEqkIMIL0dU#`WohqX9no>mw&C1z8mN< zD4xj|E46p`pE?_g@4!~o8?SP$t9{@=k5FXGSFc>fn zFb7ZqSO!=FI2W)Pa0lQqz;?h+zz=|=a}XZT9WWR$4loB$0$2uE12`A38E^;SF~D}f zPQVXb)sFb*&WPy$#6SOYj0uo-X%;4#2|HK<-U*yY&x&(9558acF%atX zVp8Zkd_VSkAoO3D?Ve8xZT}+>>H>2pV5fz1$nbLjzT5p22vy^IG`@3w4rp`y&}8p> z0_-0{oHTPmx7$W;!1wv^w+ZGgFt34mKg{c3z5??~n4iMD7N#1O9J&f-8<>~F>;v-( zm}6jG26GJiF zxdsRM`nSrM>_eDVuEE)t5MKo#P3((^M*#e>Pa^K7$M?MHk9IWq);GUh`sk1LF8%C# zh|`^BqF=7hl5y!DJTs06U=^UDU(q?qp>T85nY~coS|)|6S|x=(Y@HOkt8G$<>7ByP zu94qXBtjmsoXHE8GkL&rX5LxO%rncGd1X1<%p}TL(Om6Vj>{aNOp|$y0CEAV0NRq7 zY2deN_2DDwv}*M^#g5MTi(~^j)!+>bJd6MX*0LvU2zY;Blgd}CHQr3irMuIG5fu)&D_tn zi}~=YV&46VHdj6VvNpH<`z666Vs7jGqTs7v5VPWWZCbxSE9PO(h?)Jgn6Esk%~cmZ zA?9(9i+R*z;y(XT!Sf#xv*uy3KlUNP?|V@2m$nJsR!r;qe~JC1`^9|!UNJY`Bj&Wb z#Z13T%pdO%^OM`f{OUF_KfYDWBxAO}MetEKi+SW$F-vX|^W80CuDn6aZr6+X_O)W( za*dd4t`_r%&0-#Qm6#=0in-_tF;BQ$%*!tm^My@fhK#xH62Y@B7W0`4#XR}~F^6vy zGxdBizdl#Y*Uu62l?`IPz23OjiJ5B5L1znIv`)<2v&4L2t(c3>5VQH|V!m*ynCG7& zX2r>3&RrvB-buz>ZR}4Jv*H9X&p%$wZL7rm`ZzHwjuo@{F=B2#O3e8y#f;R7X{``* z$1*Wrs1b8pwZW^5d!?9`F(XF`o>w7eq+HBxOT^4A6VqC3>`TR5wMfkQ3&qSWF=nwb zi;P()=KKX>t|}07+k7!CW3D>f;PZ_8pI3NN%4Ui7V0Ca=jOqkta_JElMKRsdgg4r9;2k=U1a_EJt zlR|I9{1EUJfcb1_+^9G;Ne{0tt%YoGCiZrmorE_B#V+1$`B_mn&IK1j?@SJ!a9L95 zZa}N6FwX+et|_21V1K|d0Bii{(b#-Fe%kDwk%2jbat24TW-q{V#DJ&=!l}&M)enTEe6rDhzWB?7L|n@=xNu&?%g9%58{4Y z2RGiY?+S!2Zx!#3BZns4P0s{EeJE&|pM;-(fb0Ik>w(abLD$vG*9_M^?_KC0QsUjW z=DY3{p9De=Iq~juD_r+Mp9eyH>xJKWoa;_q$6;;b!@qK&>;6JG7&?@UGC!gJ`|Dlz zeroVxS^ejm{3H?X?=DKe>4mz}Y`G2F0GW_Sf?9F=a zQ!-rlDeIF$ZzM$tkoZUKEkQ90#>TKw{Xt3-4HQaw{67|prpO}4)cir7~{W#h+ zli7lHt)kvBXQls@_tYcsEUN~fEH?U&+F90h=pUBg9s#Qu zhr{ha@0fKVzKhE9W%rY{MS?8AgO}C=_{P>->@m}utZ<6SdJ$IT z%doYnaIsD}14$~2y~(XmaxcR~75fmPN1Jd#YDobe3$ljnB`!F|lfS5x7im~W87sXx zQlIj$Qkq#7P7C7DU^K;xVdutajcG23gyF_GFNNwB@lIA7@PR?KZ|hBiabK3cyw^%c zw?}udsI;WkdfA{jUm@JU(L+qCts`2Cw~Crr`!9`s^r9wf6PO?yb)y*|pZ3#iVyBve z?DRl&Wx7j?mt*G)-%+xr7%LuZz!tD7>kglVX4g>EQ3j%q(xsrqdcfF_UYxq772cfk zVg{>uTFN@Y*zsH+?5rCNUQ|<&Py7Re(*B1qdhY%mKKi2RbYFbH5YxDOEeC4 zR9nXx3&x`@UW0{+MB@jbHu!K{dXSF@_Uqq|*XHV6pAR3)3$SMq_6$;G4KkI&O_j{M zS2K?z&L$M$tq8-cpm4Yw$9f8QZSC^Pf(mPg{?t2NtQ15<{#KVSE?#Pl23As8Tx`%I zV$dqP_$Fse=q%JuZnJf%z7BX{4PM z&r)Q*tk`M-jz|*uWw@u&>I7V97d!_zIV)H$G4Bq7DytB zFu%AP-dfEsv>EGB^O3*Q>Vc+2yZo}H)~Wo)nK4-Z;eNvUS2UBd$|cKSeK?v&85)aq zAwOtWWc}iP!t0@I2(Y#`@uRA;aFNv+SqDj|E1D;V;lHvt3AzULpvYW>r&K095KTY_<}MXW;4Cg;pjy@Yo=(u1<%;; z${2Wk2cqEYEDf~RFyjT4RmJEAtu9EHEk^oJYX;jS8n8jHqiZ?+@yGdsvN(x5s*PoR zi#*oW>WHk7z-w#EaLmn`r9Zh@*;=SSm!fMB{`{otBqw>R5$}7bleM+^CEVf6?bIb` z1CvqzYHM|skVaSNSzus2%0tlcTI)Rw=e`$O*L$e$2_DucyKU2a|Ls{IrWUB)wY79* zOhYurRP|FQR-Wf7_;QWoHj?r}>k9pyuiH|wOZJ!A5{0R~6rf3fG0|+HJK`gir)m5A zDxBvpT!hZc4SlPz$GHI4>UX~ty0$8-8qEgWs-h>;yIrcSur(ToNvf^xXelUy;uY3> zG>Y0FyuX~tq}pdOYiUiDhi_ncVE(<;M~XhgIxPb zT&0}CC{WV}vqtH1)iL31PhEG?blu?uel{jE_d;|qqY_6IG(+vFoA$(6{4wyf64eKn ziK1GUl-26P?5@8d+8<~0r@-Gp^cN*dszI~GDK~rQ(sK7aB?dRN7_rl7P})-#XZouk zUrfZr(p*9klfrA-7w7vcGcD@^^s(MmCEOot9gkUvXT#n7)>EL?v(eX^TDi!jXNBs; zV|7*^r0Tas16pETnc=f^Ir6mMvS_(S->w*Yd9Kn8(;h7JVmIgQd_l>9E;?%zRaSsA zzo0_^30~y%!D+9uD6C|oV$XNrqoR}yweC0Hg=Lji2ee<>BV*Qb^IcuO5ZB*YuSA{D zB&=>|dL{;<#~YN#?W`9KA{=At5uG|kp-rHzB>)58f(0=Yj@EuC4mCk%|1@f@437Hl z*L-uD+Jfk&6? zxt^uDJ%JTZ_ zAO8tOPssPL$vOAtLtlcMlpdXWJ>;U#uUNZ$HkC!S z`Mlk^FM1%?7X}|~SK_nds84?v`|OsLNZ53YYHnB#pEvn&?;a7WHL9iS%}fK#l_1tS zpA)$xH)&XNLB1P8U$TR_U$K6Wt*VqAJb(M>%u>od#K!(|V1<>1xbMc=D4#}T`b4k- zJa~+*h(9R-iod5I^=bfmSA9d4%V7FqrC0p00*D?h4N9s}fSjDZRkc+`#T8Y3O9u`a z+_$)_q^}-Sa%Nh67u1xN70Lgiz6)`9r!ad!&cK|(VwGKuVJ5~J^jcUViHw{X8IaR| zaL#`HEqm2kq{hW2rhF*=Q+-BQfNxC-m}9ARbWc{ZXcnWQi-S}N#p zMi&UGalk@BwT4ErnhXP$CYE`??4-a}8toa09dtf|v>%*~Z|e+zvKVQ=J^}xCE1P6a zHaXB2ne1CwSzY?KF=z)Gjj~EKJ$=EEop%L7)FJ+a4%j{p-Dy`8oVp#&tJ(H`@a0G@ z9pRNDymEwBj_}G6UIm0#j_}Gcy!rr9CJief90enG zdyNiCUQ9C5O^${npF^6v8+3T`JW`cqKd$qen|wLL_OVB3bWHMRq$=Bx8T+!X83#HslhwK5I!H(J9wWIX$TR%P1gX6K9#gH%BCM75!${Ax74wI+ksZnQsAG1!cfZc z@Zn8B&5F#JbVXz=G>>T*5S>}bYIqRmC-IdiA3~+yalXN_zk-CmlPslGB`9q zQpgJL%{T{1vGxgnf)Jr0Kj?7%!aoxmhFLCR85BMp848VKmQ}`(@HkREUa;KoQ}j7O zunFObDB#fSu{xH#@JwQJ1e;~4<3LPuH(lDCwIyfrbFWMAxdc24gmatqhiNsdKpnE1 zt;c`t9C#JZUm>2<@WgOc8*BxeWEx($6t>~Xf(61$kgf0(w*=K*$Xs|T<8J;d{*_<= zSY?N&x4<}Ph4W~IvawQA5eHMyh1=Cq4)PX0a0i3!4O*_pM_G$-L3lt{*e{?Jg0+BJ zC|sDSk=$@P%y5w;JU^^3n+O*RRutY3;lm|@EegK@28I{1uBwc(77MBdSm8y2EeT%_ z7Kcms(Qz&fZvkV%M@YEpaA(G{Sg;l0OHhd6CA!PYI69ot4OltdoD5_zhmIA3uC~Mf zB8!d`^b|XMED5L-^b9-9TQtH|g08c}wPabfpd0LPIm6bFJ}2XRJA4Rob0WLyJRX6LA}$efdLgB{*MB9CTXos4Jfa0jBt%+~1h zc6bUsA16_LWQU(%iL4UzQ#)LQS`j{8&@b%pwIt&NLBF=cpV0G(f_`U*=OfPWYC(U3 zXC`!#pugJT9z@p&x=MvRGruPbx>|+vn9wPLo}$8^kg8J!Jwt_0qU&jbu2bPQ%Dq{2yL-C2TOslxZrb)BHsC{(pdD_kd~aDxh8 z#Qd%obc+gC5#1o@jVk;q6FP_O+sW9fT0nOaKCf8w^)}UlI>qotc0W$WM=JaUbAQ26 z+VxWveuqu#LP_@1bSss$+w1iXuu@rC9^MuGA8@9t8;-J4S$rN2cP8uMt*q1<-~{bI zj9!#2FZB%AFxHkIA*w%`S!xG!e^FcfPdX8KdlVVECVZ(hzfF6?H+$5l$ll9HtIEg? z?~?}Xa>2%ghqF#!A=rfQ4fJ`XU{k^=bh}Ehyl@p6uvxHK;c;}kTClm{6Np_;3RK2n z;nz9<+ahlB!(&)pHwso1K7a(@B-o>M_7Sx!AZ+!^$NM%&(vbl{+ zQW-0()b$AGaSPj5At77g+s}h@D@M5uNe1@HXkA)TWbM_B5w@=2r|v+lz2AnHK+_)0 zdVyP3vpW&WZbr=xc3_fwf!n^UOvqdK1W?~}$oKH+ zWPBG1uOnhs;0&{d>`H;|O!g5Ho8UY!nfe?hmV zg_|(u5kUxEPR4C%;S?~l=>g(;Us?;Wq-pLYI-PB4x+XLoDUOe%h40-Ten<7tL7zwq z?~PW{bhMz)q=nbgb&Q}dq=gsIb*!MTq=i4p0XlAi4*Pmq_>{gt$LDMG?X>V$L?;}n z(H&{wHuOAE(2vr>sbtM0K|f6k|JobqWI?}33;#^dQzYiE)55P3ohs;eX)P!PHl2R9 zj`^pwa3>~|cY{WMO$%R5^gxMfReHD=!_ItLyRJ?TA4b>Nf}WBd&IenX&Y|MW$v7iD z{GSd$=ceyPbX|J*A!uxy9x_Fv8`8r#0B$usv`nMtr-ySH)nSs*Md{%uvw_Y#S-WmZ z5C2Bj!v(!EJ$xR~d_k{C4}U_>^98*jJ=~qyFSuHV-I^XgiRc31^KI$j`-v6`dRKb* z^PWJ9B)|8ihws6d*R=S49d=u~?!cOsNC);vy6(W5E;PkGDm;r(En?r|WIQp-N@Z>I z`mgDj4}udMLv?@D#B$C>PZ0{VNrSbXakk}GTSkYzswpZfdMwzq85Qe+D4f`j1q0bY z+Ob;L68b4rPgqZ*HMu9&uqg)8hQ;bjiXB5+{)78+x-;KCcgh!VI*(4q3!d7r<|Oio zn5gA%!|LF3XIa3hl1{7|J|`A{o1wLIXYohf5o!x8)KrvS(^3|b+85bw(d7-;1_STm zf4lD)LO8>O+G<87?bi&GLU6lzYFhX{)Q!}15#TKL%&8enm)%@HbbhJb#3>YBLo8F= zQY}@4t}C@W<4;@h7$EPE_*cA7mA!XC$pfY?A$dz4~(E@9boZ3@{t0ru<; z$;~b6J9<6Frp-qiY1{F2be#6lx(9zY<`jwnb2{g$%GdoB`Zs92eQ7 zXWIo)n)E#3Sp*WAE+kEyISWk}GEMFi=zJv@WM9lAg3b`qq)E6$EC#`%OChu=_NBBa zMgXhBmq_$PhPvBkg4TshwCfgVr|dh(MLVx6#~}|{Ouu&;ml}GwlaayOT9k%;7bOgP zMx@ZP?_ty^fiWnV4kMxT`ISx&+Dsx-C({W9w~Yn25u|j~CAW<+-#~N$xv`C7;R8U^ z5aC0NN!6^dI$Vy}o+Kg9+Kj2#NAy#EqFqE2{j{Ixr~O1f6D9gNO>~E^k-!6};`XaH zBR|a}_Z5=+l1J_`^cBckIG6C1(DMBXKWg54% zpAdbDXoY2eN(w#&5?N;1pAqST-6fX&Z}z_b0prrD7FhP@%!*U9`nWDw%e3q-E``6% zpsx#-itR720>%v?U8t$I|8qUiG%%va3C#K~ruGZb2UwxLB{~e;*%BFYJu z{R0c+UZMwrB>P7lmgw*F{F4qF208`*?4Na5qF?s}`d=NEXn-~Q7ouF!=FWJ_{*~x3 zbc>zuASZv*qioIU{LXcB{her_X7vNd^>~R1|DmIX@2tyN3erD+2)t*pW!qW@l>z=_ zaWZEsU2=yK=WNb)bS1iwIHz-VK)b(1`~@Uq2Q}UieOK0VbWhUwRN%cHAf9aR3#O== z@?JcZYljTFwAUXDonp`>y*iP!CI&6bDkO_iHEkSMdcQ&6O?BM8sh+a;(%)G>&|h=y zuP@qKpLa-eZQ!=60-@~L*!;u&7QHSFgr)#;rUJ^v&2e!r~m!nGnODZ{gKZVMNZx$)yDMCE&A&#%TcqaMck?($<2OZBOUp$lC zcuqq+*;n9@EkNj5?2AXft^T*b7k@oV&=qGoq+u$U-gD(j7yS*W)qeom3>RXv3R(T$ zcUS|qpc-erOyWP#_;19oV5xqn@u?_`EL=;Awy*Jvi8m!@KGyh`za&R!fsY)5i%33e zB|gp4P=IR@$?J+}Bgq7~7Lj}&{R9p}$D6#7pTWRQ^dbuoNfS})^r}NhRvl7O?dfzj zs}3nG>}xeDs}3nG4JxY+DXk1Ds}3ox4JxY+DSI1KRvl8>7*tjrQra3+Rvl8>8B|ss zQaTt^Rvl708dO#tQaTw_Rvl8h*cTuh?y5sd#NMP)S#?NBH#w43hm`IHl~sq7EW2E1 zP*xpM`q%?CDyt4D+4e|{%Bn+3j!jLt%8*rulmYe~8kJRtlwtPq+Ow=Wq>QwuYgbuy zNEu~NS#?MmW5|$Ihm>*lecJPUln(}82#UeLL9j_-VdK-+_-(P&@ICNvP-jC)QT~!OEea+0@SxV-I&k4*rRDBdoZDreGZ(pl07I&_TVI;4=QPPL6Zd& zC3|p+OM8Gy_TW^;-TWB*6D504lX(ED=nU?7@XBC0EHFTqKw%*@LBAJy98= zWDg!8;Y7(ETr8L<*@H_mbu6M}50=x-Q?dsu1T{+b;E{qFC3~<^P@`lIRtaj9?7?b5 zjgmcBL;5@=dvKY!8YO#hxu8bL9$X=)QL+bDT41B6WDg$o7&7N6*@H(jubz@Uc+7T< z8YO%1IEl(A*@LSDHA?p2@q!v9d+-E7jgmchqM$~}9$YP`QL+b564WT!gKGpeO7`H% zf*K`z@DxFfl0A5;phn3aJWWueWDlM$s8O;9&k)oo*@J5ZHA?p2nSvT6d+;nljgmdM zPEey{4|0nQStm;N;Cexgl0CRVP@`lIp2LpFRk8=q+o}0#l&p7o88cBwk&jmFz)LvIjSvi}37AsALabMp~6ovIj30OqA@wD+CiI zd+X(yp`joQL+bbBa^g}y){zuxP{XqRI&$eZwKzQ8U-hr*cE> zLw8rnt_HJ~xJq`lFDsL)WLHC$!KbHWSNoAjPsy%^k{VCRu7)vBo|0V+7t|=()&7DS zCA%82M#pTF?CJn<6(zgM?W!X-N_I6;9F3A)jk-n$HA;3hT2P~8S7QVIRT}_dgjgnnW71Su%)%2FB zuAX*;Vlf9o8t>Rf%+9M#-)gn&K8EyIORUu2xS#$Xbp`{^ z)0*59YuM}r(uT$Ai-{dWTmFOlPjqL#eeRr2!>Ju?+Z+_@L?YVKPSfiW%|0a<7zpcj zEOtYa=NE2;ux`UT;7i7HZ#xv}we2IR@_bDjR*!~#8q%+&Pu847K9T0jbZ2$&xw9!Kn?4KkAN9Ij{&&$*xLSOaUs{!(9%6Z7?t&|JyBN2;mG9YO5L9 zUau{50B+~#=qPek+(Ug`2d(_-Ll0XaHg|+>tq-{ZbzKK8RNH;X>fhkhX-9X2&nBY^ zT0!{bJ`X#ajIi^%aDmM3%Qc6!aO;W<>6YD(sH#~UnU(=R{re!`WpIp~PC5o~c-%&G zFAx0;DDp7|SxDuvHHOeN*0I|2%$of+wFWD74oLk&k^@af*d!zEev*=YHLXTCItO{_ zPed`4NQPc&7~!x`_ktwdO{?*9wdbHS0UC&I&oRJAhh-mha$%FH7cWL>KY0k#y&4+R z(GF!9Ra4O&TK_THw>{5l&qIFpOvZ4o<`79*_5jK1sH@2aatLZ&GH8QgP-iaM*c(U^ z7}S}Y0PGEcInt_RWl}v#seQjW<0)If%E;ftvZQ$K?>2}q)syU(;7JVYxa|G(7%>K?*Z;iMCfP(d|em14Y_iu}FUa)>GHM_KMZ7O$Xq*{l)ZW#h2Ix?=a#y7;3~Ntd zQs+rLjN_cAgcoR;I^-I7%|b&K3|&LIX2iLg5om|PhjVqb_c0YVHjV89mN|maZ&Yk< z&2joAb95B%M*L@H;{xq}6!2aJmVKel>3m|StAbqz%<55u{>*-ZF+3v#li1NuM>GDk z&N%uFHpizm{iIkgSv;+D@H{5*tWM%x67W8wdroVne`S)(u!H=0T@oES17-bxL6et9 z6q$QbV@rWy1Yzm-;2~jqy{`2_7D@WRN7gPsS-V`adTO$E>FCa2chGxX)w$w)9@-;Z$5ydDVgY6`8g z%|YkyQ`x$k@+TuQY{Q?$I2+x?ug1ZPw|!r0Zj*-1V`$k$K9HZXeS9%KjmkC{*hqx_ z?4+bXK=y}k0h*i(mYDtFA+tZ6?H3|l$m|bauhBqgBJzU$;ev)jW`DS#sUfpJT+rsB zTj_ak<<^$cA;GK%h(?-Xs3|bA1-JlWcG&(+AU=EhYOk&GW){??GrNl!_PuC zps5X+{o&_mbWq6b50@Mb37P%jf({Rv{o%`W26IDZfA~m^jtQCl;VfsBF%CDIg614F zWTN9kW`Fqg8l4a_`@@gdo~MN7FoPrQO&XmQGW){?og3PY&Jz2>g^a^OW`Fq2I;#0- zj_go_0d6NvVY!(t;mOQn?3QrJwdiSscS)w*E#VS7^|W&l4%ck@|@K{T;SG_fj=R;Y?rY7st|f+pN(V%32|VAFgFcrBV(C7M{ZfK~`bO{^+B zMkAt$RYj7pXkt~dV4{gtC4z}2RxM;HxSCkCNHEdFs?xPOPSM1wBP5(?V%1{7L=&rG zG_k6jZk{GqRS0S{vFb=cjV4xA3Tia5s!C9!iB;8t8cnRKA$^`ERxJ}(qls0^1vQ#j zwL(y%iB&6K1NS{mtU8L_fTxL7M>DUUCRQD@MWaR&tB#YXj3!pC64Yp7)$xKFO{_XW zP@{=eCkkpbv1+xTMiZ+}64Yp7)fz#KCRUv+sL{l#Qv@}dSaqtPMiZ+}6Vzy8)#-v7 zO{_XYP@{=eYXvo$SaqhLMiZ;f64Yp7)jC0qCRWu+DHu(xS}&;4#HtN~8cnP^haHWp ziB;#_ulZ^;v1%jRq^F5h7rd-pjV4xID9L^bO)P7-*P@|`WodahG_5R5rmGtcG_fo` z4~ILG^>Aonp9N0P{;7#&%S-(THjFh!6RR#Nhgsq?TCsCWX`z>#nB+IZLd2yW-Rm>)xS| zT4LQh6;eyAyFnqf#JYDWq?TBBBYzjICDz@5elB-w@xuWlp9#&6!CBs)1E{_ zMQ z11hJ8r?w#K4wt>8CARYS)|RFuTAE^8DiN}=%66B6V=3Ad;Cukuuz(d} z2icodREB*h!+Mc{=foJAY|Nn|1KU6DX%30>c3DD(WmbktQ9G8A%kyj(LddK0ODlu` zJ5=X{5Kx6UoOM))BY4{kBBzKa)0k&D5EqCL6yWn#A|o+1o-|g2nNspj%2Ay!AGf+jGzq*Vb0cgHLr457jY;lgFQ>Io6;3tc*EGzYu9! zO2Ohw-aZc&PSs3&cAL(er?inutnjH+>Wqd%qb*lU#AB%6dCQ|3@XH8!?G|X^_TF8- zxQ-GQi2nTr_}70%X$qxBePAAGSnME-sV5r75_TGoMdR<1;znU?y6{1ew}sP4-Qg*#sWpbuUbThY?A{IEIHub0Ngajedcd$!ZAd(i5QMH4p-%%; zE&QsjFde&#wp=6N>p<6G?bQkN4?>uDh1%DmS8uZAdXcLcaL=KDIgmxqdFj6R>$MDk z)5PwL0eAq5&SMc~`+8ifP`I6`9FR=OQNARXM z7fW5!Qtf~RAo)ax5pMAk=zyz)(-tyDvzJP<{p!%{U~;@n25mB6r;0}{nLnfB=4Ne& zZ9JOS&P}$R?%nuuyh*AqMOAI+7HQ~8!f5DLY3OA#?k2(H?aBQY#*kr`-;3}Pa{7>E z+G8%X^@q$~@p%0WwYp0*?nCk=Ut6DR8tZ|^wn}5y0n&B5 zRo3l0gk>>q6^0FPUT`tKE6`{{Vle!J2sWSavZD|%CY6sO$k~2Rx^+1~KC17_s_*#+ zNXC)d0HI#PU#|ibI8E#=c4vVewmDd4PuB@4$N zV7nu1tYmc7(Lsl{qk zQ_#tR8r2k(TTr8#f(i?2R8vr8!_%k2I<&s1>sdHp_<8m4jqdQ;>{m3X)Mx zK{Bc-Xfn59ymC=ZL0=n+q)|;lGO8&UA+giBz0|=-3u;tTkc?^y#u)C|aO-B_&DfI@ zji{zrTlP-CV)c4307)lO)aPJBuofkvJ_j1+6x_!Wey6^T|AWmMgQeQh8U9m!t9o2|QrqhugcbjT`6xLaIJTHz=g)bF@w&RiC3oAXAhGWQuN5N>!hu^^_o|K1Yc_rYI4}6eR+g zqC_B5ln7*sHu9&^s?X6T4w9(P-0KpLmQ|mldzf&jLw9@uNFY<81~L`aQ%DJ9Ds12j z)G6Z5Z7;LkK&DI&@+dl3sJ0u(lCY9zQK!z589;n4kSQ~e zt0Wi5lo`aulnZ3a4CWDwaUfG>2!)ITnKC<4nmCXtvlIIi2Qp=nflQfXAX8@O65(tE znKFq$rp$1f7*cgMkSQ}l8QVan%*d5e)dn(Uc2&>@GG#_7XakutqZPD)Oqnrs6sPKJ zAX8>Hdel>OHjpVZ_7wqbAX8>{1#KWxW}JdHkSQ}>K^w@FnV_6)AX8?df;NyTGwB=Q zYy+7xEkzOAwSi2T$;!$GGG(TW7OV|q%1l+z1~O&#o-J4#$ds9Ou7Ea>DYM@_0@^^P z%=8xow1G^S8QPEyWXkOSxnOM|Q|15#Z6H%-rh+z*DKkq!8_1NI&C@Jl;M3j0nK?Sn zHjpVZS3w)dl$odfwSi2T`HfQ71~O$1)EAfyWXdeC)2)F_nT33}=x$-I#`yh(Kqjss ze9!Cqs5Ox392Co!UJ37VAv7znrhIQXN{ZJf2?zqk_n7Zp2B1^|EwnZjXB6MZVve4X zVw5}$pqSH|*A!n2CA0cBvXU(jZk!9(;j)1=~srQR4andz?_csN!{z zyRfIW@|=zF}D8AcqLokTwB zYRg`xuG-1;02ecnTv&39l$P^&V{-#5OpyvKen3zaudsAa(HC2s@?A(+!^?h#_ay52 z3OQF$JhLwuo0iHW4u+~aofMFVov1p9=x4}mv0;sarB-JlJeY)A`3O|qNV5A#cDy0U z4N3-X$B}hCx>;Qb`{)U*QZpOM_KEzocX4iK?XOw4FD~xc#&B%y*3+{@gLZ1aAU?Ob zG!H*o@VYg1v>_FQW{=CKw?z%kl{rtkOKJWf5>ZKRw-wv@SLBIxf;vtZ| zg1^T50W?swxP;nzBlnQwU+pDqVrSHJ_cRiQVufzW6RJgo(*3e6GC@xHUs-Pum|zDr z$AV%V|0-vqi->j=MVkX@RJf}t+~ojkuxs)f;xJ?l?4OTH_4sQ%13=?3yez>5z2AwE zQ(T+oZfSz--Pc;1xQlG=jhooWCO!sODO+1NO(K)7JmK2F$7h}p9;|<69*^IWM?sgI zm`{?&aDd9=DdADRi9Fs02DTFRl?+x26J2%hkPH@fU3PyU52xx?R{9kyeQHR&_;pII z3+@wgIRMQ#-OLn@VSzEkA1XB6dAznMX88_k24eaBg6qN4SWSDG9MjSh5rJwlSVd;t z=d$8@w%J*Ol30n>5;L{ep92(ENyWQC+*2%=tnfao`rcYxn`BSk1=MnlX`(^Kzt93S z$oMNQKqF4t8gZKVxTktc)288ZbcuJ4y%drH_tXENTK56>#w*w`^pFqiO9F^|;4ns*h)@mV54_h*dG~DlJdfO6@ zG~D!Onr%r(lter$y!C54u3SCF z;b9i8^Bzp!o;ex!i;)C`i9*CawF;GfEc=w-x&hDs!3I1(e*@kR?Nh0y^$@nzK9y4Y z6!yK#>b1M>yrg{!CkD#1TBp12yrg}~OWLQrqm=sa9lIvfRs%+4H__CqQ6;$@$}MJB%u@8km1?{ME% zj8bkr`y=5UZUb)vZiYJ?f<>5ES|&?@@XQ}q7aW1X-2t6QnMFtgTLVNXMojapLWO$fFJou=q79a zf)D46u>yGo?OA1ig@S@Pgbq;3bh{(y44O$!Ze3KcmGx#R)UIFxDQ7FxvEW)lbN()r zr3E~2#mrTx0QN-K;2_+#=LuS$lkyouQnLd*Q%|$7!xA+6EXz93kp4S1 z(gF7O=O`B#8PIfOLV=UXtic!(@HI01O}@a3z^C4jQJ1dx_#=x(B>`AM-d&`@(g-AH zqFNoQmGN}FPC2N&5l{tBeOZD!6+7bQ0^HzVC9@Zpr`Yfs9GihTVxz@xvEiya*_*3m zHQxsEss@r>B`aqu;9>}NwFp+)4Xipd%*i}Ln(vGui@k(R^Q3D@*v2zmV)3G^~;A-_C2I-sPBljhaOm=z5n+yLWf(d!zJX zKA^f=$fAqOi8Fh`Fafq7P@-iTsYs4f-_A|u(iD4t6zUamGMA=U?KXf_Z?VqO6dU;n zaJ{fSRPdd9aJKvq1kaOdKb4nUpRt@d%+o~PT|i8Er-{5L0%~}X5>9jZLVz>AL3YUR z>yjTr%Q+|0r8mC>tqj==>CE#08?KDL@TSRN@siK`NqS5rLqMe-+jk268vfK)!$a0>@@-u?c`1vBstAL$KIdy0; zN91nTP!KjbRGQU=cb7D4TAWH|)-Y=*;R8xavfcAY?~h4SX;9rrt`93I$)*Tt!&fZ+ zr7Is5;L6+_rZdZatE415AdmF%n3Tyo%qt{)&XuQ%WQ+1he;1RUM$(QXeN{)3WQPl> zcI%Z`)<;RY7fGFza3$H9d8FT2Qa%($)?OoK(3NF{)mqslgSvA$E>+5amA485ea&a9a4OGc3qvU4ZYh~0a<6%( zb#0817VfhxjFA>Tu`P_17V4U`h22|Q7$+@^0K%!{iOD9#7B=c5NA4%*wQ#qzFcZZ3 zl-(^)*=E4()7{eIH-OluP154dy}A4lNiQw#ClE;Ql~xY{=u|E*K!YpV;PS4v$`&`} zwfc^we9Q>frG$G!q=K_jKY5SN=iBq|j{5z5V zlggjGXXNpJLiq1#`8}obg2~guWR);+v#9?M4#J1ZgbdaS6Mc<*AWTdPe=R1FriHzt3tUtb&*yT%UIq?-;B$4#iU@tK%o9OZ%#WS4&yR(R0oK3ADbDzl(BuXRqq=N2 z>awW|_|0rgH|nyf3l(tIwL=5WcNkgE^u~SPk6@pIK%%cPh0%|tucce{we%14we)uN zwV)q_z8Zh40#(w&q>>gUm9#Laq=iW(EletDVNyv8lS*2cRMNtvk`^YFv@ofpg-InX ztg>2}1`&kSR+UmEEv%KLkP9LRlS*2cRMNtvk`^YFw6Mvn(MCTNDHcx7ABRnaEuLnn1waP&kP0;j6n6)ko#~$z(plmTc|Mu;eD#7Y0N;l zSv5$F83^;Vwuz{xOEp@HTk2=x5o9S%9&%txTpEYmQ+yk8&)YU1;qosIKdHr2@^2r0 zLf-8d0r0)UPgn$<%ZaF{u>}!4KKz71`0eK4a`kGw>E#ibpFx{DekZ z-}@7A9omZ?wG%3LY`ySeA`9HX3qcu27Pvzw zWGZ(Kxw|8!i6aaClSiZ+C7ic&L<+|+-99+bNA5h>3J7$1@Hu7L3oDGJ)i0(YE(@ewHs#z&+m=lF;e1>+-9yogMi#g;6^xHaQP4&fxU)OqvpS9}aOdba$48_n7$1?M{k4$=?)+w{YaA7{BEZS->?!bx+<}ab!X1Diq7-Uq{|`1x4f*Uq&Kc7i&3E~ivF_8=-<%u1I?C04j4uhK<% zl`gWC^iY_KxVT`H)%{YkO%OKOav6Y&<#%s+DGrPQ`w?V+g(2HJ^H^V=8-TK5Z5?M4 ziLMnHNc77*!mIOSxH=)j)d?A{;p(Ie;?KFJr$CTl8Q8x~_CGOX`+)EyOC3F`{BHhSnwIhh zNO!kwrbhOVZ2BcoPs0oV5KaUE|3(n}?_pCT24MDSm%5krYGrH5eUs3&nLuFk4q5Kc z%L|50(l&sjTEv6=yf)iuF1CL>KLTq8ohz5kAJ6j}0duD^{GKwL$ac`^i?YV*XpIOi zpe|@k9jy_;g%+S^Jy>Z0de$@6v+kUO5pbP%Ahc)pXzw_4zx2}mA&=N8hNIwr>=b&0 z>;Kp(7?|PK&BD8kPwD?Hc8WG{L9BmaoG5{!@^2d_$h#dkEPT&6!6GqE?13A$kUbAW z5*sIIu4uOpJ|(_qoM2IGoLC^hk87MbQh5HqVw~VBF3&h|COVgAoM2z`j1#K`{2$}Q zk8PZ|Nyc@1#tH67Pvtf=TG_|4WS%93(MLFmGKvTCs70%1j6P zm#x`B(w`+xW>w2X6+gHC)yb^nQ8Y@Z{)O3r+hE&u!8hu^GCL68YIfi%X*E0O@dldy z%pE)klzCEm9@1$;QcF-5t|F_wJt1*6m!R){_^h2{l@mv&(K2*ZHTqr$F zW_V+vr1-QX0YRX+Gs*Xs0x0FOFj||+1B$spqm-kk#VEN6KryE^uPJJ8C02L8pLq5= z4{yy5JnyZz6l0Kwq7Wr4OKfQ1JH_|D1g@0RZe@yTfvZPxG1tI0c~P+|>4^&{hm(@a zWBZg=^n*yrm9s5Ma9Tw?mqQ+&a{<&QqPR5jP*l2dv+xY@oc>lkYVnW&Dtth9-S1x?}@J#5D9o;YKK43Q*p*{{ItZ%7vSh0%gs7M)h&3 zNJ#0v{j;c$n)pf;`E+@E=!0fYg`)B_<5s39)UI6mVxf+mMfklW#&~%tW#5ake?{3v z+Pzfv>MF4m>_Y*Y20_3Q3OJ46fdu;!+?U|6!2ln^k~I4foJmlQmYh!T8G>@O^V4P-UsDN>rl?ejIX;$tM&T*O* z>N##*oMz=bA&%3m>?hbb&5D9?niUlzPP6hGA)bX-#U$K)FS$EU2}pAaa~W6IVF@dU zGp^_mXjnmJvyOm<6=d>~yDvdKn{kD*lVx@_E-YPuf`})%#ijimNnGyLQ}i|l-{zvO z2irVq~LN7DQT` ztvr~#D@xiOg`Z$m*8@F&Aeh4^_WXe$nXNoXW-AYp*~)`+wXQwBFGyx950cr+gA0_{9^V%vvy}%c6>E?03zFH& zgJibyAepT^NMtJyR;wG$s_VfOdZeN~e;~M$M*_s^dLUUy0pk1tuI~7Og}Tn!ieaeZ zoJ{<}>w^PzozoY?z_ZyHhPwU|VE)O2Ms=M}Uc>|H$aM$4EWWeZ%7Zn>02llbzdux% zZw~bQfne=XpvL1Tu>`?&9HQL$1HttQ>G=b}4GQV`1Hn3l^!$O~CkpBDeZh?i>G6HR zO$zDx1HpPqkW<%#M7Hwa4yDxN`+`Ka@?eAF^!UEuE`{{?zF^~CcqVKtL9mH~B+q1BlP5>#2cUB{_9HHHeET7bTDyJPnkw zx}F+BA!BttwIij8)%Da)>=RxUnyoyQ%vPRCW-Ct()%XLeuBV1+T}`Bt8qR|bV|6_> zLXkE~AT?4oVXLmEc2&@->#0!+T6H}&T0yI>r^aw2VXUsFc6(SrtFEWUJ};nE*HgPI zXw~)9I0db`o*J*9Ro7D!l(SXWQxg@m>UwI@m%`bq>#3Hu_y~^AA4pABR#sh4O&KOw ztFEV}DrnX9)ZPpnjT~AG?dW55AY9(8FYKAss)%Dc=9}3p0 z>!|}2wCZ|lrh-;oPt8)$s_UuQ1<+dJD1p=*9cQbqr{*eX)%Dao?XOkWQ}gK+#{6ow z^3;L)0<-FRYJr_@J%1pzkna|a65wi#-(OJIxrX=~D(|D#Y~|E)f01d8u5tCw?G7_H5to>0u=BuY7YT8xs%02Fgt^P1v|p@bLUUm>3T&cpN5 z6sT{A8j?g&h!U2SP+qx!lJ6AXD?)Lp#EYv;F)eVTfh*=3$ZICXC$g2#CMB20_9?CC z=aP~uXIqqL^B&^49P;p-3!q*gic2F8mER$rT2RdCZ^fe)4=j*u<*7xSreGo9-QjzU zOs_(5|2OGJy;I1f(x&$nq(>fdIRdg-acLw_kNNVhgqwhMG%vv&n&G(zzQ-RX-(w}; zV?$*x;u7ybE<<@>RH)*i64;qeCh+`LNmk~&g+E;8o#n9AO@_>|TS~K-M_g*?B&U)^s3)cu?n=Do+TUI*s!PgTk( zXR`JaporqkKB>-L>?J*^6eGgB(i1a<{diaUfh;r9jXxy2fg=FX0=nUpk86wcGvxW1 z@+808@_2qCJh}NDOg~95{WQt+(^jTWfaz--@^6$W8UFfj9C8)(D;2bb){d`)=jj+3 z74_>R*ROM2If{Q5+#4KICK32nz;6k{WbqHf=d#l-7`gHqXz|Q}Yf3d^GaG+4Pk>^V zb8dT8>?WqX#7#_ji5rjAQQ-P#cB`{;CCAdQT31q~a9s)sI9QcQwpB^CRWaK#ZdZuU zjgTK)UD%`Z7WQZv2B&-&nrD(^vqD8+^G#p3ig1L8aF-R~h@=S1b0XBsVqPvHya!qt z%_9X{ITVHtB*{3+r6gv0!%gh)(Jmjvoq((5AoN75WAInE8bIB-xM0k$1>tgvcCU&? zv9^k6I_aD8$9z*#vU_rp>0@?JZg6Ta>GuiFX?%r(oX*R@lssExKI9wrz3KoK4@mJv zU|PCdOlVk&6;jK;J>H2v#9jQ0^qtd{S(|=EqQ_KJ(+vkL{6_lNNrvV(E=kz>{`*g?!DMqdq0Qfz8uATQ2$oybzevty|0neH=mGJy6?#HCzYm-_fJWeYYt@w z4~}&WwrA;G_m}ZCh(sPzIvZ2-tdQch$c5SC@2LGQ`?6e~2GdiTIfB$Zk63!JV~!wM zFWJs5w@qkc1<_61M8+GZ>jAKLeM~0BDdo~lUML+?d`!AwF?USy8R;Z1lcE$K5FMzZ z6uEPtN~E+q)7G0(xz{&cncO>#uXY6DHnuTQ+u+pw`k$>Yg$dG_eI_SJdw30Z--itM zNKsC{0wxK&=|j;d`q850d>Kp@#L+->5h?c+*j+xnyUgem5w!OjNWs-`E*kIhEmm*< z_I2N)qD1@-(FHWq0cP*{{{;d zOTk|Kj*|bU^*Igy{}YHl7vQGvF#KK4n{~L<-iwR>cQ3sg{_0)_Q1=`!zrm&XC`i)x zecbi^6E6H$%UNCwc}xBQ^4^%Ox;kFUc*)|@yb@G>cfwtt(YP!?WBn%M#$7%Sh;k-$ zXZm*2TNgaX{rBIszBYQvv$+Pf_~z=Bd^nqAnc_E4UmH!b{GJ1?yf&Hzn;x#OjXsIj zMqgR9jljuk;}x{}CH@kxjrPU(ZKr6++{1N6vHs}z@z3+6BH9@6plFvSMY}91+GQfz zaiA5^E*I?G0Qp9{A|cw9BHFXSiD)09Rh&nV6zxlh_5fGx9x58eTIjZlHbF%D+=@0K zDcZ!OXcI-WT}D{ZCJFXLfE2AIA=+dS?P}n9-w6r$!u=;&E;R}9`Y#83IsUrei!UX! zujKvdzCYi9df!U0FLrXGaChLk|CXMJeWi5=1K+a*;Q9ENb0-)h259~58JG9lGfsYc z^rLE=%#qV$9qNtetUYeuI@sy=7z=w{&H;OjGpTQ)$N06{Q$Bj6bbuTe|639?;dy>S zZty138%*(&tT5m#GJk3;euD-S@b+om;+?l1*B%9>NUz6sF4oq2U61u`F1m(|g2P49 zBzRsVVl11DXh69ga2Z)$Lb@iz_^px~2yv+hfup+R#_6#)_|GSQ{roe^|E?VWyK?-+ z;Lua}%Pl*!QFwv-CUSA2196Ridrou)?%&CAjw5gVCgXbgBIKGHB(L0e?1#x9^y3}- zT{2i+Xz!Bo&#)?n$P4XV`&qK%^JMl%Hs+^JLh`zu@bUQ`MeQ{bKiTqY@ID1T6ySg- zN&Eph?F3mrgR~JzV^g^m&?twC-mM5$IGn%ZUN7F@_Y$E-7~!WnwJN0X?_em`@Vy_zMtaK z=Wn=l9tFtZR5-O^8>^#=o}>&;6eFXgy2zfYNV#ofKA)o%iBQp=w`o^^Ws2(_ z2VBME(8d`i+S-gKP>N%vPNIvj5^q)4DaGf2XO6@aAv;+5SIyKpAb1@Yg^#djs9Fwe!eR>1!X7oP^p#x6eHd$m0mAANPXGo3Ocje^^A z@$v9)w{8}GheqKp%_qY5c+Ftv@IEZ!t3K!AV~3M2J{^Lbi%&=3hc3RksHeYlvPU@n z^f#F%F1|3~;tLZlzHlL@!mZOI#=}I0 zt}x-^3lG*7)WsJjTzp~D#TO=BeBq(2nX0oczA)+H3zIIsFzMn8lPEa8MF1|47 z;tP`*y250Jt}vOQD@xTez)@FHC0W3iDii$KzKeeqiC^J3k+W3-4;cT)Xmn1sC7#`7rQ|l#B6j z@jV5Ye?p(g(8X2~F1}DQbOmvSu25ZkVIo6UcpXPO=i&>mS4dra;SCC@i!WTKkh=K7 zgo`gsxcI_^i!V%M=n4}qzA)k93llEBFyZ109e-gaGlULQK)+U)|RMO}QJ zxcI#OTroKppErQ`oQuyJ$W@Ya@p*%o?k(ry^9D}@W$fbfhHx`-?Berwq%^UM&r7=a zyrhfIOS<^Hq5BJG>*DhgE*Dh!eIT5zi_dHEpwPrFK5w$JvMxSvN`Jvx7oRs(LF?l4_HGfZjmY(;9V4K1 z@p=2L6VST&yy=e!XkC2X3~k7|_`Ln!6s&dec?T$HU3}h51+9zEo28(2@p-eqle#t{ z*PEl`Y+ZcbTm`L*&zqqqdyus1yNGWUCMa`1cB0x{ig#c<+3nZo5@swyphEmJuODb z&j1v2TJt#Z#ZbZv@Xrv>e&^w-Cjj*sQB6q{g(zW}I;FVeLCL+acH=Oo-O3cx0>?nz zVy=O_W@3E8#kV&pxjeQ{X+=MYlw3L6qC}gw63^w3hv!@X^;@F2H1bgFOKukaKs=|v z6^~jBaupY!w}{ge90d443}ZXDrjY8RTg#DSU=|M9bnD2F?=PM?>DDVMU3dne2E|2= zJC852Mtb$iLU^!@Brb0-yr;%>Qd?@lonV0%1|LCXEElUqV-^&ty~ zVN```Ps)@m?^rVdOT;{-P-z7Wkk7E%gmD-*QQn{B@;?n= zt)zzk9Vu%izq#C2&;alp3tt9J9ZyHQwS%ADjK5kjM*fLIT`RUoxvh`UVv76|j}NOA zOXQ!(1xA^xiv08~_-l~*DMJPg!a(m^350_lVAvom)SCc$WB|ZiYPeIQS`DaS8Plj% z0}H6tzykSJgT`_L6GCT7WQ=np%sDtj42DI|&*O_XTUcNfH` ziAnnIg7`EsN#9)%pC%^hy9?se#3X%pL42B+r0*_>PZN{$-39S!Vv@eQAU;h@(svic zr-@1W?t=IAMT6a2hB&YSVWYRGW(g)b!m2wG!Qp)5IiwcR`~CHGOwM zSF?hHRHx~?3wjzDNdap5?t)$#o^I237c{}qK&+V537sRKDN&4=BWcu!c zk!G!M*7V&4$@JX?W6bTsY8KYuj-d0sv4C685-ZpcvX5dBDA2RS3hvWht3{w-vvyi7 z0tG+qDUI%}FQeyMu}3-L3^3z8C+?BK%%B(Jb7s1rgkC*9|83M@hRy z_=Wgh{4b06Gb8c8M+=aP|J8kYCTQg z9UQDsF8-JAqSp9d;^Oq(!7>Ho_+JI%_+JIjGllcvf(er8yMrSX8^`}rzBvC_kWAkl z9I3=>HUC&}l!9^m?-q=HUi|MB=v-d>FZ-Go|9gvoas02cisOG3wCTHplNF5Pe-(`5 ze-(`5e-(`5e-(`5e-(`5e-(`5e-*UpyMtu|%*SUqb!+E$M{?~hpy=~O3)hrt2j;H9o(T%F8)^`P2U}CP$(Dwt57cfm%oc~{4WPd z;(y;mOYvyg?YhA|9|7H=2tT-D9LTD1AX`s{VjRdeti`QU)C>|Y+Lm#^yAdzI!QD?whG=TV=aUdGVRgyCfM1#1Pa>jvZ z@a~|DjRVmT3K<&*q8%wsY#fMoVxQvp-)LtAZ>uRhtrm^$qTq&_!UB8@MMJL_Vrv|T zhACF#f1}}FU?^kbKr}*;kJJ=Ch>)UaHXuN{fI1o)x&ek{(O;pet2ck)D z2xn^?h+4iD&~Dd_CMzpz9EhfLi`ac@9EheWXpIBW-lGL;jRVoNr2<;xK(yZ#0$Srh zH2r=7t#Kflp$%E%K(zn!g0;qh=l}(+aUhzhpfwIevlO((foS%ZQr8*>qB%Ow);JK& zRnQs-qIue1YaEE?Ge9&p4nzm)3(Oh^G`S~iK^p%X<>epaYK-4sFb?bsn7^U&J}SWR zzJTQeu7r0bJ%PeYYBp9Wjy*(4@r6kOf79}`cOFY*>9-a>}P!AEsHIawvNg;Rv z{uSam@2z;0euGlkryDKeG=+PDKoXDnnt05wWp%!}n9RzrV`F@CF}ahUOg!c{P)Q5V zz(o>|`I>mlmyNgNK+lukoh^WaBZxKcLXej(I10)`0eLZ``~pM~~J=nH@Ho?S}ayqw>`IN+Kb?`MswOL1o&0AKfV zPtxwBI?tSWxZ|#tWMI`V5VP9jlT-bw-m^vxm0b4jPYUi?yZQ@a$BArCC#EsElVVk?w3PIO3QAi+3q@z2pAAn!*jl7Os}a%N z<aO!}v%?a#v@Xm|(d7ZdWqwmDlk*$R(2--G=Y!DGHiH^Y|aokwfw9Vl@kb` zCGd^JzXOAgcZp$>span;*B}dcm3dU)^HwyhA-_v4ymCduZGmGxDxn)^)7ZtR^h&d<$XKeFHWuE{R-Z%(ECGpe_g82P(R)K?}%I)j>)S0 zfWo~j9lI4BYaRtDuE1Z-P*Qs?N3iBx-uv#`xbO20YEA*cViH|M5i$}i=QOiKs!C~r zmZC-nG*MgQro?VdylF^|u7PtLqWX+`$>_@|F<^meJ z@Y6-ZZ014>&@h`>X#pl0^qbE`bz^krQ9tGw^!xDR2{oBs(C=G^XAS@}3;O-|&;Vf2 zq~D)EgaCHKL*);kUIl=u1pR>)U=~4tkOg@Bqd!=I$OE@4z^lL0k68o#AzzCWQAKMx zGYI-SGISZiX8l{snN85&shgA!*b8Iit&le^qRti~;o$&-0YZ^T>Zca7s8XqH(L5A6 zCqZb}xvCVjW))Py>-P84)%X<>)AP?utm&CX>EK6;P4in%qVRuk5{3V-PohYD?<9)W z)PsB|@lhuyQ6Tl8I*9Zn3Zx!XFit(Fpq@m5)PoA@NfbyusGy!ifz*Qv>PZwxJ*c3b zM1jM2ql%-eI{ z6K0>_8eETOX&9cEW^-;~h&65Qy&WY~1%{Dyvrdm!crkJJE0iwWk<5S2x=8X@IE$3O z(otwvcqVa=E7Y;@E55v*Rj9O(amMEN3RM)o$S3tBg{ljC5%;n}^@Vp5dPAYEg?kWs zOQD{QdpCu-lP+%!)N8}IW-~@&WZN7>t{N$yQXfr_fotzjynfn+sxgJN%1Sk+u>|%2dr^!*f6eyMTjeOrdH_p}0458dKPvEl^`}sV0Rz#{%@dj<~kHnzBBc zF?@y0z@M4g?mm>?PANZ3n}@)i#XFB6s{Oqj%8$}4eGM|UKaKFmX^#8f0q<}rNQ*$) zzJQ1?(i=h8JRMl4!<*oG4Y~fQz>wPl;n?wz!xuo6Dj1K+o=3u>fciuSyxvT0fg#a7 zN{A@qnu6Crb|lFlbjzJOQ|52UbWI$mk-W zU8!kHfwG12q>{rP!ll$4OYw^f4QsM&nk|2x%cV-oSvJR(&m^Bl<-@YYw)`_T*t<~P zA}m`W~7|x}x^@!7$x8L8A%{NmeRZA-V2aNa08} zm}J+o>h6VxBv&gLBj3%~99gMh#Jbr-g(S&MLRNGnt6$6xOer)h+oaXcWzn8FVLIJF zGAh*OltOvKknCqlDvscj3*~`Zo_0EYLfXqYhFda*b4I!$yK;ugWKN#T$YYF5kW%%z z47>a#rl=ef_X8kIUQ!B z=3m%_Wd(*6o|8(IJ;>`&SpqvOM$t9+t9+Uxb(R!j1)jq;e?z)q1%}lojH2Yl$B}BN ztghu0u4p3(|4zaiGloRJ%p?4X^kTjA;-4gZgJXAffs6+U_Z%%;zvN`EDc~dYHi&gV z))a6oOZL|RxklQY2*~Ml1ipjJ+mz%PWkohG3#+oXJE8b#d6wYu?^GCXGcPGpCXR@V3B|I2ee;6R!h@dGp|`;75WxX`$014f^C=yd&pw6^Axpsf8rz#FtNjF4o`%Zp=kRT?zZ9-Pq2p9H!Xem)V^ENhH?XOZ z7jGuR7jNZAVhV-6QeMDO<{H$hly`2M4Bxpf6NRSUST%NBeMr) zK>%Sg+ar^^<^V3^Kq>qRVSd}1IUdLR8^E>CQh>^I?KBR>X0I+oc&Bua2~fm-3el&G z$K!@S{S~;xqePF+L+$#Pft^0pPoIashV$@1xQDXUhWWTu{TaxkI3O>R-ycJ^w<|IA zmFUcQ`}pY&)BJSfT*nq#d0XiC6ZEM(`zMoQ4>Oaj_Af9*kHN>fnObU!ICA^ytC(f{h&NMv{)z+rWdo`q zPx>`sx@TX~{Y48(S-=fr=C5rcQnW*X?{Q(4)UjgPtdp9}C@+zZ9$kHYswYc6;rk~m zKSh43BTK#`vo^9i{M63a$5rw%`Lwkq6{J}~2|C)6t|*BvLgW0J>$MuKjZQfwZMj?y zHaCb+C$$gLnUioEZN}wypp);w@0^k=*xD0@p=Z!yOF?!s$rzv(lQlaf?^1-1%%6nJ zDFE3sBn$C<6t_A7t!`zjUz#$iZK+u)(ESy3B_FbQs>>u6e(Eh&XK^$pzxu?b9ri3)JopPyThl1ga$0)9L~Q(XjR zTtG6f-=&7?SBPAZ=1 zq~e)wGFJ&_RXo#u?OBVuKPsJ6Jkui#H#+4M&-6$Os^Xa*B})~4`|xEfu=E&nmk`f_ z<}nBZ!88REP|~V?rZ)uarKq22RX@}BX}?wdOmEh1tNNMFQ$P7Ts=8LR9=BY^^4ei3 zb=)X%8lv44m8z%s|M?t>e3GbI(evTUPZ8Z&^a1(uOT@JIZX3J+{D~i-f&W+zMZQe! zrYL`MFT7{|lQ|SsD2;pa6Pu#^#HJ`eu_?-5$f?L}it-nof)97ME|;c2d1~B~f3QLt z_v9Z!?KT(p>p`O8QepL^&Zs-TT~@{^mQ{N$!6Ke;K&Pi~6xlbfRaBbBp_d-9W; zqWoiY1J2Eeio!JfCvzw&XB+qACpSg;Co9&*J^9H^QU0llwQ)~=a#NI_+!W;}H%0kp zYF!)m}2qWt8hD1W8a zwQ)~=a#NI_+!W;}H%0l0O;P@8=IKk-#c2xoAjWY|Kggk|&AyMgC+5A2A7jKlab?CZ z;+if7%(W|zIpUtK&xe6$voRiVPY(m;pU^YzDbArtu8B=i|G^xJlr;o`8Oy| zH%0l0O;LVgQ?KoLK^qvZ)9|} zjeGiD4#jx1?4~IH9&WYh&;}nb68Ge3+!IcVMaL!X$!p*X)CrCU$v61bukgARR`kY4 zLH8?A!dK+jo`8C6638pOiMXD>7ARf#IH9IL3KSI{(*&ruLhTCM64z|-4t47~7G486 zyuR9xQm5z=R58W;F)cow7s8lO-Go6|O4y+M`Up;_KtLt7h^?Dkl?O=7HuH!%K*bH< zh#F54Pbu>76a%P_fhw&9YTNl4VnrHKQ++~jUBH@O?kP3{JBhcXHU z&vH#+hN2~QgSo>w{&B#UJA&gB?*?;69wJq3z?ZwLf;QmG9i^ZR_;N=pXam07F&9W( z8}Q}s_7eeZz?VDrJ^|zW3<}zSFL#`RHsH%0ub>V1awjNf8}Q{$R4~rZ@S-r@rv z-5J`D4ft~Re@d`6;LANgK^ySp&Q#C_e7Um}v;kl4>`$bw4ft~B=s4SeFL$njHsH&h zr~S17U+(-A6oEM4>j!Be?R0Cvmz%d6jH@wzaUX!&kOKB zBcA=v!}CQ0)ayi5VEpn>6rz}AB5zJ;O$+%Ia7CPUD^pAhUR_aK!Zol>UZc@oG2h0Z z97RelkL^=h(U*{tD`#7j;B-0hTn>47&IM4LiQ>}8LvaFf9oj-Xr@s}CT7O2V>;`ie zahfDy;-^$wdkY!$!AHcbp{f3wm{9SR17Vty{aZ~O)=vnEnX*aVxC=y_3<%3M<8pQ< za2p`p$f@H2G?FN{{^ZsH^vbP2xiu0LZvDw^2*C2g5V$pveVIVs6?9u@gPuDzQU2%og9w`SOtsScrIXf`LQ?>sV$<0DAs-=78zV8Of*x&zGPyibVi86 zzOrCjoU+-d@-^E$TB?wIeO{HLSOo?p);WrGaITqCeGDq?z;+fIQzIL>7Ld*<8xCjm zO+=g`+{kI;?ACEPnN&AIY_dDqvXhL5r?RHI{J^Tik95pwEdng7S_t5D1(sCF#GElp zP%~=zLu1a=o*z!T z5xt!wDr4r3pOWY^89x&BnZxl@Zl93Q?4u`me!@o!vw7Hjgr|K@rf!%lm{(F=>m*!T@5;Admd;rAPM3fuDM*b)b z^^}FxNg6U!>M7C9Ks-ve>x5&EO3dYx9Qb-;Sm~p@igi*EOP9xL)fv8YxpsTZL!IAn zh`Sp@`ue#XU+q;lsq;_vN)8z6X85+lhD@iI9K+MYu(rGWXeT-XH}Sx_PFJ3J_a6H& zSO<)3w+L(P$H07X1{!_*dLsI2py4YTQ>RT1k|ukj$?nwI%^>m1&IjBiA`CHHou>nK zde28lM!@dxt8JQQ8M1w(sb+M0A8Dr<(ct+~=Z8sC7%yRpN^CmKICZv5D|@niEEKQ6EFWUFT> zD~?;i{MKnXLwH_+K65V5$Q!&fl7n}qFt0wyaRx~5&Xfj_JZIosG{GtQ3s~h784Z$T(aEy@kRZ_rcw8@W#J-4*k90vz5GV0MM|S zm-Bf!m6s!N!6Tn2rG zIo|07+_}pS+wpDue*xI-NWa7#xEb;_Zie_6pCRpW*^vQdpP?LUfrI$rfhu{!Q2-hi z^D>8*X}nCpW#=5lj`U}>Js2!j;-)ulhOEI2{&ih|={}jmVO;4ChvMvot;6wM{&0Mk zKOEoXhGT#XhvRbj;E-e;jT~62uMpSei)$oW-i3#anUqk^AuNl@bGew#1*{9o7r03t z|G?$z=N90ct~|ExaIUQWDz1nMTYGNy`ni0)+u7^q+MoMwn7w{3-+6xomtIF4f=7CQ z%SC7=J43gEr(rrHGuAZ*%xJvNG2BfUBB-FY4=H-E8-8jyya>bS-Uu(C0oIsdbZ>+g zT7d42aHRzp&R1YhS{v2@Zr6fJjuh%#)D_C|pb_+>AZU+fg<%Y{D;z{U7fywv#i_8g z3RLFHM#ovP!cX4@*~FMC#?sj+NYjlO zm&Q&8%HQVixX9*ef14+-^@U_M7jl;0-TpXvz0^6`gp(n=O(z3+x1%{zH&^?5@|xem zBG`|d^dj7_1sR&y$*>Db+R@CK%Y~e!p~;`aB2?q%YJcu20u0aA;&JuosZg`BF23z! zCqs6K2Po%c$i57=+i@~v*B1b{h^AXO8M53E?;oT*kH}V%OU}uVrO7XLGGsILfMO>@ zwu#8t$&mdy-Xg6|hU^IxAa*ijxw*R4$&fu7@26HLLv|_a#!iOpeiUK_MM~AJG1*EI z$4-Xq4#dVzhV0dB=otFnxw$&4PKN6t8#h;HWk=d^>?=1{XFE~m~|A%>KqshU}XJV<$s)N3x2Y4B4)1D0VVr0}fs6WXNhR zWR>{`PKN9n_BVDiWVyMz)ya^R^q1drGSIT0=Vai6NGC(~WA^^amjwI1o0U-TtF&1- z8PZ(c>vHdmVNRN}6~mpKG$)h4oAThePMXsf!@#rI81CStp99Q4p{J99PhR>1l#uK9 zoD4?*7tmJpDE@BtuhqwI?O~wC;YX3UQ3MWIB(QSUt$&lqIh<}6P#%6CLh$MmDITrZ$?zr69hgISyG{naK%Ia)+N_fyt4@YnP{NT? zC&MEGd0BNb{9d4RR-Fug6)4K8lR=?&S#>hBM{nR{kT*`Ali>zb$#*gk#)SSIeegZX zQo;u1BeIydoRi^B+!wKRBgG*8Er1fXnMce4$~hTcBc4*^;W?S8`YlkUeS!LyPKFW? zlui?>f8k`<1qAJAj7>Tj_^5CY)yY6SoDA|C5?GNrCK1G<+EVo9wgVh!A zWp(y6tPOVvg-pT8kabA4Bc-8}Av>60>}1HULWf$N4B69a0me>-EO(W=L#IOqIvKLt zax!rI>12>cz#YMHqLU%ZUFGh`8IQ9IxQV zHQBF8JV7~sUXy)+;6w#uC&PQf`KuaP)NYH5&vqU;C+paqtgKF|&30$qDSZWdT5Wa) zrJAbXS+&`j7;ks)Jp_ARZT3?<%kH$J1YB90eHh>J?ta$`xT-cgkF2IYEa2s}+2Le0 zLmOICn|-_&!2Mqr?AqGwKZrd*!F9FS)dXiMcyn#`Jrd7S@V44)BfCHQA5wQiZT576 zb99_H)ng}Co7-Jrr+Z{} zFS2TNGNid0;}`cNC(SiP6-!>ktxkp`QLIlH?{entxfnd(n}d>)%aa5If#M6?_f7>+ z#ARWMr8c)Upm-gNIeJ=*k_Q2la9Z=4k_Vm_;GZI%{m#Q*0}N1~5Y;n@q7cO_6M1t& z^JVILX%rW6+O146EpUTTT*5Vw*G!Br9*y>LPKLcm$>p(qN-O#aq~yxk7A4xenRqUT zJUr(DsKnZD;~Azb+AqbPE*-pz=co|&8|X5{qRZAU)3!zlK8HR z9qSet$zk1zptuD_HUQiMAQ+c{u~hiZGWwbP{v6mRNE8P{=nAONHtd3l2`32R#VT*Rb1s#=SFV0q7A>ze(hxf}sST9~20q+Lbz%Kpu5CmC6lEyax;M0{%DW3y& zy6{xS3ptl37{fY;OFfnCcq^OJg&BDIQ;>6wA;nR7ROjRb>57$fj^Qr@*IyTIqd$(E zFENJncS#+U=whjZ9S2Siu?b!*mh~Zkdx{}?m0@jqLekxE6OTILZn?d99y`0%7_$5# zkL|U>c0$bdT48%o%=S8Kus_P_^)=XhsH;%M%rwbRy(%1<(34jU*IpCMiLV;|0QD9@ zUlWeKul+5q3P3CES>KgE-zRpxhZWP zhc-|%rxnC=xh^P(=jH_Q+*Uz+mp@`Ei0{fTRJQ>z^e*Ixw^LRenx_xtI?(xSBRsKW zI8$weEoQ1ay4XfIA0H1~TjBl{;C|B8w$cI&-fDPnFDBmlrH(Toi#9nW9hSkEjK9V^ z05tMAgT~8wxqz2ba2Z$%O>Gbl`EUmR8rQ+k@$v>S!+{y{5$+a(BmOmTa{58=IrwWF zgaK;o!AlJfbZdkysVzr#u?`1^;#Y^Y z4#z?H!*NhOs`zrJyj!3W8la23@*{UAkPm z#O~~Lcx#td=XYs!u1lQZ)zYP{VBS+~;#cHs;=5c2o@NLa=pC*hv!Wwf8Qv}oVH58* zvcz$YA=L(b$&l*Ld6akLzXtA*hsQ3iJLLJPUxqoA0lQP43Ahh*ZQUu43)YrC`ga+& zCdMDkUg2At0Dj)GfFT6rVv zB%F7iyByiG@TVQx)6RCCcp(O`OMYrEcp>UM&iue;DHw$Un*fF;+c*J?1?ZMYue3n^ zQLaPP6Cqo7;EAB^!8uKw4|l`L;+Y$vm>j>-PoIR5`U;=^rV4h^ct=C#N842&wC-pK zez2n<*zS%7_d0ae``)g4Pd7LC$;}OZa&v>9+}z+NH#hjn%?*BXbAzAU+~6lSH~7iT z4SsTSgP+{o;3qdX_*K^N&>UU`1At#`_Z+An!mpLZjniGjOFdwdn;ZP(<_15xxxr6v zZt$Dz76kP}_@bCNLHL{1U!waQ{Jeb*ONjOE1*hbW29_=W zE_x9cHYCw?{hT)60#3UJ7LLUdcCG#1X?xiGL!fKRhdeih`_(`m$g1v%q_1bs+x5X) zT3i<4@b-Q9jI>_{ozt|x1XtGy76L6Ui+?9s40-QxAuj5&2q(3jv*-vXY+(^b!HKTL z4O@_Y#K~d~N68L1fsfk%t@Rqrj6J+?Rl{I$zr&=;|Co3A~;(yjC~KBOul^N!NI-= zPG1ZI&t_v7`y$e4h<`#)mj$1^s545)wZm#$a2{+>h!=qPDdG$Zd^#I&UX5^hx2@)0s2n>aFZ2y8i8iigPhDZ+a=B=*1#oFxyE zj)&pmrOMiO!MoLIe>SeBeaC7rY2Scrs{KbO@!D77-f#aczQTg`hodBHe+RDEA=X5q zx_)31JdMk}DD9YPpe(6I9Zsi^PMMTsH(=<0Ge}ZhuW=}6y6wD0hdHTk3Po)XJJLyY zSD>A6j@Fr)KhWk!aeE$^n0$7eGzK zrRvVcQtF5DcwD}7iVgs5W|P6*qD}LAX_I_i7N6mvbSekv7x>b{-d~bF4}r}2dLlpR z`X9+J(Nc8SoR?yc-vn*xfdaC^;6qX7d)(1c_E*54u>NgY-*oEQ2xz_ReJ#gH2Dhh3 zqPZdNNU;k%!{AQgTQ1hW4RT*G7%Hu~OYXsZJ(?)n3y!dX)hNzb=9LF7TI!fEMJYN- z;)S#{REdVt(omν~<6P$&6HPis@meo&y?tHMH@TS&h1 zAQlN)X7nJ=VQuwd^iUkK)eC`_I}F7`5cya(SEwqE>2y96f0L03u3WSP1CTM4q?1Rg z0*TJ(B%RSc%@vYuH8NzRvyl~=3K6%Bgr!xy%bB$IPqyB3#&q*}1wFNkgZbiW@kx9GSa0G6-n2 zH!{E*_W)guoXfCD(6WH^76)41!@4yxnD=rpCFkXRB*coMXwd zJ&mY-Db1wYl9x%h#gIxf>1qN^aAQklJd*Vn9HuUb_1TcOJ{#lp*_gLJ8}rs@W9$0t zs_V0CJp}qQ2kGG4WXl3wm^Y~lW%xSD5?!SCvPSK_EYgEyadeVZdXOv*o%qFh6Mu+I zJgi+fc#Z>AAuHA1e?uAco=aeItX~!u$6IP)@R;gC4)~?Yi(KL09 zR=KTfw6iM@sjSh?qFCx0t#Mn|Xsyub8m$uzRoAG!mo=*Q6tG^o$Qo^sdtIZATK*Tx z*2Rt2Xjd&B#^P>zel;e3B zsB)}bdnb$c1;f=_A*=WIN+HWv?<-`mZ8_HRi^8o!2Kyx$Y3rdh@{r7yzEU63*^*c4 zLprv6&VMPlPFc5hj&lze!y5^{ujTQ5J?8s*9^cpV_`crC_f5UUK)fZ@%JJ)C?{JRC z+hPMOU%V6&Y_$?R0tptfXS*dH#!-0>(y{#b~vP9ms$86q~B}5`%8W>BEaTw|7gH!$_m|4P&c8aeB&$o(FyW*6HAs^@n+N z8j)AIGRvkC&)=2O4;^gHbKXgs(DHH|(YwZ_*2o!`RwIenUT!VSz=gt9%}OB>i53ANf+yew-q=>cio_ z`ze+$;A_zFpA(j~@UieZ^MA4T9^h3~+57Nm_nw>FOA<;FLJ1)O0t8YBN$Apxm0}we zMVg=>s6><^hz%8i84IGQSW(A=QrQ? zf1d9do+p>{?!ETfYu9u3UTg2wj2%Gshff6dIUW!l=xo3L=QeA|t7Z3Hj;i1nS z_;H&zpICPQ9wseD{8T(#f`|H}@ldx2KP{F}c!<9{HoCt<`6>ENiq8${np*zwo}`*~ z{K>;l?Q+OeKNe4Y`Q>#+NIw{$rj9>d`BQ?Q+P5jbct)W3`yr_AaQqyAOzY<2;cPyf zh=*_aKyPz5F2w`y7kv^B^}JHP&nEmxF>b@dz>@-bY5C6SkFxe&3VEp~t$B?<&-3SD z{@jJ1TH46f^V;pYAMmpl4C+!C!f*1S6&_kGLwpB3^yT+N?UF=l0{&{wWP8z!qUIR< zu!?3u3BZ|=*`=3(q#2-QHh(7bXA*zL@Mj=?YR4kqx|8{Y4Emgd2Q=cc`t^9IyBa^I zGvAx>(C|C_tUU>z>YQ4h2lsk_#_s^Ofu?3B9%??oPwz0UK7~1_t`&aTF2_R$JT&5= zz7h}oS3R!CviPyKc{%jADssUgEeNeE z#Y2~q@z4Vg=kcKr9=^jv{a`#)Z%0kAvOWU)7AOC-vZ`~CKMD3l3>3`Z5xy9&u=)Y}F!EW|xAh{Z#W<7ptSF(V&;AzeZ$oo4y^m!OREa3C_ z|7C>My@7`@ryzO<9_qfp&z(g7#6x`=y`=hyK)##6|2h2qScK}2K)}z4Hm9Pg@K-~# zf|^GD^u&*LrsomycSioS097aeYv^wfzUApZC8^#sAeeDRB6SA-e$1o#ZV>RB_0h8u zsawyc1e`V+`O}j>rTl5dpCo>2H**-!h^6iz{B$}MXeJ)&=ksR?!&l;G9>VK>gNJYV za48-}orcm_)w}|XE4V9HVY?oGH5)h~{F*-a7pw)d#Up}jkyhrk<^ zn-lhz<)|LKTO8i*>;K2}5b3NQBK;FRMEYm-5ZOZzIjVesYdOB{u*o1-`ogswU*Xtg z@W-*dapePC%W16MtOVC`3iV1~xRz6>SNg)WoI<_Q7p~Xp85EvHa#R)T9eg?gng zT+1ocD}CWwPN81u3)gbImn%F30oQUH1*-A^uI02us(gTJIfZ(qFI>yvjfS*C{VUjp zapePC%k3$#dZjO1%UvU!Rrvtda(WN1Dj(olZj})0mA-H-M=e6Ae1K~?g{pkWjVmAE zTJ9bpo`Ut_|LA!>cXN^j7UhHSGpALc%7@&oS_7e7%Q=RNT}?=1Atqs51E zo{fPbdWGaJk3#fAwCEL*>V!TS9)*zmmXdp@JfWYtP&&D9DY+MU7aWEk)hi_RC`58R zgU~On%sf0Whp1j5Ibj4s3QoWf`;nhH1|gaHnOi9!^fRX!hkoW1g?{D~g?{Fmq+#c@ zq+TI8OHt@&?n2=i`kB*op`STLp`W>0;j$#nqY%k?jEnf0Q+TSK=23`b{Jy26ISLW@ znN#AmcKSq&%4Gb$rKC9uk>*i|eIb3=r9vqP`D$#suOy&6ArdIwgZS4dv; zroY(9e#&uk5bUf~sB{s}$x3LJTv zzafNNV@Dy98y2zqe1HZJ6+=>;&?h&Z0BQ`sz|S0I4ewh@UZF^x&?m1{r1vc)uTm8H znNt+{nNt+{nNt+{nWF@uiXj;ug-G)#L^3=Ik*1kS@@7pJ`k7M{`k7M{`kCWeFgOa4 z+`>u{6$3q|o7xIg49VNSL3Hab_)vHNS$YY*Zz<7*4CTJ1ggT*5^1>rI3X#zJmJ&UA z>VP#hwimV_t9asooBKQpk?6(q1<)7BeM^aI9x33Z=sXIMs8OW%EhTDskRZHoDbYvE zf%}$t&LVmgf*1{I<3G3@!f!D%lviUBQM++?pt#Ea;UHp zc@)BJU_2|429$0ihX-EAX&Mi@O&mpN)HIJmxcw%8(%iS?_NS0M3X!%zvqq-K_}jTXM%K4DMTU zcUKtPx8&}jFt~5Y-BURS_bs`5DGZK6xcmH7I0yGFx#MZv&Z7|0kKGB%D!6aSoka6? zbKjD?pTgk2C3k*r?ptyXrfIslZ^=DGGYaloawpR; z9qn13=1~auP=&#LOYUI`gZq};DGG!8mfXYj&ZOYJC3mXUb8z31J56D5-;z6B%NyLc zpredW zp%MOUbk>A73n9gq#t8@!#R)v&anV@FZebTmYF@F4;OiO8+S6c!JP1(CW{qSe1D-#K z|0CmB?npd8&k^-^M)814Gzwuw3=?@np*fl2Kska7+3bN#6j*2xHw3}O>;t>xH46EK zMYMJxbeAX^o33QKOBEfG_L=T7zQLS|`RSL5HYz$My_K?DuISkG ztK@m5zFCh;e@n`%HLf{rG47fYk^1=b9Oke|;}%&N1`|lX#T7w4qS&=c_6EjAbGooQ z>S@wxB8t`WcpTTT_f;mI)ow9}K7c&-T$`)tb7gP3J$Clzuodm`?Lj?RNl-7Sbc zjN(3LQ_ODXWkr3K>_4S!BFwYQ!aE}r^;u@q53tK6VDSP-w;_H1-{9(we*kp-m_L8U zPq()dFpqaYGzZk!iDy9;fbLAv@*D^Dxp~MTLowbG87ao_h$wGLts!~o${G-f%alvV zt-~B_3I2|?^1dWwq7@fp%kUl(Zf3$IWgvQwg?^9lWb_(^EmmUNv*%F24;b4Eu%{IG zLw26s0jtI`gAbXN(|knLEYzjF{WQ=z%~Pz*5(Jwrma=;N0eChOOj6|=#W`*rMd~d* zV4_W#jt5^FrBAeFiX2}fS?+5yHBRBwN|yWL{(3^(WAsim7f9I-oA?j1!tT~wn8PB; zMeXnJl3buQVJ3G;COk~gix(s9EJL~nG#%5-zc4G^{gUnmNT(7#7?ea^i(Qm#{3ZBf3L!xlB0K$+&bJR`w6z)Cb+#6V+3P zGZEBlB9s!N*&OeB()>*I{6$$A{_L@?2Y|Nj@oI`Hmq!1JSj_R?5quM{mi9gG!Xztv zp4R_+p4R`dRxe%~bTV_gL~~*;hhCh`X@lhS4mefgvbYT%Cuvl8{q$zI7r9ijv3i|= zP5u4J0i7MJ1%jkd*Q&j63!qF&nK@|AVcS=f3NmU z-0pIC!uY#J&VAhJGA|l`OGn!3t^{8y<+_k8Ij_4I{!j7`(hdMGT9wOJGW=|XOaeY`zD z3cNsi4&GY>rcbx*X1gcfa}~DL_zBB%y!{>|88{b78b$xM%cIZj@@YdKDJK0|wi#rRu$5cI{%V9ddV?kL&|^+lW!Zy@>4c z7l?WpDu2;NxLv&*GyYuwU2nusx39o=$nWul0ay7f{@;NFm49OVYQ(UC-R4hD>dx-+ z4w8S2zujH}u*Y|O8vo&6ch=N-S3pN`C0Kt3(5(O?xbg=)?M)`R$euUsp>uYHd{^N? zz6CsM=pMj%(hG5g5o%#nq$ZA*ny@EXLyra3HKbbP*reD!LUm$TU)JF3K(-gFwlUTs z4UraUinK_R&9{lws{_;yrR2U`TZG&zuFXy>%OrKB7plv`tbRx1G$SkjOY-Uw^T{gRGCA)8sf~|PH zv|{ZV3c4qh1s9Yd)zc~{Q?0)-+jX7{tAg*FC&RA8Q(sRy9IiM6RL3Qz{gh1KdxL3T zIR&B^6IX*wYtKmZ#fWbB6@^s%JK)AmtX{5|VnI_b@6c#e`j>u}T@ zg6IaBOrCQ%o6jSbiR*dE%KbHZu)Na$Ag>vx`H*3gm~(fx&u=zmTYl* z$crv`NA>Vx@2DOgn>zg)yk4a-d&+b_k66A^d&+xo4X}#X%hLusvi3PPSg+viJ73$Y zx5tm6n?c<;jt$aVQrF8zZnej6wZ>PNOrK$8feQ-Gq2#x#i=To0@t19yaPf1Tj&2)_-iq0b|y57;I}37a|GaYr_n!UX5g$HApH zMnYkNtJ>1@&`3}BMuOr*6XYI4+?lT$#Rn6wVOiP={k6dL)8@eGZb?E`dqXQa;AO`g zy@bu&2Hly>(UlxOL>lJk3WrwbqZG4nqBkiSI?W4fW=7EQ*3fa_xP#R?B4Lx;Ucyno zLxu}Sd%QJ#KB(+tDCxL_O%kdS!_SqmAz9TDR(lPb1kPx1psK2hoEtd);8BJFw=t2wKwpt9`|)UW2O3BZOFz}f&%znTdyp&PLg zX)>iOA<}#gK@y!$Q^0dziKY{g2XCa~#;bLFS4?=;50zLi*`xZxtovJxtgV6mHQghk ze|7(3`qzKmvyJlq70IF6t(=LFjmCdvd zplW3^9RjFY*-UAm9n~XYnX*8`san}gxqOF(N5V33t!yT)mCeMpvYEJ6HdAeHLtTYe z(`EYDe-Nlz*-Tt3n;B*wC9(VZlktkq3=g1cWiulKsnp+1X0-i^5Klq-@H|zD(?`Oa zfyeB@u;UoP){}!_naxQmg+(`;(Su=`J5^1ry4lQD)%2=vHWN7*#&cWPZUd(bOF)(~ zk{Egd0y5j9q4hY6;9`dWZ}n7abox;KKStH!R=O4)D>e2ya6l)~6Jd!iQgAA96J7b3 zHyX)#K}u*2hpj|N z!M$jh9~};35Ry@e>rZ=Hz`uPsOq94kJ{OVlBBK_iy8*9Vl`AEvzI_;toVkrnbyEvE2~edN!g& ziJMdYuTR*CCXO(oTpLiMvsT(52=2ntTeKAS3W zJyGI%eK}Ov-KfO%8W+8>ui*;(DVL z21;CS^bScIC~>_p7M6ELiR+DRB`{FpdgBxZN?dPug@F>++e2ZX#P#-6&Vdrw+e=}f z#P#;+Bb);zt~Y)!fq@d&o1m-$C9XGVsl=XIp5}da-hK)LC9b#sdWj8`xZZ)k7r3@O z&HL=UgZ?ToP~v(Ax5#4wFDy?FC96X;qd_h3Iips zclcOI8z^zTsans064#riFi_%p)3v;T64#q?sH6>)xZX^ixdSDxcSO+K>NLlj#Yq)9 z`{7oGy^%;JUMO+dhq!tYoK@m(L$HoAK85PTo6uPk-i-(;em71)h$v3r&_V(fvRl|i zl6o%2FoOTaVAh@nBZNk}5lyTR%Yf$(;@dKw<&KELi3U;B$`>z;M^T6(hQ*Y)oJ<*E5wgsYi zRb4T=MkI>u8MZ<-)zr z0OtqzLdPFX`j!Fz^^SiGajPKyV#oh$C2;EiZ*crOx&s#m_!7q-&iLXW{iTk-g87vM z@f#igZ06TKz?VDzSETP0&|l&BzhZu6LHt#Y-;Vst1AMjPPb97g@HLJ@Eu25dz;z8IA^%j&R9yD>AmO2-wT%+y?-xGHP6ZR&QROY=v(I zio8rt;)<5$B(kl5F~D-xg4OOk(5zzq58KRp$ODqUsJk9w+?&X!i)@TO#FXDrAlcn~ zh$7Wqpe25UC7uq@buQAdOgDnrHvDya3BanPpntg+J52W>=#63NEf6b<{ky+pF{wzgMq5p29Dx$Xc*bu%$hVy&89 zt#RibIz9DO6qgJa2vgO0FA%05BVDa1@D~WxKPg$=V)VH!OnXY8Iq4&EtdJyqq?K3L zhoOGz2eB?sv6-OnR^<2z`ln}~YUjUz*K7JGVdH7A{ zUy5))|3dtx@^439&dDE*=iL085u3(ICFn-%bL^>L-mn|lt(MlUMw{wW!vYWncTP6Y zirQW)MQON!&%c)EYPt*ijXt9Lo-5;2>+4*puZFKuAU@aT%-b-449~MU6dF$9^ZD{z zy#P$s+1zkxc#6Rn+I(d+Jj*e;UY~EtJ~tl5=R0jQGdcAaEc?HzJ)o9|xMkA>+Vni8(mD%sL2!E59;bhiBgy3z4YH*vu; zHi_L=Hq51%R#%oPP*23 zaGFOB=p_FiF_3rG4dm^014c;5Ap`d&I7qegiH{-hT73}=mz$yzO(|% zeduE>yY|MHeU`m+J$mEg)gXJ>=iE4kSQG)T`0VqGfd?O0jQBtK?9&$k4-wVsTRwAu zI&HA%mEIEaf{UQOMsvR<%*Fx@mLsQc`y9+Ofvrp>k})g!088M^B=GJOba7x003ka( zkri~2OF=J1+S*G|xAr2JsUIb85p0R5jCKO|6Tz0cd_~T@81W+6QkMle9hj0WmDINa zb+3Fpks5%%CMo}^E(L*(03z~Wm=O`qRceco?;GsgBNH}d>-uz7z7Yw^_Z;&Rc}67; zf_xn}gcUU!*1%+ZoQg9#L2*7pG{qS$nu&@_STRFE7Rj~e4(94$WZFZu`!d(m3)${F zH0-{$yxas-%=iHC8r*Xz@G#XP0&9znaQH3YG#{gajBqH(pAbz!Mu?j88zz=gjFiOt zTnhX$3qDGY@^`!p_z{jo#iN0(nnT-K*2N6`LFJ^3vtG>B(Dx$eJkVA_H}k#2PfdHg z=JQX<=W4{Mkd~{pwNQn$r19EsR3Tw6jQqv`Sv40R)1K@$J+<2~!?lvBPT@VI+h7W3 zo;_UViIHv9JcD$5u~Ki;bWF1@lJ16Rx*M|Tno2O=Gu>^Pj%jLM&KB}kNjC$sX~DKg zw_FXZ1-m_7u-m0z-sM`b+ofQ&KvvC0WYn3p`De|DnOyZsHlw#CqrE|^8NDMJEdGUu4MGrAftCBqmnC_(RO6COy+l;A(+YGuV%Z~IWj}A1~L1c<8$Uk4fYdbo;5PS zo5*Kq0XpBONYTCBP9K=HYMOl%}vk_K1;;eQEtGx}YkA&5U!fF~=&7!Eq37MJ6 z=H%D2QWeRBg1XQG7A07~b%s^j1Pi!LSPh0I?~jz~*UE})Zh1S)YE8(BgJ4Y}7z9;N z%dbgr-3YaOFEL11E0Y!ssd|Y$LI~Tclda!K?AsJU>=6`qxe6mfY!1$qIZa3GdGb6Q zaoRo4m$au7%dDih)zz5m#NglpDGn|W7`b$yi#z((L+^18lS-oN3jx=CKvD-f%5!nMBaT&}yd$bsuG`eOk2Ir-jw7#(C4E;+w#yml!@w zPmYqJw^0eFOU=R3L+f>BP_I)}q$4D@>vgC{G0szb60y9GW=TDNMlAKL__*sW7=ln< z#e>C=Z?#OTQ|Q+i0-#JENqpPSWgG~5oQQ{>H{#(mJeWgf7Tbma;`b}#; zD6bCQ);{~g6mZhP+r|$DZ>_9=+sGX88lrXd7WvG~X`ay}-`K@6p*YR+8^lPdSg3X2 zww0;qTcp&1t9a(k=q9hAWo^Yei1k?qu`>J(qJ&j2-vnNn>^ zNBx2(u~G`*{HEm`i*+e-#>8GNHcEX`{64CrgtsA=t@!J996@$)rxWs~H(ue051 zp&={b3+yG<&iN^uB!_*IB|FcM4JFw<{JAw{lZ2*0;nvG7A=%hrWHew13X^bO$KKXh zv8Ni!_D#62FhK07hOwh2+!q)i_Ef`bCEz&*h&|OvP4W~2#GY!DCV7kjVox<%lRU%# zv8Ni#kA{T%00Snm7RPb5n{c-xAhQFabMsJIuZ1XaT}{t{N{^P{N{^P{N{^P{N{^P{N{^P{N{^P{N{^P{N{^P{N{^P z{N{^P{N{^P{N{^PS?uPERNTfY)lI%O2uugQgIurRQ%?PRQ%?PRNTfY z6~Fl+6~Fl+H8K!GZLCuNM>k)jA~#>8)VeBlXY}TaRP5%9RK&XK-@5t2m76c}zC>RU zOQ~IZ{_O1rmQo45?!tKflYYQ z=g)J5r&>xG&!6d-=g%~^%Z%qwx$YwC`7<5&{3+L6WIcbT-jSs z_xvf>U1U9f%5@i6&!2MLMb`7DTz8RJ#8WNCQYx|d5(sWAr4mb6R%0oZSbC$tz)~vf z`7<5&{F#n>{!Cwoxi#zgGadK*nT~t@Okc;EJB{xEoSL@NanGM}-9^^(r(AcDh+lV+ zh+lV+h+lV+_57KB3;ieS`7<5&{3+L6WIcb%br)IBpXp!p{3+L6WIcb%br)IBpXptB z{=8S}HMs5~u|9U)MdG4AN^D>$mAF{zW_!7nVejUQE&~IWQfw`QVF|^?G#_nH%dz!3jOS0e?!tKf zOh3r(7<&HH0rex#pELpwETs}#SV>|j^$~J1wG~)OC2r?g^42AI`-`QNr2CE z3$hCPg5(z+V3J4SK|=Mj218EWZXhV&U4U^1n9*AwoCd~+218CGdr5ffgVV&$6dDXU z{q_Q-F&J|CQ%GYlb6_y!?4{5+z}z961A`%FyoWc8x%I)BpsWIe zA!kxwi46>foc$CA21CyN<0UpQ7;+9=Brq@-at_klCIW*Y=irAVHZT}+4$+JPgCS?~ zTM`=>3^|7?3=D>x!xRPvL(UY1fx(b-_&+6WU@+uN)p`yLhMZ{%1A`%Fx|TOE7;~&Cbpi_vhO!PY<<^IY1I!G2qnW>8FvLE@<$=sc#$c$3H(JUkR5725*?TZo z%|K_xjD?V*i{b=?h~hk!@OTSmTXqXuQwO&`T*F}2o(6;PUVtJtYa}a948{CGJnw%j zV!0#nm#Qd#V^noKib51KOymuP=A4r7auM8?%^t{PXc0F6!A0x?k!(zS@hD_i%t;B9 z`;(I0M$X50ZRIqb!B_V^C6Wh>xr#B~&&`!H@NAVh7CRHeMO;!LtW z$|lQ!-*J(wY>y<{l3QRf;*sD;_RoHV!dM)^9}d__GMz)l%K@#DxnS9yDVjxEvb;~& zwik1Q1!Rjobrn|bGqcBc6rRVE$#r0&!Y(A-Eb3oM@CBc6-^SWn%XlX_ zT`lprRe3A9al1Ct4i9E9`&Q`?;JeV~_LDZ*Um{s(;h&(O*b^z83Yz_x>5DewZ;8;n z5Yn6unx&-qlg;?YA~dgtG}nWso-}XSjL-a&#nHUp6q-p^$)}*%OnJVL1|iKCLZ|Kg zIq6vIn6{M*p5Gzt2WWgZ1&buKBujxpI3+cwj8x0A@LTpG2=CX*9|ZXW2K zCEY07Ce2zWOE*&J-T+-WHp52RoReB(FQQ@^%P`tzmAlPbmQ}PN9uwftPqMmv3$7oM z>+x#5Lat}J*=tnRY6 ztj}2j*+pJ1$H}|P{_=9EJ<#_kZwWbGqcCf2n?i8&BNSwf2!b}w^Z{8nREtdN1y;{% znWBk_6Rve0PStV8#t)9iRdL70501xGamU6Fj>lDT$0odf)at>n8mqdR`!0{{hATNz z$<%UWFZ(X{qSiX0N}aV3)AOBu@t>V?F2R3}@0_iH`!g`7-g<(`_`!j;dgL#gEv|01co};i zMhDl7dM)j91?n}UUaJ7=fi|yo0QEqd*Cv2^pv@}`pdM)RiUOzy+PvZb>VY<|Z2-&s zb8HCSE`WNV&1)Y(J<#TL2%sKl^GfX#S&bEXpv^18ad1LC(B_o~CDLm~y>0>2%@(h_ zJx>ZaRIVBIdfD{?NBTQZab9nGm_WT|)T_2n6V7_gsMp6nU!Wdn^9I@{3$b1^>J78| zOROGf^M(gd543qB12J^7#T$)l5n5z{yqK+u$zKL!82%86ir6ph{di3CSl!OZCx z*8RxuK8)%Dd6)Jhv+oIEO3u=`Vt#el86D!_r1G~t$A=BHZU$_xfc zDenMLn|qO|eHjC%Nv_PXZIZbbO0H9xtG$1r;npU;>}htz6jY*XMQlpDdrqH zgG7zOyd4IX{W+N*FU-k!R)l$TlzDTMxdLInFv|P{5{(n)Jnv_JN9Jb=b245ZVZKtB zk5|c83iF97c>pN+S;~BwNPaen4iV-rkohJuzet#q@rM!S>!Xsdk4mmUB)>Q+`34fr z6Xsno5!$~e^BaXZ8MpScb$&xs@*7lg3VTyj*qa%?Lin9be$SBKy~2;Y21oea6Xhp8 z+@4q{ic$o#%2^ZUvCd@^tQJehw)=16Ca4Ol?N{V?KKXrUlNV?7u#0~{2CI++u0}xSuIAXZ?GnB$+%*B< z%fek70KP1eB@_dj^c(QfbgT_E7{~VWLoKT*FPVA(?B!*#3L~Kt*!?G77CG6MMb1xN z7CHaI%Oblo&q@kk7TB3*NwC6~JE^fVuTWnW*qK+TFAMC1L=yC0W^sPi)btArI`hB8q>Ta}e zx|pa^Q9s?JXEG^6C(Gl&bGxv1^HxKyUr-)AjA%J#ZIlPv2v(pxP^5QdC-zj7vb;PD z>BL^-UGOn}exy8L5XOs@`3?`vA(YFtk!-?q2q{S5Bko7a0|p_PDh~<;gvtZWSntYC z$ji&A(7Un|Gc``{%1#`?CUPqDuI$7tMS53uVs=;I87dDno!*t5I7*S;m7SQ&CUq+G zuI$7-#+kda6Y~`YcV#DzQMl3$lm`k|*@5yvVQ^P=Vu8Z*>_B-y`EcaS4wMHP8{Czh zSg3HL9VibJUS$W$1MUGwlm`tcTts=mvPP5#qXZh|fwBtj%1$g-xZMtv2MU9`vJ)#5 z26tsAPEi=#m7O?MVQ^P=;xvUud7v=3D?4$9!c(0F$wIaPMJ8_L7y(>GhNs-=_o%k&!$SMys zZc3m$P&7SI9w^egvJ;yX>0Q~0TNLSC*@@fuiEWeztRzt$oPwN8ZP}JLnbXkiXCS)u zEr^OM56DpN%J%g8zwjxL>{@x?osar-s>q}8Gojj*^1wS0QBK{<_$_!b{bS_;dkkxx zr;VKk#%GlW>?K*{0XtJxdGJ0cBgz8`8Brcknuzj%Wx`a^IZz%byrFZTJW#l~vs@YN z4EaJh8|8t<-qX1ymqbHX3*+F*XlIy48s$N8hWQxffkLA^P-v6~3XSrBUNy~?(axBC z1RCYR;R22FK%r3{C^X6gg+_UxoQ?88p-~>3ES!zG2MUey zV7tT`<$)d`Hp&A!Z!}j%I|m;k&?pZyBcnW^Rhqdn+BsCAQ64BX$^(T)d9Y5>8s&l3 zvr!%>G|B@luTdV{C~1S!#?DNgz>M-BXl^}i?9AfaqNk178_oO$VzxXqRX>8w}}G7+|XJ7P2FLH1A{zUjovgfC)(M{OI# z$w-1nf&^BlAt35aqNi*Uq$5O68lq_+N}@dW^EL?zB1F#_qLm=}lAK<$Nl+LedNCv_ z`3s2tK%&>A^d$N^lP$=f0>U!cMEDcO2a3{JPF%e%b}P+WmzB!Nv^VxaB=4YN{k}=i z4Ztd=1G+SGXk*)CeNYRsuaLd*);9ScM7l2hL1&L3VJB>)gNS2jR;kzRg`)KF;scyQN%{8EHchk-60O)3R0CY2(CB*(j z5@XS^Hr1iq+j|a&U&WGSYAEE9{fQN*dD)+!`>dS*m=WQ>?mkPdZ%b#dZ%hBu^=&P5 zw>vLxT9_BVzAZ0)eOq4q`nJ6I^=*0a>)Z0;*SF=xuW!qXU*DD&zrHOmetlbB{Q9=M zQu}HSo?rtbugvE5Xt>**7dI`;i<=ha#Z3$I;--apanr)QYWo)9tQ#14ec&gS8R-T_ zUfi@WZGO+uCyV zwzk~ZZEd;P+uF9Fk7t@r1H-&>q*a=Uah^8?WKgKOcl$w5!#HG^zVB8Evne>fBGnKNya=1<8mM|-a z+eB_VDKxi<-1dA-zJ@$yo5s07Ak=t#oAl)W%D-~gz=pzI(ST5Xw6iCHJbf2vSEuUav<4=^ayE^#_9Vjw!E3k=`-o)!Nh%-s%?&`Pj1^NbMlV#`)t!4WB* zVmzgY#IsQm^)aH7yh8c6YfIs7z^ z)J1a-$7jGEqySqV{}sQBpOL6J`&W`L-z@0@zU|s_JN`hH7hex0!s9^l*nmOYjw+jj zDBYVt?b(0qgAYY!a5%iIgUE9T^X~pT*eOu(J;8P={z``dblQravOV#$JL2)L^Xd4W zev?ctuy3EB-#cal0(v?J0w}*cfO-wW1Dr^djlust?nTS3!ozHEJeyqa1StJ2 ze=frh{`Ee!C8F?Gb~FOcM1ralC8qnwkZ2xD`@PMQ9@mN+j zN7Z*A4CY;{v5k8bQx>QN1CzenhRN%gJl_ubV;{L;Am8Qy^6!IrM{l-azL+ZDEN$PM zE`TlNlKzGT^I(ah&yry)X_GpnszDXE79Ix?$)zG~gvSZMoeEHz8c0|wCkrn?5F|V^ z7d94@aJWiH>9!VA!akI6m`Jz&oGv0TFSDF#DPMN zr;ktSS4cccq;7jZL}IOt7T8FLLX44I4g+eGLX4Gc+~&7W>fe`%r`Q7)K<@R0$<)>O z>sP=)?VD#IQNMH+Ujl4(>K+t*kre%ThFP^^(pWUG=&QBpEH=MJgQA}!MgJRU>*ZRN zb3{ZGy-8YQjg-}Co~7km87v=+{TQL^Y+y&+Gx&V2*Brj z`|b=F!*P~ymm^>hKi^vfu5btO^Swpj3U?4c-&+K(a0l`8JwG@-G-xBzYE%?F^zq^?3xsK4al zp}79?zsHH@wEXXJBBK%Hbm&$Xji5rK5mab2g0d}BaV_+P=vMzdPNY?*-gEzZoM<|} zZ~l9ni0`HouoA`xm)Q{bKRr&AT#3(*_@ekKgk%@R_X`Ra#m@^07sa0n3KzwS!bNcg zUot;k6c=8KBvJ3V#CWOj98bcdEXB-FKE{d|7hb%4J)R4hI}+m;#1G@YV&)kk=COpL zu82lFb(F=FA`;Iz22uY&RNLN&+O;ZFyyrSqtw7Lrl2GkR73!!el>TiC8Z$pug@y(* zstRR%Ru%e7=cq=5GNKBlkP%fVrHQCQb;>lVP=!Vns?exH_ZQAa6{@kJD)g7nQJo-3 zjVe^3QH3frs!)YS6}n#1nsZc}1sYW-Z_hQ}bDePtjVe^3QH3frs!-)@RG|uuD)b%U zY*eA&3pA=wWo1;My<1QWqY71MRH5S})~G^{6KGVS)G9?(q4x?js!+|ys6tLh?db_=^mQU~X#E@LojPlG{z2S72K zHIkJK_~0^ZxlM4neNp4}l5&$d9+?-|9e5s6|0gavw&@ofHV zJW~CD(BK>uo2ldo5NxXfQIn8yX}DIF=c+zzWc*tXVE;%~)QuGTGeX%iXylBWc#uC{ z8xV>z^0;bn8!X(&>8%L2LFCpJ^vZ1zxm6Jgw?X7K1h74C>>R?fOd#))?~p1*8TS;f zmKAf50o8b3qh_5SRn~V}!?$6{@acp+o?#x?dC|&vj!B*7>192KBKh-djNZS; zuB%*x_WrwIWMz&5iODVBD&GlwKXd!1UVg}|UhBl{R9*Um%l!5Sn=W!|=MUnO^DJV~ ztpDJW!zI97rMFpLAVfFx2ivQK4EG?iQbcm(8mFDW-9@G%Zw|6UMnjeVY5KEovGVg9&=Q9KccI+rFVc(SB|4B2g>tDh|(H)&i=A$}_Pd znR*6)wWX++x;FUf`v@lV6dw8v#l%5o9wV%UI*6l9u}1MKrQbSDevD9 zt&MTKa}F5lRl+win~aVTyXgv6Xs!%}Cb{%5S8hjB56)?Kh=Zm^7i^(-rj>u*2HFQE z`L)VP8DFT%cD*%WrO}P$8YdXk)x0&*VNb(OcR$Hytw@MlBfIFWkpkO3hTLQz{KjEU zmG_|Q+UH8#aKu$g+0T=*A45EXqMR@BZxG8hj28&bJPzD*DB{-%-XFLdy6}aP`g|ak zYrUY#ecOTVBB6U8F*?*fb86Tu*Y`-Ka(X6Hb$0<+PoU%8h=-#WJ55(bL z#>L&yoR|izBP^$f)%{rK?X}KXT}M@CtE@!kCG;~^S&18|vJy8|W$mO5OqD5|j7+r) zba1uHAE>p~QK+9pwANawwDCWQrPex0{83MawNxhfB4E~1XQ6(Tu~Mhy5?lCG*r5({ zZ|Q{&RenGbER5I)1NELf7VM*%Y`-1gaa>M7I-PU}$OO5FSSDS?R|0Dx28ENZHr-&E zX74cBPV`Pj23GlIWV?;;#3fp%%<{$BY_^M~PXB^*6_~siyZmS=dm4rLl8Kf|;f4Ya zyq>ZvcAEFJ%2UNK`(b09uZ+p`g1T&Doo5;ge9sfUcxfry3xw^y%tqKMUI}cK-wwWO z$v3zlPPWzwj0PcMQIg3One_^#{ho{+;u+Q_&2-EE8*_M z{(HCC)1eQ%RobrIGiX^(+6qSi_L<^?Nhz7$>GJz`4g%4E9|i+XFY>vaw931-#rvi= zs6Nn2q2NRMW^4O>5&kSBrtj|eRr<-@Y-J>zf`&{Wjy^)?TvvWO)xj#^)+_QJSbf;y+-%&utHv7T-TX!oFG>cpdF-&u9m!Oo?{g?PKEK($;_eIvng)RrmV;d zMC83dNRbyvvJ-&xje5L@>@+XvD)kY<`CVsC$2EK*oHMX9xrcC8|M!qdJ7mJaxm;LY zsgkUOB>hSId(Wm614R;jzibmp?D1Cl4p2>IkssG_N~%-(W&7V_LK#d#k9ol)RDpT> zF^`kb9h6^Y<|n-1L$zwbToms~vCFXr@g`?`GjsU6XOlBkJ>dt*XQ3Q}sgDZJMh}jj zjUF658$CFBHhM4>PF~XlW`H~Ec+HGhvpCk5P1GxO*&P-b&Cn|$L(wZeSNH#lUMV&3 zaOCXp;}&MQrS@hF$I!wI9$56;l^&6U0~Rfj9+8886@_|4E;nvrmK(P)%Z*!@nKeb>nKd?8_RN~1@XXrF!ZSRxrs={nYl^}%Yqi29JhR5Q$eA^T=FFNxb7oDU zIkTqFoLN(7&a6?s$eA^b{TDSENkS<+CK!EGi#cWIkTqFoLN(7 z&a5dkXVw&&GiwUXnKgyx%$h=TW=)|vv!>9TSyO1vtSK~S))bmEYYNSoHHGHPnnH7C zO`$onrqG;O(^fEN))d|to>^09&a4fQCJWE3`FIN$*Pw~@9ITNuYxLIXR+uwun(cO+ zS!3_M8;oRN;LI9Z%V3;IV`DO3iN~2WHlM+WXSN38%vv!p|Ad}r);RJq)d(TiA6*6f)zMd6t>Md6t>Md6t>Md6t>Md6t>Md6t>N{~IX zrg7n!HAUf>HAUf>HAUf>HAUf>wZl03RV<*}*2ET8l4z(Jkdvt`qoL}L=+@H^6+g2^ zhQXONPM}uu4mLMf|?As|E)C-8(v#Zn==g{`T1CIP`a z7|hz!V1!rz#cb9HCmHbkK|Bx86|>xtcz)I+st==%iAPb0B8G{)q0pR6ap?ns3)$>} zOokS5OA#E=CYb^$uTjXah_f*$>07jz-6JB9;iOJP1JOmz#GbP&N^trsIk7uL;@K96 zqC?SQc8y4sGzEVU-<|Pn{%kx#$08umP_dcvRsbK;hwIFJ^Oy8R!u%ALm$udRIz)K( zto+GT~&<|UIs@fLExJu{JnA7m4LkWKgj z6LvTNyWaM8hIXW`YX_5cJZ=#j6j2(h

oHqyaQ9i7P!@HA^p2@Q&Cs6{~Km^wu0lnDleYN~7d+wlY`Rk?p8^FCxG=ue0qMMmpmE97X_pIRofr6-)R9Qbs(n~xF z_LJ)B{S+we{!&%q7jd9)v8wh#cp-Bi8{;rK!eMlT!`hsVjxRN|tz=geD-fNJHJ|>4!t~%BKP^KOuvU1fr9Q2PNaVHtnwGOA5?}%m4*EyVlYG1aj zhO6p@4Kel|Ip+I_0%jjXYN6@{&m~qLMS?E?R0MgBglNR;RIk_|i$m)?g|Gy5XdQ<{n?R!34j8A#FfzXwRMs4;bPw=Z&p!K!@*$5aB7FWD$^EaI`vfic z-vTD{trh1f;E7=CXBL{V8nCa+mx# z%BBBSi0Y*l(dBcXHR$3NVTHdpA-46qy8OaB8VJ`^BbDjgWmf6Az!O=mGgK-{bht>R z%`-hxnCV(0=n9V`)m)ZkuBKv&=18hJkyLZSR84tpkr%t&v93+(haxGC2~ud29T(QN zmTrNRZXFBIGy_ZqFzYj2n+zuJo~^=_!sL3;X{D`{O8XZp}I||(A}-l z@)FBh!7T6AN+j9Edt^J~T_LI7DR7s&1?A6w4K@^V6z_K~-)u0~u$sn#(}m>prE8PJ zBM~lNs92M%(knr=jMX0)&5){g&us1eAe5t!L7C{@)oA8GV68pN3x+FM`;O1DZtrnioe5g@?e-o=)^$X4XP|$!_t-yw0M?8CZFBS|UZPkt*he97jt00|3kQ;$(a#%dYDs!1f(cI?0EUnwf59`jt`X#0vSuXf4 z#FxDRyqfXlqOm)k_>B$*zeGHZolfI(-vk!_gB2p$5MV1qPIu!$z8Z7rURsLLsXbf+ zQ?AuoH>{U~rEau$t&+OYIcJsBj6JbY>SdMGi#?%1n&TW{XHU{PIY%;vIZ5d<@Ndbw zU#I-Zdqsr*`4Rr-$M~Ng<9|Vn{{@EsZ^3^!JJ(uYz81)P$ON`+nN-;teo0v8u?k9G zK%I49&%az{A>Ew)v;E*wk>#JDWk0x7r0Mil*bgohc{T!TKe$XJdVp9~6_<&s=40Rn zdE;%AjsPRRxgI@Z| zRUTXTYGNt))zViA-vO4sqIfy6^cBTBiKVYxBUL)(T?-Y}&=Gr*>`*o8k(HHno>Y`} zir$Iri>P-(UPM8s=$()ye9$SX6YOK?6jDLe;wBotGp*7Kkio6&75y{=GQTI1L1QF? z##jc8u?(7G88l@x*oh2UvRm{^$`>Y?e=Zr6iJehnlHK9~l(tNafEvX!=MG@~T5L-4 zbZq^5ctzoJu)oBP2C_<9bb=pS*3E7zI=L=Bh@D95X0V8kDU@&N!6G~6hDJ;zgGF?7 zhz7}Wi1?I+5lw^G9fh!Mos6fU2?{tJS=Nc!i{f*Lr*XI{z5}@CJ?sYtSesVk-Y2az zz2Y|Sqd@oa%V1c7g>af@YR?*;=m_XGzrM775m}E&&>thFZtb`uMa~gOU4ATfwe3*} z?(@$fUYNzd1-3F|WZvI7*#8f3IO$}DL<;Kd`eVer}LqqWo#4;2Vci7IBa-zFhtAy22 zb$GUaUm;b}Wrz0fE2K_F5}{VEkXo4wq}6hzWPA>=R*Pcu;c-=x{re_FYc*XX)$}c~ zRFmS8zW_@$DQ+T`YEnFpSgJ|!rNG*$Hzk7=n%2^$q|O>22A%p^k?v`bV?z$5evGC5 zOiRrYPn1&Y9Jw>n&2~n*+0Ixu`&2lFoj62R>2u)TiQVj5l5oMUM^}9Aux39{7L@Ga zsoDPYzVI54+*P{wh2N>f!c*}&;JPpQCG?@hKM7ne8xh<6gV2B<0e5FbC6@NupX;@V!ws(VlDnIr_AclLU zTSV8Av`S|H|Atl2PKBr7?}+f)cS=O~BaxWWmx%Njzja~@+Fr!nh{!rI+EjcWb1JI6PrykyJHoj;v2a#A2-qs^RB2f|I7?oyoXPc# zBeI;Y6VCHNOOdbB3ZGmq7L~shzJEbnwOA0|AhFeAQK`>X$q4Wl@5a9mcJSeddK*Vb zN8B0s&=6K*Q{V2E^)cT{Wht9^AAe*v|4u0mx7L5t39?g)wLb=^?&;o0&^g7~b}_M7 zT`InnSgbA;zey}+mx^5E)<|VrJp|YDs0Hay18jqGIbmNy3_*n3{ho& zp#6~yVJFW{rp7?x8tHURDGs2{u-WXFk{*7r_M849`D{tj^aPln!r}LoZ?mv1=VS}~ z52^4oK*%qie@J(G0jOL~L3}M$-uY8v9)nQ47qM7vDn1doPRuqHUrl^9JLWgS?=@oH z1Rvn9fvu*Ukm?0?g+o#{B`O!GbjChdngRBkrTuzX)-AQ5Iy|M@+@M+zp_~#>>RVze zd^m81m{Lyt&NQi1kQ7)q$0pBc&soZ|7xM zIXUc(Mk*&~3#4fC_fRS?hf?hhZ1o=sR`wo!@G~gKCXcu0XZZ{iKB&+BN02O!6*@V` zCdnNp>E;3}VldP1o-IZgL zq+~&sY^x!2t3a`u^Ug~-Hc38?kiBTgdXmg0w=Z&RlF$e?Y{$<-vH{}xdNRj;!u=dq z!VI__FLL|PQK&2!i8DYvUmu3LK)}Zg5YN|#Ym)5@5YN|BHOYGn5YN}sG|Afx7=~VK z&)~FxizP2cz*^SEJZewjfg2Q=Z4j5!h-eZ#Yk&-dV|YP-!fk_>LFQdNUI%(1=w%H! zj@7>6k3uj7EHiXrz`-p9S6cP8?8h_w&xNAw0zWm7*2OuiX@Y6n18H5HvnBwvF3wpS z0NTE!gWGNfZbX`NaNEs5H8q}&jA&|1BcXwGkL~s6BY19uOx}P1Jd5&s0~J_Tw?GHA zJ{y1_`}T?0)jeJtL@bg&MPqh?RR0N|9I32Nj?_GO+a`GO+a`F@-7$x z4nMLOW)N85>PtK@hZd{A6y7(~%LT8?EI0tKvLAW5U=Wh2#qbdVLW^O|IJ6j66j}@` z3N3~)$iZaSUM?02&(LC6(}fnpib9LwuEHg>7-n3=VpyTE7*=R3h7}r%VTHzGSfQ~P zrnC`@VU0Bw!wNV4jF$`EZt7N;J8jND;UX5pENjGKc%49FF|3@8#jrwSF|5#73@bDi z!wQYXutH-otk75tD>N3v3XR3ELSr$k&{zyBG#0}Ojm5A+V==7ISPUyP7Q+gS#jrwS zF|4g%EQS>ti(!SvVi@lVRBUK5yh-ZSc)8%KC}J^uuf!UQVa=8n!|dJb!AJ%MEQZ-y z2E!tljmdl^-mx-lK7$d@Yz>CR@SVW?6M9+1{i(y5f#jv8#VwkySErvBNv=~+tS_~@+Eru0^ z7Q>1{i{Y4;3s#a?48Mq+Ol=u27q23^wTmx+xWzCT#=KmVf@If*vw@cj@+cfBRJ$^q z4ZK|R06{@z`p1T|>@lo$HJoL9)^L`+B=g+gOEtI!zEDl~?(%GnssDl~?(e-zHfaP|{{#&A|y8N=Dq42y3JXB8U5 z*#Q!33}>ecG={UQ1scQIn*D^Jv)qyR zbCD5}zRIY!sDnrpg(zlN%*zGml!W&=;@Yy=1DOmh;<_NXh<#v}ys)G&UM_}`lHKFy zDFZJTN0O2~XIGTS^E}40J4E8y7KqxyD0YoV6x%awg=ZPh=Fi3>)!z^hc)4IRmGByy zH@S%IA!J;fF2R~8y#1s*jQw`L}%L_7U0KzjOj<&Ovm+9=(l2| zT#*Bl6R!7?tJMWTDc5_+H38syFS#}VT<_(C&J)E%#yW8j7iwotf|7a}Zdw3yS*V?i z%KlF+)N->6wcMX9)N=oYg<47%YB}+RT26eSmJ?s7<-`|iIq`*BPJE%36JMz1#20Eg z@r7DWe4&;TU#R887iu~2g<4L0p_UV0sO7{LYB}+RT26eSmJ?s7<-`|iIq`*BPJE%3 z6JMz1#20Eg@r7E>|GZGki7eD|bfK0LTd3v47HT>F+l5*}-4U9Fnm;tZ4*lH9pN}cV z&OaBwj+HbEHGf?CxFT59ipv;&IdGxo#};aS+#R96*N+xz{yyTu-XH&q3pIbjj}~hF z;qsN@PYu2lxlr?`=|_q#)con3QJe~0sQEE>gnrB&p??JC2T^YSv}1ro?Y z*b_q7v$80V1l*N?pj1r)ih|@3MMTJB6M{`Z(At^+incWYL~CmTE?qRBQtj6g5%p^| z!Me1zK~cNdpjNBa|L-?*=f3+QD)#g1*YEpR-GKQLeyq1Cb z4M+b;UPH})a+IOwpAcoJ`6oshYW_*=lWVB?3pLoJKS$^<(%^mibANon*YKmL(N|rWvKbfqYO3w>?lLcKSwv@7;65DscgG%IQlQqpkt`{=W5U~)cluf z&@t5fm%X9tI)<8mo*rk%Q1j2%pkt`{FOM?R{0pKCHGf5vq2>=c)2$6Pzvpv=|2EXL z@%Vq&Q1e&PQ1kD>sh!B@mgwjArbNLQKJMh>SSn5Nr@$0*P4-WGfnNi@4waH|xy?f- zL%Lm@h!iTAQwd$7AuGslIN5VGWC!nM-lZB6L0;WsU)E36!(}Oi=IOjZa6F7Kd%lKZ zgGmzrU9KS+yq{2ocHYGYFK0c)!uX04j6a+nmLMt34r{`e>uL^RD>P&U&t(HEHDm{` zCCXJA62ZHew^~E~;J2A~t%hQPtJ#G$8VUrTWaDc!6dMe%UbTi~uz-2jksdoUUK3rT ziB8l+E0qhk0KnYk@CF`5(Qg91v^NGbiE0y5IHrRLDa8r92#Ry`16L-%P)6}I`)1wK zI^D9<(|QeA!NsJ1vmRzU=qI#A=lO#fgtlrZCOD4JEgA~w$+}fTvB6W=?`;~A!Focs zlLEJI--+tmcPUeJ-)=!C0;eY8=1laWm%UvRyF;ULh~23nE4Ye-cBdhBC!t+B&mWvb z=q?S#1aIM(+^wNNup9Hfr=i&3>Fmfo8jB3RMCkh(iVMEXl0VQ;eDE+ka<8t}BlsY3 zHfbm!IG=gV8cGbd63cE4_4FlnphJH}@v({a8^NioY>o9m{$QRTsqeh0@?_6> z;blJiy^5aP25w3ZmXVnEuR#ToS(hHXk89zBMJjh!de6%jEce+T^7Hf9<kk5Akz5=0bV(eTlwAww(h^X^Hlmv5IiN z#WYYJt0*_1KbEgh+5yXPh@v+ws?q&^D1l6U-mJ<#-`CCZ=8;|k-3=Du9 zm+{o|UQcj8iJZ0tD4N;e1O*Z;LQ)32?`9&X3~}rTayyrUdI&`aaT=`| z?0@{b2){XH5)jR7Lo?$D{*tYpM?@C^O#cg8J)dBVcpotn8F`S;>8)u|5=Z^Do8^}7S`bfP$*+9!%ru8p++ z3!<@R4MvF7FSxH-Gd02|HNus?%*RpSRkrb*#foQoD*wu%!I$|u3N2)z7cCYj@f7;C zTPQ6VU%lc`{LW&5nVv$gI)zBbtL6i<{jNZT*GT&tigs4G$5ZKbQ%Su@`Z~GKI?oB; zRKQHlZf|mGp5WBe7p zT(z61V8mSevfc&apKvs?G-4wC@mdl;LLtsnh`~!EfHza&1^FWoK%HsP1&*-tkt!&D zh@uNGT#Qsv@divAhB!Ly`1>B)SM&^X+Fvq6y)H6bRs9cS54i<&I-cYY2v3G9%NnWj z2mci>)GHY<%2NAKc+QZy1UUj59v%KAzWxB%~l4q&z zQ~`_b&)1^+V?foP3sv5aQC5%dMXEo~0oENVRr$X6O^3=9JeIKPP`QFH2CO?YTXjf; z?^`!yIeRq6;$pj=>3^0X0~on-9zA8`bR7=T8Rwt z5oAm@edyBhp=Z;FE*&3wHht*Q@u6qyn5ivj$+EI_%oG_NU;8cZgW2}|20^8M>(UDl zF?&NmZb#e7p*|P_PdU{2wO{!^@U`C<|1OXzE+(yk0IxH?1An)E=B_8g1^ zZe7%%d+VYG-CGwmm>ByY3#T}R-CGxRZd&XVI4yANq6Xbt7d4o!zVU@y7d1E}_IGeY z;MPSAy0(<57Rbl;IU);K= z!OyyN@qShKQtUlgmFl~`(~#1QlchfFg{v0X%jl~Xb-&G3i@MvNzG|@)^xm4>mVr7+v6?#d`=J){oMcXwTZ-?cn&ah34&r0G%#O0y?AUv zQR`ltn2nUgdElWgK~PLWH5~-CQ~@RksvKRm9p8G)0u7lUC=Hn)C=R~yt;a0XkhwOo zM&UF;P`aK8g3^!)g341^Ob`_FT;F=k5)C@O^_Xik=mtS)&<%pppc@3GK{p7B^trzE znB_Xx4T9338w906$G0A{`YDXQCkSdYI_LV*u5UeN?M?-{K~Nf%<6DopPJ?a` zlm^`(C=I$nP#ScDpfuQHLh+Dls@n1 zu!Da$A82N10g%JexIAH!& z(9m4T933<6F0< zYS0aW(xBs8x2I{$Z)XIb&%xMC*Pt5&^@_sm20?wGKsN|VqjH0wM!*p81VL%g4T3sD z<=!+n_@};TsPt+DxoCVv;cF1pq%M8K~R(}B9pfVk{ez5g&-*M z5cg0ekKEe>Qx_vyy${oyPrZo_vZ@@+3W2~7OhS1Q@Tlh3(8 z)?>^fYk0Ca0j5L0W48f%qK#1!rtgC-*TMqQk~bdG+YA_4P#G8i+Ued&QZ-LliudJSn`dSJ62X6;K4 zY|(kzmmb)vA?-^K+@c}vOAp+tA?-^KY}1hTr3Y>&1#aKI6V46_;Nc++Q_v(7ummX-+koKhqnl+?->4DuEf-n6y=#ciM2edCe(8(mu7Cq7i z(!TV-J4aNW_N52jy9_>0*Owl6e-SFUzVyHcA(iX+(gPn-J#l^MfsaXn>q`%O!Zj)~ z9bb9?msqLF_oahNIOg&Ej+Lt%(wEM*wJ$xOedz(e#WYZ^eCaNzed&RK<2S@k zo31ZC(9KdFUYrCdUwR8gf2U(<;FWMM zk0y>XCF+P{$#rxsX*{LG)AIv^M}b2CYCH-&iMi^9`cfjB%G~U1U{tx+0UUH5X;vWb zqkwC$oM?ZHtPFLz<7|TH{RVVs;4guskrZ94C?Yj4Yl@U>ay9dxW1DbIuI3)|F#+D1 zvYKf6{S9We@^`K#IdDQN=i{2g045+0&G4Wl{wQF!r*>uBnoFPk>o1DZe*;K!IK-zrvh?Rx2ID+pKleB~ z{s;Qtp&m-azf_f2VO_17TQ!pZ$x1hXPLAY%awH!Ekg3M*R~#v!xC(`0+0sXvp!~rO91$W zJO!Ld#OZuyj|l%mA2K=zhM$XK-z2pemc=5^d5WdG z#fH3sVrLWEP<`_!i(RvUBc(ev#1thJLsYLYm09pq53#6rVf~Cyj`u7z&~UtuP>y#4 zrVYd055rX6HR$rl{%mWwg4YAa_&_*}aOy7cjXD#4xk2zT0SF*ld+Kc$;8#19ct`jCL#k$|@bI!&{zYD$nF7)=h zFuLFR!8m}%^s#n_Kw>Z^;WuTpTqLXfZ#e^2@`ydJn6Hw$%7x)?jB^9-uX({KX-sjhb> z3KZ{ARBHykSy64xB!AwlSKUmq=go>@Yvu?=Z=IqCkfOHEqM*fHLqoA1H!D&g(@y?6 znzAMo;^#Y-#Wr}hU>3r+opyW^ib&0wn^8DM+_ybe#`u>3+l03$AI~Cp#fT;(Pq>1Z z&ejR{FkuWUp2JlWBX%+2KbSC;BN-#MBO(3|KwFV_#{wlUsix9d;|}7Srg8d^ zFj2pQPT#a;P1Nt8JAi%%-2v417ZSNi;I;A^APMwR>H{kAbj3QIC~>+%iwH;ir}A`V z`9;_~w_y9lD5%qwm?F>VO3YVwx^m)Qy84XMmB=gR>;*L_RD#>_NJTh)8-AEd$3l=XuWl-iRA~76 zBZjX(V)*)%;TwG2TLD33*MUG~2#Yy!3@Q^BJ7KhO3@Q_sXvm6VP??ykAv=yiW#Uo| zi8uz8iOaN$&>u%bSj^LTfj9=0iTN6ejbl)mxLiXrjzML@7{YN3DiaYycpOGl8Nwp0 z30tnK`7~iGG-Smws7$QXkR8XMGOyxjzMK&jfMho3@Q_A zH541ipfXXdAsNS@GI1U0fw8EGHiob!+G7ZFcmtQCs4|4b#yAF*iA_wwa*U%PEIfuV zM?cULaz`1$;%41bbzcUHt0}10bXai=DifRaFxznqDid3Do6Av_742pmOGWeAJyn%Es0l|$@K4Owvv zDie1aVrdAAT{_Pn$DlHCmxf~E7*ryb(ubM07HV z!%sulK>j!em5F!iRG#cX^Fq8Aul&q6rN=R-OuWxMh=y<+gUZAQy;Sb5^d2-mglh=L zF{n&@ObTcS$1$i(L>s~aims}BUpiJg$2_`0~7Sky9 z73BuhhOh`&4(-Yi7SdujT|*c*0O^xD#}F1lCx0s`VB<0VD=-2vepXAI%q}EY4CL?0 zXRFsNJgOrKJ2Q#l|Mrk_(3!M^k6G%7BJenh{H+hH3wuOd|6EWy@)b>Q+}Wc6jru@A z{8(vr#sl?YdPsuZ$1kebZaEmP$a7G|5C!gLp|vEJQb+CM;r9B@ zliSHJh(znB!r&%2;I%OD5&1TeGk+c`_E(1PBu-KVzyXi4*+LSq3Shrkpx7#6nt5gnyt+P3g4g?@bo%s=GPnmzQpkiqf(#eS^g!G@gso!R0m!m zN|*!vd9T)QIJD0rF9R0UZwb&$ew7HOd;%B4L=yfxrf1H?==aCvb{}JT`Yu5x^k@+W zgNJRTX+7G?Qcd)>*v3#c&__+9Z`gj6>`P>$bV-t4SWohYb9zQvn*QO+@EU0;2O?sz zRIu1crNXa4lOq-S(F#56c7FXjTQ%@oWRCU`zlM{2mTwe#lA|!q0+f9(DBu|GLd!?- zH|H$?nG4aY+`r-p79)Fk9pF*u2VF4VQ-7&L{i0DEpUV{alb|K%!=%&@dNve2o2Rnai}?yA><3@wZ4Q0c zEBYQr60D)0fWD#Z{B4>((nclQ8Qg6SeFdAa#I0=#E$r>lYA|nCUS>($w~MbOK|J9@^p#)NEqXN z-Fb}nb>}hO*PX}c*PR=H*|NsanCRwQZ#9;8Sy|t=C?c&GaVc6I%NwoWR&tU~N0P6T zrkL+%r_0mY8VQ3w3^)a}>UjI{5-KX$DB4V`!)69YDy@!2t= za&Z+ulY1s08Dn41e`5W=gA70MtE~J_0hipi8g>11FoyDWKF0X>;McwwKZxx*2kWj& zY}X8CJJtujsQY~dy0KjvbYr_T=*D(w(2ecVpc~tzK{vKbgKlh>2Hn^$4Z5*i8gyg3 zH0Z{5eH-2I#CB2Lwlm$>F5M&di@F+gzo>h)>fn^vo!~%xQ5RE-y4w1{7j;ijpte5n zMO}Rjl^ffo6%jYK>+7npwm$Gh-5H7uH?~WIZfut(Lt7vCqV7Ib_)^Rdwo?_`brDj! z?Ezq7yV%R<*e=~~6WgV`ZDPALe#-y(s2Ah^F)+oGV4_s9$4s4rgm@|sZYn;b`!3+< z&**MJ9WX^O4}27RHkXNhvEoCN>=6q^*h*k~zCa$He~D$A7+4gX2(}L_CURE2g3uBT z;f^aEKgKahEJqzDeypc@H@l~LH@oK+@GsuYu19us{Fv_A30$^zl^`F>>yTp$%3DuJDZg$N4R~2C5$23u2;u5W-dCLlX zF$+1}cBY9R`-{S9;>R>j6F;UQ6F}2AJd>4Kc+!9eoTXI{Fnya z_%YJwi67IsZv2=A-S{yLy76O^FpM}p!WCPX=a_3POsFS*jD7XQkM&f!Zv2==<;IU` z(2XC{pc_A?K{tL(gKqqo2Hp5E4Z87T8obU94uIH?*{DG`eoTXI{Fnya_%RK-@nafv z2{Iu(~ z3^lGlw3GNPjF{WGLOqvgouqQz_%Yoq>1M)W;cFp zp#t6bF^$TNAG=NEt{)tnlZ(l?K!a}l*bh~%8$b4n0^RsAN!LfF8$UK&fo}YmZpe)v zJ5A-f@nafvbmh`dYs+(F%7!$W4ga?{Mag0*Nq?30?dscbEezG zkL^)x#rQFDBOxV!5I;s9;vTAC_Y$qNmyxXA5J}*Z)@ow>t>7t0ETp9UJ-PrvWKoXc zGqV7CkS#1-o00QKjzdZhj-F0NN(O*5POGOW3Wfy!Ab$+=*>6uibzWr6V%Fy9EE18x zG)1o=nldHEzZl6qIPDHiZdv3tAUTaZ;AzIqPnd}I5=QG*TUe6p@!2IE(mPm^oO8UA zXtM{h(#Q^;e9i^3a+pQd@MLj5O^0}eRT`&1l8;)INN_IE;xzf6pw*a19kx#kva+Ff z;&Y=k#+E4)weO!Gr$;t-+4p4CsZxQ7CfAPt9VE>g!5x(rjY-BMTiLq3d*}|Z0Y$ZnxrQc#R zF9B5!n((o&8uwL>F5Yk7bd&{8x`llm#h&6r89QR-NxPu1 zS~(nz7%_wi!-ysSMwO6`gp>8LGtFkpI$0k(JAgiRb^!evA4x(n`i6o^0KjE+AsV)Q zKRpXl$C^AF?o!Y;9DkM9=X+>Qy~ekSHMgimj_gMLx z0iFZUKcACPz$#S$V=%d6nP;8n3*3Q!?bulV_4rqQ13v-nR0{AJE~ek&AL|KXpKS4& z?cMKbv-mnB{@87^n0Vg@*sXzePFEz)^u=j6RB$NzY3*Uobc17?B{juF1CR+J5q#=BuCRWPPc=W9&C;2v= z&5_-Nkv)1>K)#Ju)yV#3vNN)LLGs^6_;%)3a)f^~)`4-N;g)#DTo@-BZiz3)q}Z8X z$q~Ny1xefz?|nfMx5VG18gh^Dwb#r&!q?zu9pOh_kmRA2{f@F^lG^ETnk(ofVO{g=q1e$F^n{9zv5ZUTXO^gWg zOihfu+A+1xh9D55Zd8yz$TKxDnm#Fq(giUc)EEKpu$KYE4~L5^K_oMK1W05`Wy|J>vNR;fqQB6^*MSq;QE|7*bbtv&(YN!!d7TV zhy2?sHDs>O(U7@5M?>cN91WT4b2MbG&(V;%K1W05`W(_@!s6{3O|-c_M-$DEe-3Zp z8z`zG9o*}4n8Gn-q=W5=bl~U*P6jhYU!S8}c6wT`A#;6>9%gfWj?OdJ=V-`WpQ9mj zeU66A^*I_c*XNJ|w{PEx>YFFhVIDdWNPsz}A|2f8b2KW4*qs_O*XI~w_4PSA&s?9Q zA#;6>hRpRj8Zy`CXe{RX91WT4b2MbG&(ZbF^*I_c*XL-+T%V(%p1!2}(V;Ha=P-%0 zr7ofA)R4J8=O-#pCi8sRes2uSA~)pUe!rMvA##1r1eLohJ(;J^_J@?PaKxDFb4Y<3 z@^42+Iv~c59x}KZ)4^06^Y{b!;lfREA{~<0cGv53mvqw`gy0~?R=*Mk9K{7g<|bOWx>IS=jiR*?>Af5zi?pgvF|=X#({@yvIq z&Gb=+?|zFVCdOF4zA8Fbe|~p5;f1WG;Y$JcQ-`m99S9ee{ngo|&W0YXFW3oKew%1Dye~#^&NrrXFaT1dJ(1j zsFokLxB@;Pyl4TG=!aE*vI83TUIi@zM(bQPh1v>3RgM!4;Rof*5FVJIPd+01Z?KGt~`1167lm zD(+#BPFI)z4ASX+NPtcsqJkkZEV9E8H5r2~&SI9j04P&UOqRO+XNba+rLO+bA=*RV zg*P)x#aiU4F(0DFBwrOAx|5kIIQJ}dHlC$|bM^Dkjm-Ss5Rh?}I`z(a5*@}fxx+Us ztBh{a|>~))be_)!iFTM$pe-4H*x+gDD?c7ALP} z2U(-PibMIE8cUdR{Z$~!->eNF9Zc9y&CK7_%vduu3+h)1-o<2R&EwdAtmY)D%24p#*c@CzAJ&@D}UZpdeW?CzpZ7eWrl8YRht1 zZLR8%FMSTO;z)O#ZE-lZs3Fmv?q(}(XJ#J9Z38mCiN7OK0boJg$~0E&X{(`T#fMd8 zy_6DdPJR&x;4RKnE*c)ahBxZ1;N2 z3u!-qE&3MipZ=N<5;5jZPOR=lKh+CNtQI!?Y_;iy`mCTpD>(fFAK997ku?ZugAQ?Rtz>k_hKnjn}t5 zF}isLxosb4;kuIDVYYT4U34L#WSnjO)1x6xhDB68a&!T>^PD zFI>6ka{YUGZfH^Ey!qJ$Ib(9h>!j?er3)71R*pG&d^WRk=PwKA&R<%!IA_+0)$}4n zUEf*fUXXsutn`8$d<1ktzR$YO!t0-bo>nhQ$bK?QCV_m2F)I;oLiDtcrrr;5kW?<827rn4!LNk`xAD{Q``sZe5MjLGO>Ck4f+a zfg0*}l4T{aeYS;wk?y)`%sELXqfx0F?Z(m&;07VtMuv|O@eiG4j&j6LVDBHsy zg*Rb1@b<^jN_5(b(e3pF9;v%YJiSzP3{+C@sOrg)V&FugHUy`p02mg}w!u^UCrzhd zE6Q<(VOTt8fm>ML9EFw*MiTqQxWi>b-R=+2A&KCC$V6}&1_Jct4)WARZh9maJ5U5* z&B1JYa=e<=7*d>$VajysW4xhBc}jpjT_P(&j?Xu=YYEe_VIC?$^l%3RTJ~XtLx}^9 z^yGrDQ4tUV57#r0?_zpFvSh;_os^%%MxYGD`0_zyZV+iYB`*j@W>!AaE;mgD%GDAQ_g?WTG6e;w0CxJ2=Qnc=<>KH!v+X$>D@M9H*{ zxKK>%0g>C4X)zz3x@1~U-3$+pflp2QgB_IQA)qAV5{JW5LmV7VZk7iGu|u5(o!nv3 zEu5p+iOX}i2Pwc2o+g2XZ0l*#u&v8$qseR8PRlCwE8#WGO?Z9FD<^Ths+WnDfByr# zW~5xQmU=0kF^%GzRA?vtd%ClQMlpHc9G^*!NRxh^iH$1l6_#K-?(k$D#Ts7LMJ2-xhH)JRPfvrWG3?4T zpgDp4J<Nf#FUE6mc96L(K@cyB+~O($n3jMdxK*&^g+(R?rBT zS2LAtHBV?BTJwxInrHWjM%Y8k#)P3D z6IWiCS*E7h(_Nv8HK>dAau%iHgCu8^6p-u|jvCmM$SUT18cFMjh~5qcfKW;!bKOVJ zbzh@A^&6}7D#+7(CE5mj);tc3o~c36Oqg( z3b-ZH8Zxd3R4m~=qT<_94e@p=t0eCJt9 zT3z~T^`C!Hzr$CtH%3%=uS+&c0GWN!k#b!HrnAbNT(8mQ%3-rRD#7USG-$)kl~$Z) zM~;yRj^k%=a-)SOiVpQkE0}JSPSA<97C%BuFZisOJSrlWM}L;c&Gvd;a}3}(Tqy~o z-K~^tQN9CG58-H(3oU)d(kEsFBu3(&B7**L|EnVg)s!VTS}P{hNby8hxJU6y&uDJw zWfo|Xx46Lpf0;Yq7*wy{%+pe;vm-bRMqAF|7!Grq*Zz)}<*@9$rPN`ABh8}dHR8)b z?&WPDr}%QYbD;6857PXkbUdAH%rGOi)SwNH@C}afbwP?c2rc8aH)6}#`;49EfWso3 zs(4vhc4T42$rQe$yq!0rN~eM&{y0F{<{alU-3g%fUz`{J8xOFI4GAuz+S5DYu}Jfp zj3;0xcG(O89^X#%C^#tNu?{&~Mp8N(UxdeC8B*0FhdKLev`vV*#(HqrXGny7aBxMw z`3zsI!z`atEe%6?)Jrm5S8wd`R<=8U`dZ)H;SgS=sv?k>&kk1Xx&w4j=tJqxTCl zK2$56G5j1`{Hs$djhn5TakIr~DIe6QZ1JWg905mmcRJesI}d{YTh~$al2M)(J>rr5 z=nK}72LlE|ovRrPTvoKh-DTxnM<{H3bI!6lj;r{1E5PHCBTK214b8<~4+PheSr}Ma zbPqe6&XwkM4+BTGw;gTF;UL)5hjtRF9dQk-b%H}-t)IB-WK5(i$@8osHayO|Y{u(l zbCOv$YW+B?hlMA4R)unWaX@LPd3q9AQJ%t{J^oXs+P|!2#W{;Iy`u*(qVc3fSb?(=*|3zp!#RoehIpl>IY3m* z;_-ku$A@O6XrAL1<%qk82L#kblz9aVCeN9pX_exQsc?zPGO7`_Cr=MBoaO|E!WSSH zl!v1}CyoNW=Yh0YSExHWqH<*$*^VQ^NI(Ua4mZf-`Z?IR@^oOCM7Gp%ai~FcZoDxw zut3bX{8P4pf5~{D6Ws>9%jh#BfgFj<35ZgqB1~_1)ma?ITjzkrTc^113sfmDQ)hbC zm@CnsPDS{|C{$WB!b7Juh~W|bAb&cmgv-$cOgNNz0w&lyWr%9-_U;Zm4>Vj193ElM zN<0lTxd6>sptD>&N)y|s+GGj?q;cwi;A+px0wRxz%9`Vyjwso15=X`mN|{3Mor`FK zJF5sAo9GBf)T3~m#3iUyEBNWWShP^V2B3AX$!a;F!W3^IqiUUEqy<;rsh(-_>?Nl; z1Y@ZGy$-*~tZ`?I`-3~;V0ts+U4xsvWj=cyI_&l7bx6ilE79v(BqK!|Hqosx-#CNR zS~i|^2R~G@$kH_D_mQPI+=zUvNxe=__5ceJOs`I0ZMuvF%5iOlA&H{kbnhT=ZqHDK8HS3&XKF!l7S)Hdovv}7QSqDz`2X+9 z_9~5^8*ytSb(A*gJ=g|!bY0yJzdP&7xW61wxpwuY7LgC0J(lcdPQ;uUix^rS0d0&e z?o_B^%q?f*Qd*+fVj>d8#NIlkjH}GSG0ZG+PqeLLbbXq8xfh$Q8C>Pri$8~}A_uG9 z$Z%t7Q~UjrA+e_FiG`jo3Gr1Zve7caFL~OoHcCN8MD{* z1kS?G{sZ}`X0Lfp*^n^i*eV)VqaA(G9QKZsu=y-5*oawKj|ty6A$Tux5^BN1yDbaHDX&)XoR)` z)QJYpc9FHmQ^)h?Jf{8CDd0?`UUX2a{ngqfdrcH#13Gd-;aK6_d0+{Cx zqN2kvp(oy#EqT;0ZblvjjJf7@3GlU6z+790W|0H1;Bk~N4!*MwIR7cfHUF#q3+~*j)n?|ttK^JcG2U2YumZIovz{=+Udait z>p@Lq`p8BZ&eW>dhA4>{5#wEDrXvj;mgyQs?-1kUdSimn+;A@^b#XOw>3ZDEQNA(l zVs;o64rYVq)4qm?xPV2&)re)JZK(onU?>lnvt)Ee#6#vzhG)lM6p-7ni2-8oFt)H+ zIAic3&{Z1kOh$Gg@qJpYi&`)Ym;RUaA$#mPZYai2{8hYHvwOr-}X2DQ`)2HUtQ|rLwVdIFj z#TnVi`Ll%9&RF=ZH;YHWaV_88hqI zBmO-NUo;JlVh^bR*JBda4rO*ENH=QZ?z%NQ3(Ce^Lj`%jr$*&{0vb+m5vX6U@t z=^SN0DUNd(!{OmC@Ag7-U3tyRpr%{KvT}IJIKLd8j%<^zB4D;j5Ej`c6=1eW1(=u7 z9K3lE4N<9v*c{DPtW`q{2dpV>;M@+)l0YiALk_e@56rb;5Bd}go1^8fJ_Tp0940-EPr+D7n8>c~Vn+c|Hc*tKDYAULVc*Vr zaS&?7(4h?SSxfCMtAw@7DL7FLJb-ze?0Yh+HOK9{|BePBE&kv+U>Vk;e9 zJ?^Cp%1r@GJo@oerRr!vAg15Ja6LeyJYt|V$9g;upJVNR1$-)A zzYpC*F*nBVU)CcLz34n~ZHQ_!>lf;m0K5(jC}Y%s;H98LL$6*uDAzVtEf+N-lc8v7S9erY z0asvGHH#b#MN41#y!(S^W zCwJMZWtT5lvP@mnmAhb31@1bmx@>6`Zs}qnUyPl8n_r6kxUfBAY*E%=k(ORoo-ue1 zu4MzFOq8&F1Bk6FYG38z%Br0C#Nqos{@T8o`2AF|FMo-c&xc#YDkJnQbm%Km6oKIT z?hZ(iV`b!Kl!a`8CXsgAW^`f@(u(XDopL&>#pzN-q1@cOqKpiM0e(vmJBGv4wNJU> zWlM7_7tdc5zI=ht3vd*p6XsP`IVrgOEH^ZNes1Mhbrag+@XGAa;&ATRoV=VdE;8R$ zmsKv-qqVH+a#YD#$nnQiRN)DiupzbbYMqE&^H{ZN$%17_#dV{LDwkF95&t>0kXTiD z)dF2Y_Z2B=T{aL%g~s}<5-a;(@RQ9M7ODO zTkZAX<>j(g1Z#HgY`j~<%Q`zJNtW%lCnksYmdK1FyX|qkWybbZqMO}dS$1Z!xVmH6 z=2ea2u0WM|eRYF9IH^p$P~FllA8!|@%NFr7t3&kYDD&H*y}HG3iIeQXmOU!T9-J(C zwaE5*5$uquZ{Sy?bRO9#lV!LzEE?9yQq-8;feN=<_7F?n0`Pa$aw-yr0~TMmWSzKu zU6Y9GM9vS_vE)Q%475jBBDq6uJSuv3h>KceUoo>qyz4{p?rkEmt^I~pzYqtj+fZSz zC0mY&lmi$W+1W1QWG6Z<_wwiHUq!G@4Md|nRRm>A`$i1li;XYHOc{Dlu4p`nhuTdd zq3vnW?Z6u{B$M!`x6BpYYve)^e*pauZ`k%Q>$TV9!p*JXj#YN1B_6b8uY+Pni%66$ ztzwq!v?r&vi-q=ROO}bs{{#CY%j01Nblq>%xb8GFqq;=@SK}_wmX@~6LDI&o>1K)uh zodz;bA1C^Eiawnpt+Q3OHj1Nb?2(piMHfm$6$wqYhhlaKHm{QnVjk0ew5~(6TObaT z4C!@1{Jq-Fwd|pN#oN_LZLbxd_+*E8&$1_3vVCLyQxZuB*P!Z7J1Yr&XB$sqnA61) z*(8R7xr$OYY{qa^+S!)aw+^EvQrJ>A!iRmBN;@~HQ5H>;n`KD+=~{c7CH`b%D*0oN zPL-*0vVBUjC`Tm^c##6xPnFwnEphgjZQ`hqb@F9#o7EuhuO>CQBFmm^iKo}1M49-> zx)yY}kL)PJI-82eN39m|(OS^^gilUoADY0SPDLX6xd?5`5>C((RFY4Ltv0f{vEC5K z%X1-fY$fhLS9az`q@$6hN}j0Q!j(c^iRKYVQQ|8i;EX6#Qd7%Hh)p9a@rZhtLvCw9 zYAYy`X()4}I8xmp{=?VQ zP8J(jBL*LsQ-lRB%SGL~2JyB}mWm5Nsyv7hXx$6MBECjkw~pLW)F`r>#1UVc_*FI5 zX}30!))o>6d^NHN0}D#T)^+lLxWlsZxoqvM6mWc=CC|5qC(ESe=KmD{?V)hA)W~O# zY?4#zMLZ=>P&Ty6d@-sHi?b%zKDn<*w8w(4o9@KJU~3haxNvWyTxbtTss-&>F+uS5 z-dcNnZ#*x+xQ)sY(`v+6StX`biTOF=1$^1v+98`U*fX&RR#u24jO3d#=?0l`L#U`& z1gDm~DOTl#kBB(C$P!mHh^y?gt@AFv8tgSdyOmrCRtExCtyXzfpcx&2JFtCyP}Sxe30SQ zKCf1l0n|qCU0I*2S9D!ATrJz5!fMI1R;9Cqvd)TYHUA? zt7}!2ozrLKisf?Qv6-?`tgMlHu({kSr^_Raa`U`b9=%^ab>m$kXm7PFkyartt`YHd z;xaqO5?OWPx7d%x-Pl9LpQ>e{*lbCl>wa^&=&Y8##3G3JM$2AoiCz$(7uATB6z}=6 zM$D)ZlS)a_@{*!3{%dU9F1LuQRJT)G9t$s&#S6jhpS-wpo9t5{!VS5N^1Cvl(H_nS}2Q+jreDM$s)ex z(Zh$s&FB79EN>uFcmEW8>VUb8(`BRRAu;`_5E{!Wumi4y$V!n1rW8~*&m4h@KiwlU zW;TY(%Xf&SRdyj}?FVWNSDx6cIUAzhA|0(>O~sd4v>dmYuVCDE+13Lhrz&@DD7;Gi zrMjVA1S>)!uIkEg=uU|-5~~ZjafHKSc!fx)3Wah{7gshF6@|oae048C#O8{)ig0*g z$s$=iHymD>E3T@NLq+^l5uPgM$X@N!q53po#TS+2eivH#ilxPui;~hm6qVGDo>8<+ zj4QpnUUaKlcr7p&Uo4hX5cPw0-5VvMpiRuGfx=i^kfYMO#LR9(4oDIrQ;$B%}LOv2yD9OU3xo#l`2Vj6W=#_E&62 zqI-o{f!-Bf|2X$}df1uNS($>p-Fq$J!ZQ+_cQLc;ua7an#Q zV23!P1}c3~OGvgBgvwWj%2yN*8l!*PF-ouPT~KfvbfaDcVp&7D@mQ_=mONYZl@(iM zQlmXF@z=J*@cdp4&v*Wj;aPTB#e%D@h6?)67^AUoVT^`a@?r@!uGY>>wTGmI?cy}C z_<-o!Bvv-95fx3MZxxv@*iv6#f2+(8qiZ(H@gf_0;MYn;PR&(fG#)P~71=dcA$L^G z<}2#!%l3$y*431Wu~4e?Z;5!N8v0$Wm_>VeMCwjEJ9Q^4&GC2ELiK`ake#~F9-8d@ zgN$)7OB%$sbqy@&6ds4d3A(U1>6Ud!s}=Y0AMDDbESSgqRgXpP(;L-zv`3=ZTv?$o z<~aXmJO92^4K?;8Rv6Epar_yLADJfNE71L0Ae?GzYoW@*{bpIkaCg|g7fx)N|IwYc zYQa)x!Fc!CFSSFWqIS=G>5Z6s#FBTwBo7E{|I6F4E!MAV!an&|pX@A=7lJRKs$FT1 zOqPEsm(!qeUD*JG7i!U^HDVRDm(ei08)fS|8$+-Nha}1AGwwe!LuPEk9=IF(qgY%g zua_CMuqV$uavoyWU4q;T_;ZqHOu1X zXWLn6V(Ybat&?^ZL9jPY62J8~9ND{fZ+%ILJ*tmbQYZ%0$-QN=?5XX|Q1+WhxOi|K ztmly_a)YdIq!{ke)JWs`5WXW|HD50lG>JiAqz|hbO5oCxwMS&_!{UZ(TXq)xY?9a* zBP*uKf#Ox4%*mC{i-*@8fQl=^@H~hoef)iVZ54aGrJ6nNgkR{fJKq$G>cIK%RE+9w z=Xb|8gl87IR}BlK&T$Gg(eJ`S@2qVArh1zC-41Nu{*oLkuBlrOJ=7lAd&C|+Ve{)m z8XI3tUHFqVe2njC6u+c-BOX}Sw9wA(Ba=3XUUjXTAq!f!!+%6~z-@3bY!+w3Sge=u zl&!7_*FS`j-m*e;r_o>2IBAdQU1R4Zhs25s@usguPCI;1bW}IN!_bRHX4xc>B(cNh zK)s$-S7Z-K29`q6t?G{>@gpX7LY)hXyq4**%+60L!3%n^k^t^yU=EFD?BiV^RSKDJOxS%>f{;p#1AgV3l5W|SH|2?edFG+Y+t`u{LWV;zP0Ya+OfY7 z$+Bg=Y`$J*KC+<}>e^jUUu4F{+V=1caT**~tr*i5*w2Hl#=BTW461rWq_m-0M;I!0 zzorLd*$Nm#2jDV>$Qfxpwzu_<@4WZNw?(f`5sx|90~4UU@daq{z!l#DTdlP{R4JC% z0PNnfU*>KSgPO$ny8C7E;b*~So1TG1KO||ByziiFzTpu0RJ>JP^Au7?VyoQqG(5iI zBVSE{_{O@Lr%?eOlS9qOB}d4n8(YPh@B;iw%t5jSK^}m$bY+|XnCWngnQpoF4ng!iUMHLj~QW4If;=X*U zh$|g6`&=+u751{_kOhCJmUZh7P24szym>aH!i`p;eCF_j#bQKVEqw03Sy70@ol>?H z$x~@Cp~knX+lmgZzxd?leUp)QgH;iRFCKGy5P7duw@hlC)Q-Q%+HAGKyZyYcMx4?q z(mDIwHxE84cCUl~0qLl)t-2E>e_++XrPmNb!q2PgknlsRCKR?u^bLg}j9{s)t_#6J z3W-4!;b4^q;bSX?D&+Tznw3nL+|r1g)2+>NhZrJr-o>8xI*$UtqVPK24H=gt)0-E+ z0&$I}!Xhz7R>Aid2b%)It}l)*EOFF^m2mET4*=;+YY)ILP8WY%TZ0bK zMp-YegD-kg8=QtBy=Hw_Bvpl)D~n`i^LexH6oVjXFA?X~Y!^4I#RmDd1v zJ^qLq3)|38^GCd1Ez=uOevVx9hOGSqALn9IgZm`>;DeCZBE3zvzKKJELy!WG``}!3 z>vnm{f|IUZo-b46H2d`AwITuSnCEy|Y8R!#ky)$$!U5s@Sr52b{e@uAf5Zscq)^QO zz4dR1@6%2Hr1*{9VvkFDTKzpO2?ZcVnww$VLhj^Xv+kAyV-ku)sN6125^1H!OU96_ z<~(Im&+!yTdO6dd@O8-$$)#veys+kgcn<@m2Jn}$=*+mJL(X4l3$iF&_8PX?PEYb7 z*?h=8HR%ofebbcOQ++^A+k*p&X?y<4zxEkPat40hFb{{2D$)*s^bRoq!w0-_ut@Hd z&Eld1jc8q-i^pLdK*)g*w_##WB@Q%%s`lXC_Q@dZcz;z>$IJLHrlDDk-b0G>j#bOj z_2Lp(#^(2H>iGr>|NNcY*sBbM|ELz|A6Hk2-n2zh`Ll{Ye~!WLb0VYdV;mLrD*MJ> zaY55_VsYDZA|8LASlcFUT#FL+l)mEjn3m`49uV)3Df43A7m4@dZ23yopOEh0TeM*1 zf~DHn)Q^j_@c+D%WNk)hlcLK8;N3=)8<}D|eG0_(&yuVnsKPi!++Lltbs;tN5cp+x zZEdxDfZmy2kb8d?4e;TKJ=HCt>8nG_7lxP1;z{EC($;QKL!S_YA`S`!E9KRwvr+AQfc1`J?_UWgzPhY+RKKAYST~a6Z2uP~J zLE_c5r5i*q9Ew2ltf-QkWbQ&yQ6*CsHsZ*#W$*Oaa>J3bttIu7anSN?b>|Iba)r!1 zQg*OtZlie7YI>b6DN)(*X8ZI|C>)~ace$u)5X0JjTGTi_bjOO#?K4-1l?`{U5LY*d z%9iaoh<$c##cp}Y_61n0W%jUC@yuG8Q!g{N%5(RLKjHxLTM*rSU_`7BeX;{*-s^Fc zT_~sEoMg5V(Kx6US3)W@iO1GKUCx76GSB|lvKDSAmTT@?E-sZ7*T1kCK049APMsl& z?w!~g)5S)dl~^lYn<<~JT`z{ooLWd^F|X$7Ev;CP>7ukv^n-#EhC{7x`>q!b9+XdC zT)9oAHt!BClnZP3{z)#XEtWfq$`>AllRz}bqVcUOJGLKv^-XpIJJVw;X=2=&(1GXQ zFR6jp^ZqG<_xlU~i0$jp*)J@1VkN{&K3VvPJuDd-;Xa%kiz`}WBmQhxe<7m9gEUf1SUAqD%(SKT!Hu8(zvG?xT zf?cu-5dd*j*m?_b4BPR@a+bXBnl^fyYvkO8ugeK{ZGQ>_nT*NkB{N`9K-jB4Vmh?n z-EzIW9|&(x4_j8oet~QNV=cR<( zbulDd4xEkQf=U@aPpqDbv%u~xTUxK2M=P*fOQTGB>;;ihQ+zFwxi)?+lU|caIP`f< z9>aw5tN^KpWRjhq(q0mpCW~?YkHg!X_VqYIl+&8+$tlm496q89?j-RC=tPSkw?YkK z0FB$^mMH~OAOYVf2|*0Wq{E^5@QR&B8+RNLKdQ#z!>=rvvqL;z-2lC=*#ZTPR}>d) zDXA?!viC}vFEh&aqw@0Y$6goL)X0oVF&WAz_9$%B+xE$n*Fu}?#oz`S!GoIC$|2aU zaa4&uL1_09(`)vMf)?>xUroKZ3xO_BBez3otApj+fYag)?K0zFySy=9%##(HTU(Dk ziBr+UHkq`A31UcHGYYhd{5ElFgE$!ntMj20ZJ&0e^;qlS;(93gEYz?K;UmGi_1i^i z1%)o`ZaKZUeg{s<3rmhw9%~h=Iw5=!;Q{%(BLt%l8uiKwnek3IwBw49JjFP8cQtOu z9~+2}&C+}Cmta-0XHzBE^KqXA z-YmG%@aIn}Wl@2{q5DrgSN%C}hfIQHT{Gp{Q^%b;PV{KOp%)|(l;5QGkenHApSDx+ z?UB$M_!n#d);(ws>Fw}t`>yuA?MJYaCfOr;FPw$|pQiR5=faAZT2g*Qyi^Uo6ZgPQ zI0f@Z7s$vySSEQbh_51FHd$5Tx$07JpH(`g;Dw`&hvlj*ThZP=a_ba_Tdx4ql553l zzKX}hG&yzQw%S87^PR@}#(Hs51B{=V*1h5&mPcg`qG@oNGWFOyka1OFY!eP`o8h7! zh8^z_IAzAjbeXi7|ChjjRJV1DoUss593?xymESzAw)~hJoCoHsq5XJOgILyrBl{uN zon3jWGQwL9kCDZoT;#~it@0hjq4k%AW#aOhk~LwRKsCQEusvqV?%h>IGq{cqT#4*GW}jqsPB$*~UW{ns?YipoU` zz+dwnJrJuv`v9DDrPUb|9)i<&fbeKMK;Y5Oas;?Or>+u}YmsA*rQSY*>dQ z%S{Lo;YQk<##Hw@C#Vdj|^k?ert_n(BL*9JNLt_xdPHMse@AMS)aYck~`tjg733M&Ujyj|rUBW*3!BpV9~ic%eA3Hm82Rc+#2*Q=(3mwTs@Md7eGh5_ODqkDPsgGv@k@l}B-Du?tYgt5J~~PPV%xFAA6G*kS+(ck^&qs~VrU}b;09w? zyFzCEz8r}gRpN)$uvFv~uRkmYBkf}!3!)tstd#G;&p$Zj;oZpjv&z}boch<`{lzkS z!dD|6vg}hVxq5S}94vp08g~%$k!oW3F4fe$MtQ|+PaoSZvo-32 zs`!stoTzhf;6AZN{M80#@srgE3$!y+mXU_vD;gR}1Dv=82Yx6k_sX%d=#CdSy^ET} z^DqYfT-_%2ukDb36HmY+=hl5pHG(8ncZ50x%f^JI694etVh1GI1J$t5Gwgy?@v3V4 zM{7%Qpb@^~@L_Qg#LSf-=x&^u;Ow*^|1V;b0JE0eA*QwA(5wcd+a`VqfAp=@4M)WK zwf00yi~?>9_Zy-YHZYur?Q4B_`hNMYxS&P+K-7s3*6;x0LK*=pU`Tg^SeqaV?NKSS z(LT2MCs#8VME0_WridecBoqPu_FA-cx81<`?RdQvQCJC`2*(lS6|adj*()603@Ka* zPKR$(*4`=KfonF>NBHmd8CSk`LVC{;#*K)AGV?&*M(jJ#6 z{<0RngEM@V^`7!dzWqf)$`jxBD zvp?b4NvnKw>pDu3sW`QVn{6sWCK0cc3wYN$M2{qce^29SM3J1@Dj6j=5US8hs(b1u z-hEQwYJl~k6@kH+&Nv)k7Ztp_e*3}A@0QfdgZAJ)_V6?jY(n&`1LLp)j){|+>R}2T z5aSQvRHH_8r`|M7cGTmv339EHft}-J`VQ22^2iZrc3WXMu14$tL`*%bs2Ay+o;-ca zBSiX|D)5LmKM~nm9;yGF+&PV-YwI_R7$XkHV8?7k1a?VL8H}NnW5>`OqFP}lFRNi& z_pF2Cdqo*e9FyfK;cNtZ&BgButjp4H_NY~ZBgD2uoQyK{5ZXMzJRLd>)+rRAcKsLjcAMC-Mm9Y5^mdVmH45=ja_rb% z_FykJ3)vcO#hKO?@!r~+B5}Q4CByCTCMJp12>zB;7)L~sW~lNl7R%EHEpBaRwhwDQmk1@>46aJ20X||xw((4=H-aBhWySW^#@6k*7tJXycm$2XNt~&M^+l}uIt;+?5N>i+ zlSplm2VWPrudUe(qDzh(X)Y0mY;?D_8Haxz*YBy9&&cr6ddwxZ;5+MON~2gz&)5j- zu?_Wb*T1{@eL1!SK^cwDz~|DZNi4*4^&tl?>)iTGbNGRJdB_>L0fqM9Q~}@oq8zx* zaZZXIZVwKE+I$^2a6P3dB*L9IR>QGM@BsWud)kjR)`QTi>VOXe05{0D5L=(n0qI%N zh&K-)n-MjD9uG^yF)OQdyu|FvI5HyJ*DzS}}9h_WGC6?PFlZ+d&2h6E9#9XJyL)%y_YV97BU z&(sq5JWHmD)Q0y;?%HzHHDV_I z1>5v&5`~>}c+5EM4>IXV^*{7=cK;1uox7wk3$Goy5l*G8dvHoCu9j`!NCeNb98N6r zt;Z0P4=L1QpPXzDNfno3T|vyR=zLFH*7<9DXsSFW-`OJat3qXiE)KnD?5V~()sr%) zmUm-3#7F-W$^U85@2ZwiKflyAaNPKCXFoXSL^gy^?E=1sunXL1Sw*=agwOTJDawW2 zMLD-}wA@#)XEqPEt7^`dXN2KX%9UqbTP9039ON!=44jFuf)epjwX*|!P)*O;MoS|2 ziXqrn?3K4L(g3^*UR&L!f3c)>Lf<-h9;)9AS)NNi;nskd7sR-#{CZ5Jw!N~zAzNT; zqf9b?mhfi`f7EWGj;PVVGu3q^^)Sim_>M#m3Xk)!Tcn{}qkLG*Y*Y21I6zfKRffGI zFoNgufSyrQ0_+9ok*DN&MMoM-Wch3Ij%8puf&~Jj`%t}Z3nT} z^+qm&I3VuD&k!~6q?pi&72hEG*C0fG8`SUm&AZQ@E}vhu0jm%PVN+o_Kyv+q;5Cq~yJOmCx8|w{)u>_ss`x9JyA2KZ9@i%K*A&`?99?dFwA-J=f#Jno_kEgv3 zFAI);4s(4j0UFl2PoUPL+$~{AmO(_L;*AHF$AX4+Coao+N-8lNbTF#Jqw_ViE(m zW&`)cM556wM$|mXn?*G7$@=~NRkvejuzTAHeY zuUxU3lP_rU(QViAUVs)MuYuCj%SVH)zu`?8UY+8GSew7-djFMrruQr%(I}~YAgaqO zvoOo1;3-!7L2egM;YY^DY`f2tS1{-@B9}}~c4VmTp(qJB8UY=|x2$5$o_m`KmHak1 zaM2E?EXzYL+D$-jTkRad^;EA7M;~O^z<8OOt{lAKc{~)&U#x?>Maiw4-!s}8dw`|+G29> ze$`#X8VDTNi>W8Y$1&q#MRvzWF%`2mvS;u(HN@)W!y~s2DAyw>qsbn>Ie$}p(wwzO z-WVLK)!?@Hv>fjQy!7-Y)G^L65UF!<8-71Z)Ba&Q<1;p%vnzfar9C;0V_G~ZoVA5O zc~X3uMx>`>OjO+)ao^)rX#AOS2GNmWD5>Zpaso~t58N8>HofTt=IN2_A2O~|gUe~9 z9sTOI@6083Y+u4~@>X!s)>|hIQf!AbI%@UXY%n0ECVuu?LC3E6ZCAb()I2P<-}7b_ zr$V;HUy3OXTlp5t0K&&Me122>I)$*B^UvBAe=PP^|AzQKuXru~SD9-u@!_wp;2GHS z>?)j2W#ZRk)!@)tmJ`~A_^kQ?tmPBw%{3fQsHy!+{GgrY;MmjJWPbOOV9{fYjR)_f z!^MApxklGr`Mm^=$MW_LPx~qP(0C5x?F|kcV9zxe*u}beC1W2h#-Q+I@J`e@7sr1~ z1D4sSlcyvj{(L%nW?L8rrzprecS}4KZ2Q)B0_;QX<9(D73?dAFf4W?H_u0O=S}zMS zIJRp4R(_%gbQ6yh)MQ^%e~urYW22Uis8F1h_-tflSKL_KlQ(Y4ux$gem^nmsa z!O42x?UJ2x!S#Fd$1H0fIA&@4)?=3How47cNhHoG&JBShK;J4TTY|Y_i>+V6~DDL`lzvVA}b!6~DaQyD_2Nc}dyUey7h+v|k#y}v)9n-aUNwyO>dz|UjP3h_-2FTt zcz{RyeeqR0&3kQ%`Z#-az`FIp!;He1G}!wmW5G9rn)YDa4W9%K2tK^0uVAZx4*t>!kf$?!6l6JjDTa~nbo`i9)DjO=UoVZXA=S}Ufj@7KABypmBtiz|97VF zcA=bcVw^f_YD)EFvguX-hQI5)b~>ZC?9sp(u(ZRIVIKoN8eqUDaQNeE2hNTkvpU5? zw>NaGuzEG8)q?HwYHkg9aeX0?zu7(JEY1DttK%PBeUVwQ@qXvL6-;WQ;zw@eeaTTQ zAjj;ESM7|iT*v!kYj{2M)*GjccmLgZUvSSgd#-t%?ev=3VEZS7y{Yn3j^xb-)&(Ce z;}Db<@{9P(fhRUHK3=xz9=4@7UBB>xbo&!Sdf`rL`!9bSVV}2s$9A{o(p>go#=ks( znXfIVDgB0|IS^!mM}a8w=)R3E52ZipG`}eZfFEIJDRue%1i3T0$wH?G_?W zX2NwOnY|v8ZS8Dr79YE!&KqcVuRHDI0_Plz3;ZNj7SHiY{cs+4EIMmbjl6set+0qQ z2?Wr=Qre70+w(eM!+~tnZeX z9eU<%jL~Jg8N&wR!(Cru;*P2fN$1Zsu4{-A10_twR>4otdgn zDr4tzvBtjf)p{Vdl8rD{XTiGL*kW7U5MRkD@cFlr=wC$ciDjQ%$>W-CMuBVe%2Cyv z_=OvTr&z;Y6Re5%O{#s9Jx-J2AszcG>m>EP+kV@j_stK|9vkn-XM>&FgV8)$8Hk^b zJEyh~#$MANzo?C~V)vlfK^ueRENM7%7YYrIkGClBc>D>@+^`ozm~kAke#2Ao!+7sn z&*E0Tg*@}_RgQhJYqqla%@X7&#U+b*PfxECKmNpnzj?*E7eC_MOxD_NgJ}|EH^TDoo?=~j5*WUcu9YOVGqRYu!pWs#N4DZRZ z^>qy4^|p(q)YR?{mJD1IAGKvEp3Ijo*y~%i@w#C2t=AHo^JX+5R27?iYPEyP&*aE$ zCCL}Aom`Wz{fRj5il4YBzIb);+qz(MZ7}-Lx|Nzoavb|r?o0IN?n@}^advwT;o!}@ z8s65~Qd7Hkpo`@PYn{S{jssL!fzH3VJahyQDz011tLm%cf8v#x>w><=w+5HqIIw*3lsolU=e8wV5q4v| z`>iQ8?ca(&#}lK`^0lvUxHXuv_F4rVYzS&oSYP!xN&5G{5Kpg*f9DF)<;NX~`mD^$ zk2@m%EPo3&yfFTOolFh9XtI+h-CED{{(kRjp2R@m8bCb8$yDnTFuExZu5$gVCR4^XtsA9W37268W;4AUIAR z7C8MnBC}N+%g-9Y>P985qe^P_{lhia$;PkyuO2FlMof|r;$M#E0IUYCqc%4`a^ zb~VqN=<-v6=Jo%JFRPRczRXzIH{suiyrQ|7`L*<19KLu^{5Wr)`M2jR#>I8zWx)+U zxjw&ZYkNMpHyGP~%c^&H&NG1zN9>GuZ(-?sXmH4lU+M~OpS+ljbo%cJtK;)_#^>`Q z0@2zj?DXYX(N2~lH3Ww|@gVOMjnN+G8N6%|9P*PJnFils;oK2Fn^*YNHrm{r$+`P$ zu4UPQkNv;DKdWZaxb87%c5&?$r$IB8Y8Q zyj8=)c+T(h@c&qGepr7ldM*A22i)0h&>asv_?yw6Q(66g?WLE_u6g6d0S=Ak*@|Td zK5zBC`On@q@bu=z$lw(ehS&oav2}d7LhkkPr5do7a4xkkIENU3eT5EcH|bptc8|*Q znT$ne?|7*8hBX(MeP8pMv4rw%i%ZnYkEYyrHH4azYLn+(1iU_;Z!16fU`DYM%HDjL zEzMw{hDfuWDP#mYI}A!Em%RzPhBr|22ASW;w)Fe9tRH(!YW?D4Qn%hw!~2=*IRu1z zFV}>PcK)@e2cBwEHoZ zol@Qldl)7xsDAU*}P_Ruzup_>9rdY3E#74=9ThqaX+PB$o-8C=qAbCqIln>C8I|$mzc+Zmf$AcI2H1o5( zX1FDOY#ZMwYGY?(OHfNHM<|Dsv)K|k6^C1>o0{NtE?%nAJ9ju&V$MMPW5FbzQk=R> zf8y^}ENW-1#U9Ybb8uw#=h*IG70lZ;b1vdZtls%&&|WoXS@?2Ib`CGv%w8P-1mVT; zN7y>-UH|%qZtB3OdEgkC14cz<O(7X=%?9iK!QdKy${vueC~+Ya8& zt|5*g{J3UMU;IQqVDkdI8hjBge%uT33xl1A2u|Cub@>y)i^2MV_#&x!AhOOxwct)Z zRr9#U9rzZaQTg#B*wQDU58m1%zI))q75G$6ybZ>0b7)|7L4ofxmDNlME?G-wAmkWR z_SCLK$3n#2D)-EEab;gyw6kNTYt+Y+8eDmeht-;+GkOz`H zY`634v?F7Qh>&M{fz8I2mk zc;l)%=z4&C`E}*fHM4Kw2^6+}hTQ!@s>Gc9YHZQNPwy%pQ~i2;^b1#)pZdOM<8yQI z%@@#Upx zJt63_1IbD)0k?C=>3>3gAE!tP8Fy6=8C%{ArV54*)GuZnJdj(;JqO4(FaDz5C z#RhiJ#;C9+AbE~uS$S;pkc%_7qf=rp(=NH%Tf5oqYX0|P4R_?oTgrRu{(Yi~NApA# zC-G4^=9>}3ffwgCD0yJ;8ed5}g3nagIz3PDQXaeK->FkR+tirtv6Ugso&&P{eT#qT zsd(wliv88_zCLO=p=?e0q9YPtCkADAG`Q)h#f#&UbMfgL^;+DD-Jkq5PdPui-h90; zU{iMP3%9Ts;Cpxar9xHz;23vcq40h9#0&sKOf-_&sUDn5V3+uMT`9B z;+Z++W7urm*1`9kc1^gIzdQD(&l!Kt_$d=N1UoJb7q#h4yt=S~0p)N8jjrWy-oe+* zCUX>*t=vO`*FJlF@RuN8w|GskAu)MeJRP*vtckDOY2&9HkG`ro3H|8u^3g|@pElxa ziwE*g1|8>c=6_7^uxRKrJM8|R+oBaqE~Slx#zauFtBO-Xx(980gLw0xZQFzX)0i`eo{rtFPs44xJvjCkbgdUA-?sjk#pB=Dr0IS``J9o# zbGkWBDl~H?-d;7hS~!_ue`8-NdK3*daUUwa>B=TD@U0n@FF# zVoQ0;h}!s@d=G4La39~egTh0Dc>5MMM2~ubQ}6MkH^x`w7OETJRb zv6}b9-qcrus)9q(dA6GR#<%Zw4&k8jildEJeE*ZoY0YpLOM}u-Ele=HS)w5nFh^DE>JC`;M#kx^2fdUfRyS`y@t) zu0=fatYf+!KY84R@e%7-Ioh)4#v8WmjUUN&iC?nDwER*$W*6RNz9e+JnGPQL?>uCG z-xhYWATRFcni{*?|BczsJ0WF17CdN=`~PfRU3)#AF|n+D6AO;B$F%Rrf2J>f8m~XT zKG(Zg>1^Z8yPd(?!5xHpo8zf%1oNwdkKgbFQCa!%@8>0n5q$oRHR#AY-yFQvcv$e- zOLuJA&I4->jpkUQzs9?yJZ*ZC*YMvPtj=@Na?|?Hu(7!+*tI_Xt+HVC_8lC-+O&hk z^nu#~j*uSE7ObC~M8gC4ve9zAc|S+TS;nhFl!O-}oAWA*J#oPDlhn|LM- zR31CJR5S4<>gMrhSfgu@Jn0K&mVB`zsK0&QD?$6_PrrS`ivh2XmcIu)FbKEc+) z#e9Wy_165%e8Vei;v36$KFI;Mwe-_9!N>CV2D2`;JMwL-d8goEUYH%2tJgQ;{W^8E zn$wfPSpzlq1~YYY!l9Gn%h|wM&DQW(j-`EgTl%r!p?KdItxNsCtHvxd9~N3PF}~%B zZ7(h6(=wdfy?}3n@v3(9b&S|^mc|>1Nq)qq1g?&Mn>j#eKIRwfx=3pXj*{V1k7<>_ zWBvG@S8Q9%Cj~f;z+Q@Z*6={+iDpjYy!gO5&5;*E$oeE5<>QQP8Q z)@OE)Rl9gfvpK)P3^>;sPyWC88TRf$pJ6|?Ecy!j z_w^O_L(1G&)#)8u^$qp|%IsIx`3(ZJ`6vJQj{Ido)v#gLG8|Te(?5Mf{6oO02M24* zryW#rl)=VguY>=Gho3nx>}q!WVvhdW=Z6I^d-PcY6;}_tCSH*Hg<-)t9^I7}yLnh} zLJ9l{;7jFi9hBdde;xRxd=B5~Jv%JFR9(gMTP}Tl_$un|c%06T-m`63Q0M73&8xHc zABTS3e)wy53=4kj@%uIt|FI7Z3l=go4OM>MBg2BTDu$-t`<-FIUQhmD{crp3FgE)3 z#3o1pZ?^qVAFo+uR_1C1ie&y zN#8GGCw>2RxyR%5745*MhXt2;G~X7YUkzPHIGn!IL;p})i~d*WpYZ5|`7e8BSdjDR zj^F9_2k1lTw&dAi!8IPQ^8?5GOXyd5biZDW&kYMc<qPFZ-sJe{u$)06m! z$Kfvqf1ZbTI(`ehW-{k%t`4R8tauXqnu@-KtKV&LJbt}Izu@P?g6$<_I{uNr7#2*~ z4}Agji5}g_SDQ%wHPDxMbk`=L{SsQvqd8tz_QGEd3oh9Y{aNTOCFruF8-=jIXj=lx@RFCfTc6R>X&_C?a9sV$0;v7=~ zKNI|69^RF+6#NViKiE$;Lw~A-kC*Bf(xHQnst@Uo^JF^wX7KMRf&Vgizpu)ElCuT; z*SxY_{}wIv>*83>m9ZB5AtlPVX$bsRz~hFI-#9t<4w3({LHT7z>GOT?{(Rut%h6B% z&tbuhUOB#<#s4t$TnTz9J4@zsbS8a_SKn23KJUvEeg1EmKQZ`F^uO&L7PNb1Iv*UW z%o%ieecSbp5@o8*M6do+@tow^Otb~i7J22V9>Q+{f21eV*-mXC`uG04@7N*ybKnP$ z<-#BS?yz8F33*P(G5<9zc)-&`Iy%~`(Dc(*&SvL^eO7YM`U~+2^Od9d_BML{V^}b} zM7ge>FZ^@=^w(n*!Rr0+ca&EI*U?d2`7Wk#^51$-MetIIn0To6g4z) zO|1w{@#qfU4t}18m+q4Hb?~3@@Pp;em{zgRyeYnUL*N$;fo~cD-#G-n4?L!e+RoKu zU!(mjev(rQ1FZ1xu51n3TO)t$i4g9)dK348_Z7)0i5c*JY zkm$8DDvH)tZj5w#JqCTfC*QT7qu0%>C|Vymy8K=8Uxa?D$3Fw@9B<{U;<8roU=TrpuzP~_oyw0ANEG@RD({}*;MT2BIo?k+1^=J-1 zi*H@|G1yT3?hf?PcdAK?$HM8^E~u3y*X$w{lM0Wpxay54yLbauL#~>g5TBWlN}YoDzALM zK4pA#>MoBql%G6xC@}+hM|pYG4#GbL-uDxSKWceJAe+0sAbphoqu~AgP9M>(g*G@| z75+0r;6FPA{+2;_SN0v?$9g*XWj_zi_fJ=kSHSyi?(nY;!mF;*^$qY3l<;S#!_D0l z!AFMB;rr11vYgDPz^6POH!eAur({a|tn|r3^JO}oRp1BvtfSozZK_wE^JmF>3);&i z{LSh5%*7SKJ07p|S10@1y`}w8vOk_Bp7MB|%n`YY;AbA*$#nF+&<9HBG?YJoaU^jT zU$Q#Qlc{zU{sHh;d3co}{AnvIg4stD{Q19&X<{t?uHsWOR4eKOk|q50;9vIeetksG ztgi^ZS)$KNN6|lYLvi17a;Jemrv#sDFTT~EtqA@|()CH_|Dt7YD(-uZ=MwOL8pPvh zJ)f%x{yB)|bj*CdqG(O(ble1fLW#C=GJo)eilRMAw}zLF7u{SDobSb*t__^*dpA`C zbzc1I=uURomnw?Z-hTaOLi78HlQ{?cizW1R^yBU#Zu97_Z#w$8uT%tSPv^mH{rRoL z?B00cbawm;?yunZS)t7a^Pl?w@swBoVESWUFYb?n_4~#*DuS!MHgt5?j+4I0{Nm9a zelGYe9)764792??KDI&EiTqA7gg*j2q0v706T#Pbc-cbvd%@r1;r+UbKI&T)!O=sk zzeS(&XhqSw*YP`j7Cp9azY<>#{LUfTQ1nUPtKbEwLOq;Zr}srWD}sl;HgYz0^rN02 z_VavTF#icpR|LQE{CY4w_)$f$tb}}5uZ|yA1SffRbNF@O{rT4Ea})T_m5}G?V|NoL zm!Lbjcl@Lxc*rYH{ZDpke6b=(dU)6WM0)_*;QlB4x4?hZ;~8A{6))|d{=uK_pZ@HB zL;u9fjAQ$0`}==U5nQ;RwmbMW*212x2J3(C9`+!pxEqrP)5rg&BDm6Pdw+b8eeU}s zbIE?n|BrWA3wY%Z_Psa$L|-dGcWpW0-F^GN%AF4WYhJs!{C^q(|CRr$2)4ebrhoIQ`S&~-eqBU=9r{9#?%PuIkN=H0!J`l6e;E3So-GFR@A-R0u)*VZ zbk$w*SN@}-=y|up-vVA~*TxQiKX|6K2(Nx3IXl76@bE7F60Pc=6~V7Pn$yAA=pE?# z)pJ+Y;5wdERvC17bl;yOe_eTH@Lwh5JDoonuPlo1hH9_aDB>SpHJ{^^trZ+yx`@96`goGAuCjsfp9eqB!w=?r1G*oBJ9&q`r?O~|-^rT{{*V&& zFmVOt&F78qPOm(**HCsj{8-LKkpHj}@>HJayAP-gzU0aC+fek&53CHXEJ0U2ME@If ze=K$QviFw8R}O)H?-2OmgYZu85#V1dQ7_p^di_^bWzgv9?Z$u6mK;$o^GzW$om z|KMSj!Mz^8qnE0W+U2C<*tg|1-%+Gp-5q`%`1vL9KL@Y6y8KE@&R@a%@wo3dqW|T{ z%A)w+^$W-Un-5e5Z+LPC(|>qWWw6Gp+hBVA(Urm4{m_4UOl9!&5ObaMyX%C?lIKL6 zJzo1zvHv=~e+_<_r?;!O+FNpGpIBM6N9@{Lv>ddd&ZdZd-$|7mH7?lNwWlllN2gQ< zHx1zr;$JbQa>#Q*qW@-WW$>S#?t^Xm<8hV2WBZ|hc6?=UcL|%jx=)>08T{Sj_v=38 zw94QhPj_cGSGSroDubVUypHbLer8^QZJyZm|Z{@CWq`40Hcd*%DS zBfT%2Q@PKYQTP?$2d`6wzYM%T7rJsj0p5QG>e|TJ^yZp<#|)?Y_n zytRV!RVVYbL}hTI$LG#2NY4vO&|G<|q3!VaTzON@uMEa`F&FMjb8=@v`>WR<2bViF z#W-4m?#ex-abMYvR#$@N%I$~N;n~9Zqoe;4x<8gVKXUZ%HdPj#T^!8c(p(wb=jlC| zzq++DcxFH4zY6^?CFrhhFSk_&WuAP0oO-35zUH;nVBPwbR|YqGypHbt^8KBq``l>o zb)HVn7CG>zdh!O>_13Qa)1T=sO?UeIHB%Yv@yd1hU-VQ4KPZ8}|KiGEcM1Hpy~Q?n za_X~{!M97~KO$EdJYNF;Tkt>f@I%dWAK`(dUOwF6<@IeX`el8UMf<5k$y!BTz25(E`ak}ABF9^Usg>GdV({@Ibi^lw~V8JttXS6#V(x}q}J z=*chD9?Gi+PI~KZs+U(f3ja&+pYia%4x;y5Rax|`()S6`Ux9wF$M4Gfk55zv$Cbd} z3;y&H_}9SSo?OkGZLmPrVfMcl@p%OFv)S?`2oXy#@TWUcHC1 ztI9cVB5T+$6vt!!nHbTRK|kM<=j$o@{m{=SK`+&oDsu-sdLhhT`}y&f=+E9<8O#`> z?xG*Mu`*cTmFeWRga1H@_)#_!-|AcUjVXk`9z5Y^7yo&Ic>}t zqfT1bl<&^v?cJ&4^X6{C$sT{iD?wJPB2;Sj{FM31Kq{YYZHc8)`P9Yv#+DSBbE#%t z9gTG~W;G(r77?2|S7g(dw8FxN3tBCPk1l3o^ApqO&zPwfR+~FP#4>%T z54*K^kky_J%kEOy%CoXJoolsaOs%h*J9BO< zWyJF3#>RYS&I)Yo>T2zcHTJgm#d^}+-5Jzr%oU0-8m2H`x;vI?&iAI8GoAS^(kV(+ zUHh}3y4%w2pn4i}9Wkldm1${hY3*$62ie_|>y356pK4u|&c%8%D_eUrZEYbLHM<&n zSD*r)+0C`IW}9Q_Y>y=(H`kl#L?LW2wj_tqsdnw`eE zmV8##wRJxpQaMnWj{;tFfGpNbbpWqh=~)bXGfdH+OVq zGCkJ4dRy^iHC#(3-^A~oH|IKWcG@AOFJZ*G^IbG{JNl(k+407(whFW%IzypD*mkwI zokbP#YOYpoN%zLOGsau39?kvDovJ(ex>|D`8QDA6+n+KPKvHX4dR0un%hui4g>G2X z+5w-G&tXeCL(F{Xw7XG7htBBg-`EB%Y^d6KbU7McCS~|(%k*~P|JF^YUR!5ldv<~` zqOpnT54fMa)zyg(gmI;h{I@sVlgr3(sjwQUe78mjgt!4h&7SRPZFb)1dUh(8Lwnaq z9oCWb0aoj8wsxUMs7ZYnY7!zGR&0T%QJ_to9!q69TT=8oyjH^t{x06W#?HJMhB{X? zcju^sdT6SzxfxEnl!qYM$LE1FxXjE&vlpc5W+rDYNG+U~Ow624Z_D;HceU_~%3)`V z#vTn&czZKlA;u7tm|C~MltEX80Yyx&=Q9o2ux!(>B6qf*r;W9?Qs*u-%Hoh6eVwh0 zeTA;g7o1xfbB(5n=wNodOKEJB|7uWb8E?Ua*uun&sS9SR*Bj62YFrf#l2-A--7wWm zZ)(!$WBq_e?QZqjBA=D7bu)sjh>&fhT1$XQk7| zj2kmCnw89*o0U#Kx!@DVB}@y{PoM7W?|VdHj3^8=1zcxiuDK(0%jpYhQ^^HWr`Mzs z3G9P&(8v*!Tr^h5a$bEh!51``*lgF#XX)eC6{N_F*~zKXYG=;Scb&UhnSD~?m;baj z_sVm!8X`3($(s3|u#wEHszH(IXWz~s2c$n3)y_B#VfN!ins}NSXg%%b&7U{Z_sd8f zQzAYgKQyjo+PRByzc8Lzr#DnnMsJSs22W!y(bvJ7bG@D1wzT&4M$KTykJjSGm^sgy zAlH{-WF?RZ`*Aq`tG}n)(i#~1n3g(QU3ctYsAbA>*;7{R^tm&p&0Zk)GJTq91{K1= zO+z+jVID%^S&7ub9y&m4!VeG3SY>)2SmWz97@!IBJ$SRmPNw>vE}BOpLAsmnYdv>m zW9JH{z08Vqs~OKCT%;_`PAQtA zVzi1HrKvv`4pQDQ=2}h_Fufh+8gXEGGjmSp^w@-m(clzRHs+Y0&7Cbpd9Bt`CT5bE z9%Gb#lZ}3n>PYL$+PY<`Q5RtZjuGS$3>NsMqkf-07bBGEWhxQLPCig@e-pi_MocRV zq(&qr9M6yLwoNd(>2c{cTdWvmX64Ovw`4JJFR@2&7;ng+vaIQ_M%&B^3wmNJBgG0+ zY^*uc)zjIE6$fct&?}RpQZy??m{COPx4WSuv|_KVWTqL%WrQYcQ@_5=!4FzT{abt* za?Hm&bR!EYYObQydohTq!)Gq!A^jApG4#3B(U zpwNvJGB-t~nS5cjoOBr{-7Vo}xXO2$HIxfkiP4%AQ;sI z$JB}n#}wcu=FhKN?9clnkN!(?4};*pJP1hH1bX3 zMtOT#_^=xFtcVHm5o?(YwpDzlKgNDoyp;}5cCERPNUZKUtmZJgA~oJ0Mm7Z4rn~VW zKR3)!4@O6I6~UIw%5MGmT|_;t=aT3n z-;Yd1KT0YN=~f^k_t2MuZTTo@=@KpVt;F%9`b)6ZJJ+c4t~A3j#kVpYSi4G7lB^E<`@;x;6#mxOt*Nw) zXrJ3=JFP02&N7d)C4tq`gfSxOkFBLrO^m;6xv<}3x_X$liYRx-3d7VPkxFU03fVP( zH?w>Yn#l>XWMhjLr=~5OHEU*_7J#gWt(wN6$g7-f#GeeNP5E}+nZcZ$M60`@q3^^A zCV0@Upb08m^?UNHb8TJ|-J1&GA(CXaPk^*5w^YX15=3?-o1Pu2VPqjYADPWLGFXpH zsrQ>&z)rVlCst+0!nE<=GBw0$k(0@@0YP>nPb?}ozkV)fPI+M>9>RG6__R5T3rJ9H^tS+#zp`{@}9Hy7Fc4t{gc)HV}nAv5o z3}sOPXYcW6SbKLf!6N{v;a;q6h|o;8qG_j}H?_`WFwJFDGp>l2d&QU$fMpI*Nv9dz zBD>2Sv00cGNen!pvYPin;2bJ|5V6zc0%bm`#~5gIZ|}{lv>IvT3Uej?kYv?(}mVJ(nRP1#X>d839EHEGmxrfr>jdN#n+ z@UY5cJrk8qWx=ZD3ysDy%c8XYg=J9xibR_(PSerpl+DEWN;Gz9?Z}GXqFPzFD@;=X zsW5samyDp?X5O`%L&nxTZ%(@{Y7b<6~5Tg6qXkLXb*hG()Qsx-|UpnQ(mfBcoZe&J|+JJSgZ)?vm z*2pV)yn!&HU$&UrogOxuTDuryH4bf!N!e29(LO?I zUFI+Vr@4*N+M6|XYRczm|F+J4YvIBzqa#lqS_uDK1}CwA86>nokblwz2bJKpN+D~J z(F^FoZdssszLCpdB<6cUa1}SWMv=v|zntw*BujK)Y*i5FHAn$z9oV}M_eCO%iHn_K zv@j_)RiWgtMI%Nne@qJ$FeY=MgA@pZ{k%Xiy~n$4VE`#=E3ZoxvW0D5K$!8NaO=CU zhmDqigK#Wc3m7$Hu?mq5yj;<^RbaFWdPZOxv$HdnWB)6bW?euPP3WJ_q|#jk{cMsFh7=tnKc(IqA8!ZOlnM56lo&n*>mT{ znkE=)$s#HHV6!Eyhsv6-jhRfw^JXqG#W`4~a`iA4s?$;J!T!b>r>(F%uZ#^yH`sJ8 z)5!3nUCUVb>-O$ZYvk&*7n3#%p}c}DVo=tfJfz235r>{>hAT*iGrNiGkno^2v1BTh z&}J&PH1X{DX1_xdVs|Ty8i&l}l~>eE0TT<7?Z$%}_wvoNXVf`iNbE6YcSU+*LD(r7 zRwJU>W)n|@A`H@#-B7EUt8ysjvU@$yq1=G&?!A$$sWIQea|<2<5lh(7T$7F2(Q(-+ zT7{XZ+IHm@N=_!`PLFEjvf8ae<2vKXGt)fcOJL-rCJL9!j&knK3Z1Uy@@jHqr`pdW=ATc zlG(IqY*&Z;FtnWY3vDY9bQgr1EJW0HZZfuY`Z{kdWR~S4szy;l1oJo? zW6#p$wol`FE)ag4-^5(zp@HIDPuWPgc2|koT_-E}0K4F3_r%!=4T>7BFxF@EP(t!u zRu?t6dnwP}5OGm2V{BAb*l_GVW%}(Jx?L^NlD@`!3=%#HY z+aZMvwu!=`W^3hX)O5qbX@#7=dHnn-9-c?{g=(?GZZ@5)vE>^)DQngGQO2T93v_TJ z-)!1qHjmOt&uAzNHIc}{)n|R$6AdU)4UHc*@l-aGLid6~p4OgOq>dXsv&os~&nq@s z7;r=?xKS%YSbh_errXRGX@OKce3}L+(#Py5hyEIN#wIfcGuC4RJMtKV%rudw{4^16 z1}iMia%5m?EW2QS&CGd)dKQgLv#sN{v3-V#JB^9WIF@C9rEUSGBopUFKHRlp_Uv$d zV&ea7a`uv$<6{O)uwbGE)*BdAd6=3llH#X=o*~Mz;bW-c|WEZ1Vf4G(i*!N%{BNH81Mo+*5;cN)8{s3SJ2xTHHji4nex?W zoYC$8`*$)%zO|c`q~kj;-QHoIwwlM+5p&pAoh_K~ZM?;%0#Qs8a?G(U0~^E|Dz=?FO>JGq^N2*qAMYY;5Mt!qg})sV(vn zW`&5~n~Eb`p*hr;VaM{EAJ5_WPn123uJL*H17vH(D-qQjv+~W;HMg4)Bcdb93{{+o zVDFiSeT}_#MW#gfG)4y|L?nh2);WdJuHq)QHpF$-NQWYy6&VjW#LQxs!#Yp zPbujpXiq?JC{*ExHgJTM6lkbM_cKYdM@gGiJGy${PChe$D$(4D5|%NPsBxQLVz(w~ zPGztXwy?<12=>NlOdcj;hAPA7EZv-HHrSj2G)c34>J#yr2kGpmn!wazL&Mq|oo&=l z!P~pg(A@O4wwq0F3UI_Cqc^A0o;s$Y6m3wD!rG`#=;TUr5AnQvR&T5&*mmtocXBL_ zr0E=b($878-gJAK!+mU|nctm{b*M4nRwG3QvsO-}+Bo-MRPIQzXT!K-=El&%lB|sk z!yVO`Fhj-Rw+3&=dn=y@X2=oIJY^RDC7fyHF-Kbq8+`a-2gh}^MHA|5mNabt={ciB zm>9If9#!aY!-*BCjVc70BWo?=V%=yi7PgwqSjaA1hVxU}D9~)L4zAx>kM)?^H-^Td zJdVWX%!aZ1Id_DzRjv^^r4pS`WHZ3UdQ}eE%fl4}hvlTqNu?P3Od6Rb(`@>i99@lg zE%Re&J+-Cz4O_|35vN{!$)U6k@~D1vWghJHHMT6z6O!-{ku52mjBweVi|4gB8j2{2 zCJ5A8^2F?#-x}$|eJlf8dDvtgD_RD*uvN{3ONEV$2+NWnOsjt>;16$$!WkLCEb&x1 zm&5h8kU<^D<1dWRe*SRdFe<|jQCz;z;jG@qb9kE2%+p(!LD7(DmPicEqmvyxPUX1- zThT0d9nHjFCVndDY1V48cu!GYH)w|$)tctvZg_7;rSOKuff^&#%ClviyVdWx)BZY- z&ZXv}DCxv|W885A4+|%HDdZKy5vgxR)DN-KF4yDDCt;i>AgjpbZbEjqK zn_#@u)GKlB+y#phGgF*TovlNTreKqSSfXnM5t&oP#a%_Jlaqyljtw(VH)HfzcJ@3@ z?y<>ferSk-Ob@eCI{Jz=b%j~=O_g-ia;^?#M4FVcGQ~zSbFm9T(SS~)F)hjK_Hhmb?bB`1 zs>s8P;$+;18CUeS1e^`n|0#6N2&t~+4TY>0&C-U!vdEyGGU2dln!eCnW;(G_DZa2I zj0$pgv5&1Zl$&LeiTyAn1v1IFwiq<7L^jf5mVL5k7C#zDmO4C7t}D96udIB zw&(1~v$LdQvP1Zw!7Ma}Y@lPQnxb5lih>l7o<~F(L)WD~#lsERz0M!{oZ0C%MT9@G zJBZ0)J(nr`7B8>CnEu~1w{ca1V=}Ed?IDbdHO=J6Rfh3nY8ZcDf>xaqVOBNxSknx% zMos3Mrqji>2j4`&dTQ2Kv~uOBJ5S2hgUP{f=GsxXskvoga++as61~-KtZB9+DR2yn z3Co~>k1Ei#lKj!pa;WS?F9(=7r4XYGtE0>Bdf3XM${HoY!$^e%x8oV)o_1lbU?;=L zoLo$j%-dh+>DKCI3ERs?h_(r0>74+4$hJx>eOH;hfFX3Q<) zAv&qIvRtz_O*f~s!eF=Fyt|Vw!o|`p^+pRUUQ1zy%ois5=+FO&Y zIetpF-SOKgzhEER^tPtfnOsh9I8h~v)XJh4o?sfu)t?0c=MENTk&QvYhDWc`QT2tK z&x$GZ8`-pxZLorZ97e!Ib*&8lJUS(}+Bg-;Dd>zgoP*{qjBdLLMn<`QI8K|*aV2)E(nmbOfMIu!6pwwYPE4W+NE8V>} ztUDCbF2jb?#e~sb3}ZFIz@|2)28FpNB=Xe59NRF>ZnF4;hbu=jV3dyD@QYBzo^7N! z*UszpUyV#eE5=gV?02H@AG#*iw9}14n*o^{`IL4C9NsmSRo&e&%e6}-%OlI;|fjne2hp1wWU2GT#6a8p0af_aW`eI$$&U@t6jFVesE5i(Y!qI`tWKhfst&Fks%m!L>O7sFPO_n|(9K3F!@=?ssvr zwcWa*-iYLc3@5kE%n^$9TFpFdisZ3wvTx5(mR|30lA|*o(Qo+L?VrX@*12Ixlw)T* zmBhmq-h9#N*pxjet6jvfbaw(k?>L&#(-F+RH!&v%sX0H)3%z&%Z)lo#-1r$=?TELo zVyjYTUEI&w0@(mzv=t`YCn06aHx8byv-7lGJ=6Zu3?7|pMmHyn+}aR+Ov_`saMYd2 zgX?JNXg#JSQ)l>R&nR%#tqOrF#x$#knXnx;>{?mE8fj(EhY>B>NA*T2TO?<)yLzUXM}v;H zZq+nA9BNntkC_!IyF#nqQdp%cKtWIAf(wA#V z{toa#!=%qdnSWxO#wGOxuQKEYfz=^e5IO=HLMBsO$W>RY1Zxl`VsAhwTYOGuqrEhnb zfBFH-2Z|Ym+G$V`V*wR0UUvXred3~SPXK;qlY8D9i|yqC`-!SpY%>?h?*d-1$+rRV zgADd7fO~B6eea`nxXAk`p9pz{i{$SCf40dv@8^51Tws?0*4t#_&{%9a7udf7j>Lo{ zPXvs!$=!fgY;q6aZ#LOJf*=0iBJUl5`)%^ck$f7H3+(6*@XI}1B84SV^YNf{Trl4NIN0=(qaql-)cYXF zWuLUkQ*hf_)7_E+x@`6=DfLCN3&_1K;c=W?SK;m*de-HRCo7DJY4N@-)Tx9B9@9ORKWA#bf5tHhOd#vlKBlemel@z$t zb}oV2Emq)b7OM^;hr_OFZdHTi%CHSdYG`@Z3@-@*H5*uGIIqJL#p)VHfoz&28N7JI3%jP)JeGgyR%DABJZ|}Tr4^3TO9o^DoZ1qulr;e!! z&EC1*Xz$b^)h6~%Eu%`UgolQ?+;zZ-l^kAb$h3t@@2Y!z4JN)db&3K z2?M5_iaZb4AyIy1@8nuxJ)xEi-79NN~^#My$FOcWkI~PLOJJ%EJoi_s@rG&ok z7U|1fV?kf;Jbk(I^ySXempe~i?mT_D^YrD;)0aC>U+z48xf`r6y=;ZEuF@A1HiRR_ z3Rj}=u7#C$3nisX$Xo8oTb?h-TkgqQ?#Wy3$y;7RUfPqF?k~tod-BqrytF4TT|!=m zC$Ho3g1in-UWX^I!;{zHNvS;j>%;et~PS5jaW7iA0=%vrQRSjO-|8PSNL za%3yGs+SZ5S4*1I5ng%p?=r5i;EX}==Wkr6*nC+*(b@fSVCHo29XvhhHABJcrXfyX;w&Z63y1Z4ma{r!7f&||(+fMZY z?{En%xRklYTix~@Z^p3 zq$Iv;la8v~*IJI@g1_fNO@Tj#Xu+{&*sm8D&xL$K7W?l?$iL&l%*r{&DPe^S#T-64EP9pFG~A-UxpXl;esK3zN0TG$m*7? zGp(#{$@*lN{u=NwE4TYRU?`*8$#}dVBP$sfu@yym*(-pdysRf9>&b{(QZl~BMK@W8 zhzGeyPDH|NF0b=ELZ+V>YQ4(3z)`M%LvcR4!uqO-b zk#knTbEIKUv;nTR$uUTgs-BwK<_qoDduPFZy-p_f>2>x~drB{Q^A8aCq7mA#0D()5 z&;}XwGMjYmLf(52D{Vju7*aw-IvwuU;QCFr$QM-LDuar2d;?TivuK7< zH4bOI#|Tw|ALF800+T|tVA`TxdDYyWW>5)HXWOKsDzoMgWCnr{7;=MvVo{+5@8t4& zgvV7at`8e>y}+qla8(OhuBe*YlRMhvtUE5u>BSTG7mDn0+DVO53`c|HNfS?tZ$drU zpppe@WWi5Dm2Ox_;0BXS2#61?bO_lcSfMigN5JYGNxGfncj5@ZM@%xQ zoH?8Pe*jjg$WW_b-pxgcF9Ke-N&cFDm+<$~T$u4Ozz=M)kTDYTM=0|MQ8CW>fD3FA zr1`g(zw-^Mp+JqQB5I|f*6GIvm4l93$XI8ESgE~G$U-u10@O>BPHH|GS5WU5$~@-DL%(ar$ebR)W|eH=JrK{su%dB zr3%DNJY6qPVUh`f11+`+aJEgl8qDYRCWA_T9`Kk=I_g{8{>h*k1m0tU;Do@LCfOh` z%VGs;E!MIB6P}M5RAjMv+~&BjcOdvzCVkQi9E(S<^5{{`J^FCbk20ZjQeYI9no%%s z(E|6{5{3)zYUp&+Wo#|e)}fxB%}r_ipLze|WACj|~L$%MdB7AtVH#R`nJSjVo6BMa0pqob;@nOrJR zaIMMNAaG5HE|GP(vaT^%>jlEBk-tp^rz|v_z+96|2wZ8g0%BqWaN>3QM=p7f;72e3 z=@}*HRiZy@0_=K$7r0ab!INRb=>$Rvks-YjhD*XTMnX~`lrUWIBqJdy5K4&Zaa zbUerDII1A3Q)gvD{!T|f7hDaO7d4G3u=IE=J&xrv=vP@5fon}NA+XJ21s<|kf#)pt zShWAJsYv8MzXs3(r?+bB)Kj6aF0?&tNm-S8V z4>coq)SE|e+rg!R1apR5FVGjF1-DwX6Eud~tU)CU)JUc>|AtF41^;1k)*Hb1EOZ%g z0+%f=U_Znx2GHP>1Xo7-s0o^vqU-OSJ(NNL7 z=OWT#R@zc|21DXBF6y&BBzx_r+&Y1%nhPGs1zF&R5H0vUi*_D% zG>T(Tjw88LM?w8uF2jbvnIXDF)+%KkXR_7{gjpE~?7xxV#u{=|kg_DXRFL2$mMm~t zh!$LL(N2)KmRWL@pgnt-5D2-d3S3b=r$auE%NzD3_YR8^c+eypwgLXPP5vHWqrGS( z6VH8yS|{)glT3=|5sMYjaDY7v>`{#iMV_xBziBeoi~YAYS>TCg^RGft4&3=MfK@1p zadkU7?B0THTr`$IM~D`@+@b}vJuHhmdwLS8B%#%0trrL-R0&>Y(E_1_sA(60yU>s$ zb05ZSi$NvDe5p;kBK1S$V+<;BCg2>KoCA<3nn*l3n{+%X^hPeJF1X8(8w8#V(SpCU zXn|ja=n@jDBw?q?S}zbvs1p2zMGJ%yqKf_i+zW;rRdhYK-#4hFn161Qu1FR0IfF{v z26)&ezYWkuMa3hjo?9yRpUtI;3MRN1Vg%|!wBQDdb|raSRpOd&$Vq{atE#{i`J-|Q z%@CvD+{!%P$rQE5P!o*+J#F^%ROUOlq^IEBhFmYOHAD;kz@nW@kE=>t|I3h*0wGsb zfh*GUOCgy)pyvoyX4D5n)rE39B5Kq^%KR%X=_&YYE_$bcj{gWPs0SRN4Pf#Sx(xVX zGan@d#)oKE6;E)L1S>m+G{9!9Qd(@F1wsi0e}XGiZFoUKohMh)x3vgjpj)cv*)RvYzi{jcR;hBq?g)sh}1Z;R&gv9A1qjq~D?hHkoAd zR>18REAWiPJ_qm~DE-}H{|R`nUE;dBN$919S|=cBw6VZz6?wjeYz z`C2F&4K?uq;Axxu72t0+=}MO}N7_|!Ab6BfE+H@~L<=spXaQYnvJw)iB*A7q-^&`c zjmSrHF$R4MP;Zk?kf^K&{=$njg;?g?JMk5P zZ5Au=xWzgi!LeMjqu>WvL6E*cc=s=)4;Or!3mXainTxE$1uM_FAmO zRv7-IL>*?6AkDw?_#2j#4N)-`7VW*Zu~jGH*8Wr8~#(9{7=BUCYjJ$O+}M; zCcv(n>N-hw8*JhX3bsB|*Gp2zG|4-jxMH%2E$RepG9jQH1~NPjc-tm56>qS!+SdU; zHOabBblVB0C)afYuCmD;fM;y-U}|-gF-SD~r_nhyNb{Sh7goI4ReT(DX@)U+3mO`= zRI<>5j~fjV0@6TeK|8J_1oT#e(1KR8gg{tcuPg5?%2P$jda>Y7O@$Kz$}Y6v7r5wW z0=q)Apsi;@AQXJD6MQy;XL6}k0KelxSdZW#rdjF))Jj4N{)a^i$lgK=-fgN{C!lhK z7W^X@vIP#a&eJ1k&0QxDY7`9}H54sZKte`PPd%uUz#G;TGlK6if)fI#aw)f!Qt1{eb;$^ddLsRK~2B)J|StB@41 zR!GVvY}+RVtkIJK=Wq=6>3HRs-d=UTr3yS}lJ(k3*8UB3 z5*TTc34s$VR?0~uFF;wwZI(->6I^A;2?1^H2<h;0#2J9IZPLkn5o*Ys6In%4e9qC|pevn( zJEGloeC`e7eo?3S5uNe`(-RxMOs3aN#)c1YMrxEfP1P_5P;Zk?;!Q~0!o^x(1Jb@_ zBt{*n49Y=<8ck0sL>Fo$1SOAjrxUmt1^#B0$iW$EyA-+WjO+#hDerlWlS!*8c?Eok zT>3H<_?4-IIlE{1BTFi$&eoB$NAOc7XM=3=wk=7uR+R~;oOaT7xz#ujyw{ME0$&Z$ zf^Fu}Ph?n+t6E(5aiOa~$W>k7iWJn@xVufx#Lob4*re0s8g4`8oX9GYszgn#`%5e? z5Y+iJOeXMnh!*T)3F2AOj}zv+>zNOt%~O{NE|NsaW1qLm=&T0|8CI&I%%ccf~{QS z7I^O=7A=@P)Sv}cb1Ap0uP3ii} zMrebC_SvM~1-{cJUCro~vdu|cunDM+U}rG$VrUetqSu&^q%BsZE;lQO;pqp5@* zZG_)vrGueU=)X>U#idY*KC4Wh5m8?lZ}Hfgf6|z^fK3)%uP6s4E>% zXp0?wTkN>pSx~KYn$aLBV3j#v;B14fYXN-5Ce=clY*NP5#Xwz1ns$@&#JMuDedXFD zb+(VZ0{7Ys()My|4FP$gw*w@*%CqsCM=yVeg!D8wN_@{KQ7`Z#lT1hn+oJUX<;Efn z!vP<#N!QLshRW6z-PqD2v+4G8TdqAP!gh~kc65`v< zMc#zRmvDUBDdTjbd;I|5yEa*!AItS>1nY0(Ra3cY8M zqi@BQVPh_J212W7%R~82-~ty2j^m=L0$&Nyf?u;}fodXLgU5hxsQ7 z9b5<#mlmcZ&j+mGq8I&Pr4nB@_&NbSG$)IIE|bOGFgol7H=KgVqVg8nq<}7yyYQ5` z?tX9}m0LiU$>MGhsGwO|D@*wATFTH~48yrf)}wfmj;go8WFuTv;3Shw2z<$6WvTZY zz3OG1du&pw*mCNjn}1{YJClpiOUC()O=^?JTJ-#aZs$WY|GH4dTB||2X=j0<;bOqO zHmRzK53J)+u}^cUVGK0EdVM)ym<`MW!7&!?xHfb9eM=rLc(@6M>jgf*g|Oj*FIu#~ z%OP6u0uy^hRSha4uAcvecj(v}5_+`ad@@Xbj_C0e*o1dVJQ6BFZKT5!Tr`Ei5vJS* zsqm6bs@P5woHhvLO)?>1m5J;z3uW{^GEFXUfk`F=Znju~M=bVDKw#u24h0-$lF4pB zpG^vEFv*0#6BaA*LyHyok;NX#f?%{+h9m{Xnq)%YGK+l)pbO1LVI^H8=L2++ycD1d zBNUPbdxgac7z4+Wvh8VH|1Wdz9cNW_{rwNZz*Q$YDk9ck#TLYd8XI=(XYSE^jiO>f zP!UEz9K;d3CU(W%up321#TpA(QPE&TgAo;@B8i$D0y4bbLh~y6JY;4|7aJsYlTWo8J(?MFuV)(jsa=ypHRfQFC&NZvz zpxsc%%8q^<1MGH9v!WRtBwhcceSm`aremw89beheI*|Mu#M$DShMS7i6CS1>6Ta`@q@Q$%HB0*XZ z0Y1ynE;~R053-=eej)og=2PdJQlXH6vj4(->eLeoVO;$|^}-4v(gz~#uRTEjmR0rS zGJWY^r020VzerY{KsdmE(BZa*A3I+Q-n2ub2F&rJWCP9SHS9jD)?eVSma5&TE6Wc= z3bw8;Bu~>+7TZzhUf$p$_BO%Y&i2yDdQ&@L*B%hOy`~wwx|Y6H)6>}71!t{o>}!Jm zIvYowVj-QmP9GHo?O)i3L>lbJw7z`rYYecmEg{wQ1$;y&gIff5I@?{ngcJerzE^?3 zZXgzt+u;IeJ~l&hwrj@;?lv~NR{+WOUjitw|1mZLzHlug4XK9BHOlAONaui$f0eQK z@y~%T%%IAy@Kvh1lUPU*fU2=oV3f1x39feb6~SB1&K7*?>=y!Gu&SN3N-bpzR<)a8 zb!XQWZ0hXq1=~7%tiZp0Tjd$XGp_wwu#8QT>K_F+I(wVoE@ycw-aF2|FZj{f4!TQU z!fx!V%Lx1j_|-MVZsgj{1UovrtDxrW0fNEK9wRux*|CCioxMnKowGLyCOZ3o;3;RH z7tC<>O~D*z`I*~y&i2;a-p=NCm8pJ@vkwbiaF$uWncYHF`*Hv{dz;`cXI~Y(u#K~O3jC#l)g#3o@7lWsem`9Ox7Zbyw#wEL^m2Bvpw-zs1RpwkunzY#?2xZs zAh^a^j{bG*kgskm=<6&C!9-^t6+G`OYrCRDc*?By)W!{g3=Bj{xJ6V*ip%Q?H7 zpz7?of^p8iB6!2uX5G@QBGUNoEvP$tk>E;ac~#b1&MvEm{40uNyN#gD*$V_OILk{^ z<~qBYUYWA4NVbOy&UKcTs9f#rvw}~Z{YvnIvwQ0!gFlMoc9Y=G&i*9mqnDFVq~LgG zPZano7pwP)o$T6qf}fpTc_r;wk=zCdPIUGh!6nYVEO_17C3Ts;tVp&;2*x}6kbqZK zNc$aNZ*Zw@BiPy5(*)-@J5?~<+28zD!9;Sa3ifyQa>2FEelGaV**-lq|DWT&i-z7EmtDh z4i}u@>_dVlo&8bJX$>>jPOz)9X9~`D_9ek<&Ni>9lY~gY_7xoH>{WuNoaOBGrAP)H zdI})D{!~ygw%S|Zr?)Dnw>hp|d~JOzMI^U_1%A@2mM6VxL)bW3g!9HsW%P4q)=^PB zeijYq>U`&))wOW`I#i^qL(V>af@$Fdv%8%?T6lVYh_kJN2b?WWFfHY&q^0aiOH9R! z4#J6eoSlgOySAN`Cyk00v_8?rlvj*`c|(+3tnzA2PT4w@Uo#43D^;(tD9_*3rR5RM z-^-~!s+U0~IKtWI*VJATNgLD7Dk8O%J}sqT(E~06rdUb&vN{7TZ)c$#;MXC#js>{N z(Y^$ZbS=PXP1@gR0c3d;%id+zie*2t6UD}wh_s!%?uEB0N%1EYt@$`jLMCI98biOrvFo_^Y}{&GqItwx=~IiTS+`lVmG58yi}u1( zuU53?b)_ZL|C+jI;ZrMpiqxDOI5Q~U906yBg{S^=(VDNIw1oO!Q*#OG5o@JSk(x6Q zryu1zM!@N3;i-EUtvQDxq5jv@T=IIvTIo}y=1}R;nJ{%`|2!SAVmW4?wf$A+O7LY{ z`0JblIM$L|k^fKb>)Cm(&WUgV_uaMMTSe+Euu=!RmlzUf1FOp*YtDe-BA!ZsZKkd- zEsw4u9WzqccAWm6BGSMB*BV;`I+?$wMPiFc2`eDKz|ye}K&Tbc zHe`PolmSaDV##?X)Wg|d8`?9rC9SoF;i}F?!)qn-qXuPZ0zdL*;9o%vF5Zz$H6XlE zrwLr%)iGFkH<;t(zsk)qT-ppXz&R~RRF4t&RqGfttVY!wi9d+g z%V0NKA8No#BGljku8v-#BtBrO`a=TldX;>*;2UQ@(E;%;4 zSyf&j(y>m#-#ELnT#qyN>g|I2oQ-9kTKKfEDqLnhEr34*%z&p{%a@5>HZ}wNN6amZ zi!ZntFx}!8*9$e32B{yUqAs!c{M`(TF0p)X>MAZ(yyBdG`@qjCS|nLUcjFt{1xL$Gf|*NEcFUqm>r+aR*qsFa zPLGxWVu!ePjNmM1FB9D8?7f1=o#n+BZ#m12;5=u47W`%zi_5Dcc6WAv!4b~#Qj1fZ zy-?tHi!FDGeaN-X3I68ndxB4${ZUZy>w@J3t2?`qU>j%m6#T*2!Ge*_o+h}!Sw4We z!`Vj!d-~~}PnG`U>}7(1-K_MHf~%b!FZjXPb#&_7!_J~DHNo-DekoYW?h9Mi6^wWG z9>MF*ejw;#cYrP31gqF-yrr+;0B5fh+~Mp5!IRDc(~Ql4>Bd%pca3f7shhiv{047d z!T!b;lY-se$98+2-Ttt%j|$wd9uJ#ns3U#|mNdhs?HenY4#k%RD_EdvPl3;>YB{T_ z<*cffv#MIos%kl_s^zSzmb0o_&Z=rTtE%O!s+O~=TF$C!IjgGWtg4o?s#?yfYB{T_ z<*cffv#MIos%kl_s^zSzmb0o_&Z;W2YHgcJ)hz`(I9pDzYB|BG*f>p~2RxKx3wVYtpa)MRIX_hdvl3OEo^$^>YHGtiz6|CH=HFhq0R$9R4 zPmLY?kZEhoAKt93GjB!ngejO@LlD1*1&i+Nf%UF|(*QC;R z^@07S5upew5F7LGiO$B>B|A3!Nq+%+Qw9cW2q_}vDne9vYiFHJFCC8aoKUED5(e4iKeOo7aE&iEST)9{@T_>7yeuO zrFjK>Ru|10T3~9pjs};sYtLe6$I9|-kum~Ti!{o^!RuTNaM_O<{KnNl#p4bKxtdoH zfG>Sw&1XoYgO646nfxvJxT51i{LT#dCJAlOl4L9 zzY%E!06qdky%5tTOvC3}Krq!r^=%hjf7V3m9B`7c#Zf@(p{6SK`pFV+5h-6+@Dpp! zfzN^(46nV6X%esQc+so~hsAb2r3&uFU}Dqab`|h(k%kbM64c;yR|9=70JjnNkyYOQS_5lLEG{(?m`pR|9;7Yc( z=fEl=nKpyrLwtY}8tNDU{Qui(I#x9;u$unKRK?+XuEZPXw?cJ=TLBY{&F>b>a#nwB z+fr-paFIr#0v@CFL&pwqtOzyuUsp%37qoPR=ApbDo@SX2jqOB=YbZF&7Rd})%1wuZ z-9+jd5F!){!^<)qYR$#m=%Mw4#fqB16GbW&7#q|ve`4B%so(C`fM8lIj?<)jOQbr0 zjylQ}GzOyeBWo>2yHOTh%_;+SoZo@gwM|tx>@0DRNOd=Z?^$yWd>GW=uNSjs7PHgr z;Lm}xL<9lD-8sO+dDP%)S{IV?NgbXIbbVVqU;meBS0Z2vAG=C>sAt4hY7e#}J{13N z_Z#txu#@|ZSXmfijEFJ#t`IS_P98hoIyqLx(?v;bs6G-^wDMiDh>mH^Dc|^l(3xgj zKVRUF0_tyz^>>ujar@E@q7NSy^b|1=;9epn1GtMv4W8#};DVrz5suV~acNjRz!yb| z0Pv|h5x^z1WJnDx9aayJmtQ5FnFL5;xe$w9z{v=WmV1G?3tii`@isiuMpa%VmXgP3fm_uN1 zpD)1Y`d$G+z&`e6fgIp_>8QbrMM?=g64c;Jt_F7L>ek>vB3T2gcXKt^TO>7bPEdn4 zyBat`PcKLbUMP|^@ROcopw`Z@ZIb@JFOse+$g84s@(04M=?bo>{U9~K%i^&Heb>~I zUDK6a(@!L8;2a;muHfS$sew>k*Rr}=Qk^>MTT)&3_oedrR8Qtp_i{efllj!0qDQMj z9fm+Cx_eo4Jt?|-IiKpueCl4#r+PAR!&LI`ipn5h+2ws-f6G*pc1ADK^dOK$vFY${oq>QG}I1h|iBl$9*PY{AhMs zm~|`Vnxc?dCBpd82#1P@1=1II5VBB72Um(6#3=UA!p07m5KH|<3?$|4Z7}|6SN4*M zdsU>%h5NL7>7kiKKYlez@lsA``$Y_M&4f79OxPeC6ech?LZAt4G0;|JpowjKn=k~L z*p>vE*tSC%XkuFuXkrnln*wp%Db=LZVp3tTbD8R~wnfWSkEN*|Yulns^;jC{u_6%b z#Tzy_wnq5RK5Jt_L>=_8^sp>^W$SK@Lxu{ar3bvGQf#hM;D%a2#ZDA(NQec#HZ}tu zw$-(^Q_oTrOfsmfqx^awt|j}-R%&ml?PGH4j|1Hxp7q7;OrW{Jta1?=SQ_W1fM#~a`nAP5#o+xSsE|W40%uRowKWHSo+w|WGtU+iDbYV%C>X% zY{5g$vSRKok^yVayUw!SjIi@p#yRU<8$0_t^e#I4$d>;h%6cM}clrDIC@Z_0mB(_H z7VFEYou0>gvCousv#|b;b+dONWd-PlPBPaDIBQGGK8FI!A}fRc*FGjE-O$}Z>gtgH zsk^L&lWd>o3+Z{X{*1Hr=XKjV`5@JIvPg%^xY00^yx?(~j!Zt&$CXGOiR+N*nS9JT z6UynA^Qp|UBH71P)J&KL)iemiJ;Fj}>crCFtSwiQw9Vk<# zj*`r6ODdn+mXtfUjdD3E%q%HvZd+2#+_t2exov5_Fl5On#qjDVudeq`qjI{=5h!hp zB{q!|mdsDuxT_46f09=INm}_QY2}}^C6#}YR{lv^`6or?lzXN~SFHV2*crB8s<#wF zT3uYFHe0#XWd&Y&l_6PQ`y{#0?W)6ZeLEzpZ)+i1Ov9wV1$+xlv>S*}{2bYSG&`&o$t%>@pcoYim?m z>Nf$VpGZbY71P?N;!}|s!Fa#&a=p!y+NQNpo1g#lq|9k;Ntx5yC^JlM7pOUz56P%9 zqG2c(GFzs%eO`{=^mP2DTjgQ=rnen{I`L@tZ#1_=S0%jM4*PU{p5s zkx6XKBQ|zlES*1Q{w~HzplgvK6E*H{i{L?C#-_Cr2=^#MILllqk{Td3*6EOh61lVK zYiEYMyehOy{;*r3&#N+A)C{Xczt5sqV=GrV;gI~XjbHtQ3y2@vRt;ws&4?e{SUWhs zn826P$Z5i*x+vtZG+`l8Page+qH^Mw#mS?SKqrrmm$ufj5Z}{B&nhcAee`-|MW?5M zP9J?>hmV9ei8`;p4#t zACE5hxVFH@6%c+Q{dzy`*ZXO|-cS4We%i11(|*05_Urw$U+)+FN@mR*t>$Ohj}UU; zHe;*h)ojkX@wBfUKo^}dH3NRDdAo*4vj$*3X|}|(Tr!uET{f>R-m+?n%uCOl^U^ct zy!6aDFFkY4OV6D1(lh70qH9UMZ_|9=run{2^L?A<`!>z@ZJO`fG~c(s%E#`E-Gh%; zH1P3?1D@ezwBX}#!N;o^_;>*VzmO?%MR8-PalB%5yqnaZ!+s$${pPwG*xK$9a&8y6 zIapx7T&cxFcgH|jLx8`#HWn=d!d_!H9(AI7&^q8>LCAq~Eq)h?Ivnbh~0)c2XThvmz|1~ZFYCDdkFZiNHeijU|ZQ-O`3~| zS~j=Pe6~o1pCcepvab+%Szs~|Q2Ez9iVsIg##dA|nq+Y2qIbLsikRi67SX8G z#J=LnZ@U$}0-h*R!N5sD4e~#2l78^3$40-X07e^|^G3kWoQ>fT`N^k-5@@q0DESG3 z|2i8D5x+K72K?4;nv1bUwY=X)uPESKn#s<=xR0xV7G1fhI|E{9n*BSFRbZG|)`6Fe z&4ItV_EiBN6RWSl&#rAyM4~1mPzG%w=s+{90hbxu0$k^8EQAn4y|@bKzn9E`8${|6 z0I@f~iKfnh2aU}D2n+C8Q|G`-#%2J71vt~xIq;6L_F5@eEMjfQU%RduD3R2_bwLe2?P`EuJz@?1LnLcpPd%1E4GtAa4V)g-;4Q8OCIvP4x~lABUK2wd zCUjIPvYW6<$ZbMQ&Omlm8uh!ofU!)*Q7mKUKS<5jPzBri(Cr`yS7+ylWl&`s2UKhv zM6q$;#KyrA8wW^i92Bv!EPh@~Evj|am@~wLF_|^yWeKFSmJh_R=S*l56UJoL7=Ec5 z#$?u*cO`@|nKfp~a!h88;peOD4+{{J@1x}1lt$}bIVSbNp$ci=6uX*rxz3nu=PYCL zM`z11sedL7B_@mLD8;`(qyvli{4XG}X9+HFmPorDDUo}=-m7Jw|`A2)2S|j@F zthSe~E8xEuo}w!X_}CTxM|-dx{*HWJaogdE?eJ*3kFEf*)mTh!C-5aA6J88iOo*-~ zMBn}8>#xbmhKM~uq%K_{@PFc%MMM50Vkqd?7*+)zOVl;I_+z8(i;~}UT?Kqk7a5u( zz=tBaRlw{0Dgn4jggQo8QO*Ap>3oFcc(bg<*0-e!fkrG}dKZI7w1+}O@N~N<%7L>) zBmyV8I!2u*%QH+>D_e`47{4r%b62pJf0Gl~$iErc72J6x>ngCD2y1Y8`_XD1Q(dPg zBcXYp>(o5eP4|iIH>({|_kn+i6luV0;RcqsMy_4tB?|&Tfeo<+q_J+Z-|g0c`;5&V z6+GiC(A%QciMoM>ssq~_o5c!~BjGU_K4U)BG|zzwr9y{;M~nQMOCv7nfG{>Rg6E`- z2%{7XPw+HR;fc_wI%otJ6BUgJVH}6YDlv>T4b^~!_Wef5HjK@Fv7Oy=x=219~9USVs;VYF}1Dw zTiR>C2s$Z+*jU0n3KUA1F=l<4h9YMa{z;KD(;{aU7Px#SQa_u)i&nL2fKIEq8tf)g zk-(=x4X(Djse!db1OcCSb*z&dE4f$KzCxNA*R(y<&>alzhsE~sDrSO6J|n$CxODEjD7(7INN~`D<@DY(L zfyaV6mYtY3Vfv_<)_`ED)3|Rv1uY`=icCj4tG~8wpuesvmOs{r6S$E-7EO3v-)e>ANBV_Su&DN zS~8MOEE!2BHjV^c4jm+wcd%Z@ub1)b#HTx|zl>iezSm#udB$>gE4oHdNV^HB8Jp9i z+njw};JvE7s2+KwV&5?eNhH57uHovH)}ECQe#u-V`)*QcmJ9={)|>(K05y1v^&|sG ziaHJo^1e1s8E~($_4@?}>Ec)2|FvNiLmp(S5DZ^-cKnjXdr5!o(}E8XmAkm?xKlcR zBTv4SoOcvycLBMv8Sn?!@~YF9Tnl{STHs690$r`-9N>dk9R+}Qq?iU|rEFEwN&@PJ z=OsVHga1rO6!_Sgq*`jiEtyV3cctcGm*e zxt4F!%yuo{Y%D=rNmaDWIkxaP9+jp_pm;J=E^f$1Xc3E&N5?VZJkT88{6 z0iSrv02u39a=qhPpuy7S%LrCAHUsLerGO({3*6#bV1jFbmtFgJ!7SGTKe-m@WL?Mr z?@b;Dj=DoiCdPgm}Vt2yXDvkG_UZ(yQ04J2_pUADUa#M6hI>E1%GGqbkl)L}$Gm*J(X|_4YlPTDBz7~wP0rpbc*WTmD>)=~ zlKbwSY6$5=_@Z095@b~iUtdWJ@4FW0qk)mn4}y-K72qeHas#MmzFKl>>FWjnU+jdkz{et|vuz>;0~m7I zGV1?yEkHML- zmMQ~0Yteh+hoV{^6;BM!&(>)|JmKR=QAa3%*fb~Oc)7%zOqBs!+HG!aYrzJ7M;pU* zlB&sCb6`1RGhk)c_7VKvS=_fbHUkE@78vAO;0)J(DEQK~fM+c_N_?Wxp{kG=@P`ZW zOgl;)p#WmjoOG1HTUwVg;9c+CdxD#LU|5SCy#|%F=D19!5?$E)#$Ep_u4@7Z*-d&5 z94(S*v6gn0+})aMz+h)%AgoUo;Q=ncx>W_NEJ_1CtmYR*+LyozW?Af3tTzfC;7it= z1Ah(bnDZ#fCt7n2nC5J3#rgvgslj%CLICiTAgyR6$-S((2At$<3^a62joVu0vB#6b z{dLbO?>+7i{u20Q*Vg9P0-Wh1M3@`0nfXZjLTWp3>e@A0E1i$&;tb{?Jcea zyxYZ|qkz3FYn=k7TKqb_a`$?yTDvQbJ0aHbeNh45vXQI-?*uh?&+q0Nmc*+Gul+;{ z3fyLF4$N{b5Mnizv5E??yvHna;89~U;2*BV=Y7{2SSfi2LB-e%80cDHglhpWyIB7T z8Y&s`B@tz!DKw-}h$nm;n8|8?5u1i=Ej9HLB?mo$UviITaE)Fx=fFW5SfFO`LXp(K zUxFHZ-_<}Tn%*G(5=B`M6w1B32N{JR|7W$HTZ_Bfu%O`AmDBy z1py8WYH-7iO&!PLDfRGVuc#F~+bXI8RHb2S1%Grku$HH81vj+RRe-oy$H1GG9?H1h2iLzj6uJp6fbnVU((xtGo%k)?ANdCSHGC^NacLac2^B}$=D1y zz;;WGe+#cb1lofF0}%&LQ}=)6pom#K=0B-=7o9w$sP zNyNskY^AJWzVC6la)tf3#}6T|_Vpe;LdW1^I`|br*WhD9__ssn;9JW5w@1uLPeab^ zx-w@L`zpVzXPGlga%QWRIkO~Z_Cc95+po--#hgPlZ?EZNb9cnwg&zgJ_h$N*ut+&a zj1x9&;d~e2#K6ZG2P(#)B2ASW;>||Gg(_EZK@~jB8@ZfS7S5ab=Nk@^$K&( zDdv4=iE^b!0{&ub4!q!6VAIVl?qPzN&I0cmn*sDdiO&ulNcJ@agfSSBjKPpUD=b+w z216PYffVpD2mGuM89s(K`!G0k?AJYt(NshXw(!!{*~ClJXNnh|7;7_uL7^ZM2txl% zh-H`%_tAC=w692e1Gv`M92oCffc=w1yy3kzxXUw{kUjnn-Hkk)Q^5-rCf_P!X}gb6p)flcerWYMNzwSZt?;=d7F>FvHjk zs9H6(&jcN9Q3dSX*SY{~ zB+|%L7Gm0j=>}$+1HrT@n)X-obt27ORr+ZUPjG_nq|vq!OhOzD)8_v;%q~FU!=l;6+2iVt=6VL zWpkD0?rK(T0G9gH>eq%XNt_|Ed6pPYlLMcMG~s^~EbvdRw@}z^JT%bP*o@bSKuQSs z4;HgOioMvhnF86iwnYAMv5MS9aE-IE^*V{qiWq8ew?(k51AB;2gZH@_;1x}%!Dn3^ zgWaP5KZ{h;_G%ewt<}=77#jTEvDOUOyrY%3rJ(L?j5w<>%rX4feDW^^o0(x=`V>3* z6Se$SQ;Z_P{YC0Lz;6IigRi?fW=g!8@H)Vn>p<{oD!qzBmESDyYL*$Wud^5)>g+@T zuaRYq3>%5JZS+FGp(5>h;MkxBpKvuWC8$AOqAF|PUqKD}KQSuC0FhU&&{?oY7xMzv z5TOQJT^%b+yqfS@)tYNS@M-38Jhu9 zT?@SETHqDe{!K7Yq15jf30y&>M8J{8){hoUaQ1G&Txb6+*v+P8vEQU=*w0$4_}t*^ zt%BLk;RRtg40L zh=&@SEz&Py0Aiyqt8JlZDn?ya-a-c$1JrV|ZB6|hEYiV2x;ve{U+|H${}uSa6+3&V zVvG|dyW%m4AIz`fRn^Pcv0BV}US4;YsfuAZOX5ZITd`I=GQa9^iT@N)Irx(`=fDm+ z(out_`g#DI8PwokU9FDTmIP}enE%kc4uoJ$;OXX72STu>GFUN*Sdj>#@dLgvHUs*a ze~nQ6jLm@4Tw6veMwKdgmjRstzctGo;GaLC2Dh|@q!>SX)J;S)s4FzpQV043HRz|4 zqH1ZPR})?viL^I?;Kfz;f+rzqq8C4=39m`4Z7eo;HJ4sBtl4^rLUZc~@yCl)ZR<_K zw*${%@^Rn=wlN)#6Y1z4C%|0n(}LHW#rXqg@%_fx4w{9(v6)y*J37x9P+6P6?W{Qm zb_{AT{5V5lY}>kQ9?2J7&Ue$1R|xM2e6(Fd^7bOlf-+Ju0-T%MtTn^&L1&{cX=jSq zgW$W?Tm#+S4{LKC=!MaY2=p;(iCo_(E- z@vo7`hvuApDpuM*tt>@KKjc}P_Kpy{1P!^u2jq^TUxMzv*!vfa`s_?&xN8l zx;VC^x?P$u_E@!($^*b$axI1+5d5Xj-IVhmzZ#mf)kVx8j5~ zJot)8_jGS)|>M*OK3 zzxFS|=gtD(8Jhtfzear?sRmqXK{J3IsnsGDO-+0yF4;X;JStM(D&W)RkpnLTHTb=& zfrfA`2yQG&>VIF&Uz%k`D=#@nQenJ@NU55@y{)Lxaq>PA5)wwk*6jv6CcRC7&u5i)0qi z%UebC8sv32Y6eymp$0E^HNfx0P=h@epD);R3h;tRW7JWti;0pcwTVRD?=Mvc`E@qm zVqi!fLXUV!R)kiX5wYw14+P?CG~N;rKN%Rjmp4_Na<6nY#z|Ha!Yw08>O#d@y}*UM ztBRrTA&ZU8swf#1r-8(=R27bWWwEnaW&H$uI;+374b$I~L`glU{%ES=WO0r}zk$gB z|KBmSO?9p~Ta?V1KS@k45qkMj1Z*f`zky?1tx&clUUpgVJ8RB?;MG)m6-Qm-)lAL} z%&QIrujbOLn2w~rLPRMb@9Rp<{oD!qzaT-Jx?))_D!6|vhZVAJ9<_0Tr<^79tIl#K?^%ku89 zO*hv9{(oGmy~J*0+A7e;*bLaiwR;Jw&H^=KGhm2ohYE%}3yd;01I~5r`GU)w1+FqS z13q%?zXUAiT0MZTjLm>?wuaVc2>dmx8L+GkNXF|`{ddE4z~9DYFCW|14C?#`l-bT6 zq;-lHs47J#t#_B&l2}aNJ2YV1n4$gGl#86ja2GSI9aQ)fyOTW=cE^w%R+ z6oujw286+IpL*$2FMWyu`KJu$i8O7tS8(?!4h|CR>RqgWmx(kJfvbWVe9YCr zay9T>P=h0XV?kn1cb4jMk-A5$$DPIhYiCQJqNk%3;x3Vfz5>4CAp!miE9w|NF>S*1 z?`B#9f~m6j)@ccS7fGZO2QbFie5~MBXYUa7U2?wa@mbbdi$PmK;)$lJ;d`62rEk#- zLftD;x!u7hEp+y(V1~1?vU4R~*=$qf=CSdfE20%pnCn z93-L)ZXf%D7^o1ARV=KC2SxsS@n*E1VnZ~5hkLHui(EG=98qLFVQib2;5uP!Vm_fT zr<}ivba+z8I_i|z-w8IAuh`85#1l(FL=zihiA_8CibbjsX%jQ7mF~6Dy;iyxga4R( z!njNv`;LUriHT#QpL#Sg?Zm{Q6PL(5baT>J{?%>R1CvtoNrn0E)w_eOquCLHBb_}^ z1rM;Iv$RXpq=S(zbvDClCOFzze0*@K(T5$vzr)cE>JCm4=@11TH8y)$@Tv$45RwJ( znz7k`1&`?xPFi5H2xIVvuBMLJ&X=XXoUY{+wayjklJRI64L8GZcn#%z3HKlEn8*nbd9=1M(bZ1giBdYTY@$+w-GPaGRF z%3|W!4U{jGJ#p+aWiQM5N4J++mZ~yfn6dc?!I*An^Oyv~4Xw3$w4l}4+Ft}anqkos z3^%aWmgu`g=|E(h)O8+Yc6VPwc)lVG;#86LPX+v!NQW%&aZrN;mos(Dlz27aMYAIG zjqT|8_eRnUiMqQa-)mv=`vv|_cv^sGTssx$PM%^kcylVMG|t~7ch`e2b?p!V|A$%Z zG{LLR#)Kcsa7C%)+#ky;0{@~AQ}ogHF4-OtIE#3`3zy=yMPiTLIS>X@G!@jLiTD z3-AO}=fKIvW&nf*IMviS@QSe+0AUd`caiKVT7aWOS|ad&*x6`^<42~-fE`w{3i%oT zTh6A2{$qnGu%orsO2b+-Tzy47m=>v=kzg+BtpDWEsd`B#c;eC)?a}QglCBlxhwsrI zKG?TLd;XOaX=OinPvNk@$M%kr`Ave_4+pmu#dz?+_wZ={PsPJWyRg52aAIRC*+;Hy z(JCPSiCeo6cr>UNV%mi1!)96of@yK-Gg`Vkthq?^lEhgemE8<>*4?`f4WJ@I4f2n^ zr3U!2K5B58-0!kyx3^+-5ky`7fwWE*Q+G5mEAhb_iNP7$IbIi0pS8%7@t=I1o z;FVk;T&&hx%&R3L z!rJzg{1zgYEu2UsX4^ zTZxj@7N1AVunG**oslNT9x-v*+>$i+i_Np;vZvqs^H~Y0G`_sanN(uAtG>xu?)Uj* zUfQLUg-l{&B#Omd$?a{>_`}Bl@G$~>3;`cw@CZ7@*eBMY_I;8V`y?^;Nn-31V|-o4 z7?#8smc$sA#2A*u7#3sj;pbj96!mgc?7s-mE6x2FOl*uLHik+LjaCVV3Bp9qPGeu(NKCOo@~nDA+gJ!G$m!&Ktwk63^wNm?!d zf=PRZ;7`uJDtOabrrnMr834N)n*rpNHl`K(fiyl-a)2MeD+NIRWUz@~3uliK_*5z` zAP~n|YX&sfio@?2cKBj`2G67*GPV9iO9|Eq&^#&%KtX1t6lzD9*PJN%yoh zXRis~b~ZXsk+_+Ku94+PXUi8j<-KsyP7?Sa6w3!CB25N>C-|trQ(YZ(KofYjNGCPm z=AZ_jb2Y%L(XfsY?$J}Cr|p4J2F%t?xh`8CEAH%R=P8n+0xNj?!((CA6G;yQzlZ1Z z``G+iFl{l@;?C=5wXAMWjk1H(a+tLilc|?la8jLpPZJUxKGwX6+Wox~Szoccd!WMv zr#c%Ado^pV6KT#y6)!Lw=qg}}u{B-}{He34;W4JF0+Wr+OT%KfJgko9z%$)! zcg`%XGHLgJKwSm46lo7WDVXCd@QJhhOW(^xGT1`5#xv~pxcZ~u28EUO*GXHFq%-DA zI#Dj_x7HrtVxiJC5gVj%@z6}?wsZe6cB6T4 zpLNZrSPc%6eHfkD?b`$|^>lXo0}{gM%x+IcXLkE2g$ScFyFJ!!KCzOe^(>^HNIP^l zfj^PS{v`Gy*Ipv<_X1`&h`rslJVNouE*Xzq9(C>{|; z*{=j!+0Ao)n81IqpT8)U5|TYx7SKWgp}_Lyl=e4OijJ7dhr(@@4xS1yhEF?75b2td zU@sNDT}##XwjN|JtH8fmt;LeYf9BrJT5DGe$e@|@tbjg>#fOfGjVaHQ&zB=1Hjc>|VqmL1}$ zs%5I`HASjwry^DLPerOK?`H5+)$@x~#j-h6so2|^Dl^*m7efzBxF7^JAr6fRT|*ud zu9Cn=nb14rGJ!Wa&@mHY*Z9{KA>YjQGs=83+ixxN&1_Hd&1~n*77U>R&1}E9%r~?B z_hr7B?YEct%5x3#dw@vu@N7XczkOHb%5rLrhhk2PBeHVM>SMaCuJ8++G!FU06y_*gEwvc4fgX&c@DQINc2M zZiQi98Wyt#!xnbgnPiy~Lev}}KY3)mhS$x$XMDuq`bDasw z=+oP~m*(X;f?{3}X|QjX@#`Y76tbEq*#+M#Zf=J8p@PuUM%mL_jqnqKna(mY6qbzp zH*#Og+^hWsXFH4G5X)0-6I|E&jd1J{XAgS_WMy#q*%8f>z>Ik2(_HAt3ZEC_Ii zNJm0$bqaOE;`qSu&t_O7j2qTU!{SVZA^9~eX14RPP)tpT#wNr;Xf4fWwa=9h z%xAUl9g2|otoCSrLTNs`{fp9kcKaVn^K#Xom>WczJg=*iM%jp^ki(pfao>>Gc?}z; zuHdU{TG7uGiT9WC2$2SAyx=UgC#wgohg)lYW6@e1Wwb&%Zh?U!b!uw+bz(3#q4X<; zay_*T_V#J*XNw`LjHk6i%A)MYir?!~J` zr1@}tuuP@~t^V?rB2F?Sv_jgeGuvS@k><&@0@9j3=1;B%H&GiEs(n`bsbZ+hgb87| zOo;n(o6>xC`?;n0?Dhvs^YXAE;cX)A@59vIDoS?!qHF8wR=k)$`%?EKdIogsp*(mE5HEPedBQ zK57~&N(S>0wR~)?HCm6c*4nj#o1Kkeu9DHe%`pFufQ*{p_zZD28ctX18)lfz5kMNk z!7_r9+0<7pqpY<$RxMXrYtb#zehK*MP-DsKMc`j>+GYWfxQBWot3ph_k0V zA0IskFvWEh&|e)>13nCDaLDg0{X)E&@S<5I71IOVj;<>*-67pHk%oH5CZ}(-^(n3-x`|%5EkGdQ|G`j#%2J7MeN~CiuJ6B zRa&s9Syu6{I~xsgJkwMeu*L?Kzo&p#w5ZsN1f4fD_SZgDeAYC>8a@{|Tly3S#3eGk zPNX7_2Okk>HUT)I<~1o~f{&@*DceWUPGq%@dZM%)wGi9m65Hb%dlzw!TZrw*#CGJm zvb{p293!LcoU(=yiEXje?4Vlq6eZ`ry%geTkyNLLh)FA*T+KUL0pAqq-~iqVYVgpF zObr|^Qb`r?23N=Gl3+~)n<>(6146JS@DTILfe@^z3|36o@uk=ColDc>+r*PM-8EN~ zwxbf;QHgD_YmP5%k4tQiOKgi>v#j#0=D9|Kdx$hsfKd6!=$0%;tEhP&v#ge_)i_AM zQ~vEma`;B@qq7}kPc_mWDH!VP2mv9I;IB)3%T;d+{yBeZr;T;oiZnP);4BfVgkW}1 zgBxyQ>R3{XRELSQ3EV@Z3V;x-3B1(RKnT`U2Ai+4{me4c-(njeE;&}wZ(*tyShfM8 z!$q1PM+=T~R)1}~Nq?UbsTJ6}qh0dNE$&fhf6>~jz)u}8t^XpxGIhAkL|Kpzx= z-jecD3seKXF*XB!w3szwUbzS{Yj+7ee9?V;elWwlG|WrGTO$Y|| z?VVupS!(fFQR^{Ebe<*4ZWT;$c3nl?+6v4L77THg6ufjp1Gv0KWEC5gVi!&Aq^~WD z)XG;6-f%WnvUH>NmPoVh#Hg#3y0)m>L^}VLOg2#LAdv#bR$fKH&-IwI;A_@g1KtQ~ z@M~8u#H$If8P;3}f>%@NRgYfd%I4On8`4={>=UV^nS%G6jjh{C+{sk+-2}Hd`?BB- zXYuLW1@|J$)>64)j^PY5%uB;!XKz;+)-d!hG1N-KV*0G9*3CQ&fuC?T2_DO(lHZFo zn9bnUR#^_r4QlW=x^&UH0PHNnI!3rx&Ed-u-PN?JSr$8s-@*_;|99{3OBT84h)WiU zF-lF0G)Q)%MH-otf}2?E{YQ;;;*&lZx`BnE71z~T^U|;wx>$$~HzF=;hPBeL7&?B$ zbB9$?eXR&y#RubL?b%4J2b*CFJ^Zh;KMUNjSdr-8W};*cquSO~RoJ+>s&L#+`a?yD zBdWtqRXC!$##Hk;-Y6A^2za|S=fH%Z2B*7Pe{CaB@M;3@5NW7^;MG)m6_fWmHQ#KO z_5;Bw&g!piqQqT0$GG}A=d8D^zF7%pO}Dy{sVj%2LM)|Rrh=8|}w5K_fHDQoOfvPuwtNAt;d6+Gqa z;;PBr^U^&p-HTl}RE3{Ef8WPRyj#S$fxlRDy@MwH4mSDgI|;@*dy3#}!$A2YQ-i4s2^|1{~m8GK_bYsE-(%0pGipuZ8kW z&ZM`L!q-|gcrkr4j0HBK{fB?!-oC3y3 z4yMJW5v$0HBJGfm1`FtRdKo2 zBJs~6#sa*{nseZupa$P`b&L%zEy4@rRG<+AHV<^Ex^IXX24Od1&(zs;A{&p+O<;z z?;6{pzqVbhzfXvg-?n10m>rxMFC60yRLM$9>sRJb1^jBfjv+q=(E;C^Fk_`K#?;45>lmF~slT|uoonPJWwO7C+PpVyr|POkIJJ^#MQUK}J8<3GE} z_7Tf*s{R}x7~m}L`WWQwk%FPl@_UWroK^X@O_Z3~>A!Z6;2LM=3a0syH(LzrnJxZ_~&6+Ty0VF>jjHj)O?u06Xba17PaUeJ|XI7?Mc+~kK3M4jX;w;Vq^OM;P>E2H|~Sb}19uBsNlyvj(upSQLN{H~!eR+wlFb`DVOn z(sp|a&elb$V(7RUbJTs&&;*pG0vxW-0wRY-ydJ z<#XdIx(3kq18br?yX&$-UnY#(ikg@YX&TPaj(9`^8@EfP|GS8BzcA7NDBIg)`;l~e ztJb(Jsyf$DogNjnSVW9r9ps(16GA73x76SPjvXRWQnUevp%9>9s>cCh-; zQR6voEj?Ng#SI-l3piLl`0@{bv5DJ6we?z7H$KwQ7Prl$dqDK|n%)-mOK3b<6*vfH ziM|nkTvF#AlKYDu4ecjabjB0K4Sr{};g8K;qST-El|%dO!nk$SzS~T6v*=Dye-ZOH zZsRqlcufJ{3f^CHC~j9u=6BycMX7#5qUZa(C6V<}c<+^IltuEpc{>Eh9;d`zK-$gVh?v(A@N&Wrg zGfTAh^7?JF=zATPTMBR1xZf?>MLw$w$+^2?Owe&b4Y}}8(KyXrY9Z!w$$lI0zr>C4 z_%EQuBkq&p7mORnff`$N3{m4xB5I`ODXN#6sr3jUwVorQuhdSB#Ad9B-CH$MGh@bB z#I1{B|4;E37H_8{UW`MGyR@$_R4vptUSr0-iyLj{X#Y|pW6YRh!`M+<+~9N7N!<^K z;zsTKlis+0R*-MpFKZ_29DUzN$NAPGd*g8k!1%O@UJ)@~apM)hkBFv-PE6*{_sY#b zb?vV_mx$ulRr~Bg(f7);hH|{9w!bIsynf_L(TAeJI)3BEzc%Jn760e*8o$w_2GNb` z*TN=WVw~BaMEANGk8_&u=7d2?V@~+XiO%Oj%^sT6$_G#RB$0fg| z{fj7FA9*Dh?*WT%3*+QJM-;dHv@iII|5cjPPmAJqo7S_ATF0&w@sz zc=aK^B;h;pyldeu;bc+V_@O;(1i#ScXW2Iir-+7#c)}OACADU?i#`|e3@2_^t}S~} z{DxiBueGkuv!dba>Ec8bH$GwchbVr?%;(Z4s;;>4Sm6Z`PbRx0E0rq;L#R0*2@hvwmgR7QBvGaQ-67HE$3Y>{<-hCTzuRpA^N5$mhj#?u^^llDX!g zeM+L|(y&bwuK=TcL$48|j~RK$p~8{F9S2A5$e|+#4sUJfHFVg>fxWide%IfPJY-Nq zufd0m7~Ih7@Xh~bAEGq9o8VIzkPAE5*eM;)d>3UbIXM;@jG!{n$x zy)2r09y&tShYdUCn1Mspc=*6Wj~jIGA;S+DI%ptKOl&>wPz5OEBZnR_EVdnT=%K?0 zj*8NdBZsO4_X_p6ps|ViA;VOOYeSl1Q2#eZ2d6HWxq#NubxNlSZy-q)L2Dz%>LPYN zc5BOSZQ1n@AGbK+Hk3k>&FuQguAl5y(oBu*AjCq);z+#1Pw!MYT*|(hk@(8RY;-!)v= zrP9#c(Z&mrE!vG2AMGl#tNdT=ZcFT%W!Egb7(-13KS4ZWOx~`t>ngkH;^PqF!+v`} z6!U%{yAKu;58Fo*yScKPE4#yS3>!Ak)1nw}z{OoE1D5V+H?zU4p+{g|5R#wzCSTK~ zGC>E&!^!EqhxBZ(h>|o7*zuoe;uhQfzJOipY8@Narl7Eqi*1f5`XFDiR>y|db&SL< zwtX!{#%buBa*JaPZ`s%WeBI~HjKA~7IUFOKq*;(tD%dGgm{<&=G2G%*3 z>4mRnE^Bet#=7M)D_}zd>yyh|%c@=Li_5xf*2a3{vQJ3X6_>S`vgx=3I@-wT_$g$+ zU0^-%b}g3LIPP8cGa1LX%evIp#&PVjF8j4{yt=GQeQg|W&SZUCXUwLvqH_j=3&^W4Ag@u( zQyMxoeEy5?5jR6#JMlo?U;+8}3&!6RO9+o^qpflw2!ogwxbkIM*VO<=OzgALmTJaptmOA*cd9VYkpC!o()*n%Tn`{$vVcF|{B8ZHQ-$*b?R+ji zUNS2g@}rV(lg!G8JX7)+|LSBPu_DirJVi1qAu^wd{W*~r(UN+3Vz0}AhINa{ zbZM;oLx{|OiRt=vxqok$JV7!a2+}@L@;;LHQ&8kbB)87fb#`cfO7iq?bR8es`Oy8j zpF34JuVep)bc`g?QgfeopZ@b70TY z=}j()^SfI^ljH%(eCj6oWXUJXp83WT!?-aX>n|YxUUH9Foh$2_{Spm3N*<8Ndq^HH z*?@*>9I}4gYsLS6gkoMb!aif3yJHw{y;`l7r@Qmc< zM4lnJYa+iZxqBk>e`tEl>s&cg2L$!;uWKg%P|6*2U<~NkrE--H0NNMjKv}Fy-?#>*sm>lr6m7`lDA6sFW-;v(YLX(mEzOiy(F)n z*#ALtZ^@cIinm5P*e{`e{e{BR=Q zFZprFasA}0@f#l6SUD!-dr|UrlH>8sCslJKtJ_xp9LXO`=7A*r`C9TChc#C22{H@o zCdqg$BYE?rzTZmTDrsL&@@w+nEUX7xNnUJVV}<|mM0}o*wGC>lEEU@M&g~xuH&)t0 zzT+jwjq&C);2o3re5q~1k>&VbD|wpaIQ|nPuXI!+AG}yTzOlNwfeob=RpY|z<3{QS>E9wzxC$&-@(y-zZp zr>p(yp^f}>)#|%U^7E2oyxSyClYDS!e^Byz!^-{poa7Obqy0?DZIa{u{aEt7lD7-~ zd{Wde8Q&(!dnEOAmz*W-Ye@b={$!m=(=fgXax0l>Ew0|o3)XN$xpNDu2+L0qJFXzX~l24G#eKYxZCF6d{cx)%R z^@_&IFQNSpl80T{SX`eRCHZ>E8-@O!AozWbai8e^AhoIlH8iazhCl@ zM1D&0;feg3&^x921XE$_FRyjk;y)pUBHf9+}8%N$zlKV`axsUmwXk zNsjYrC&{}>elzr^DtYzW8!NvF@&L)b|J+zPGW2JpDLb8lmX|1eB_ zS4rMwQe)+W;D3kYDG!wM=}E~CKG;|}Gt~1}$y+_7^&`mdOO6}!=RcDB>ip9xCD&iy z)BbQ{g%2#q*GV@-%S+}?6nP2BC#(Oug}3w1a*}(hefQAbQ}S@hcL#ZE$vqxvtgNDS z0{=ZE&yv5oWBvz9)*Ez-^@mT2wtB9yvRdeGyX1qWHddYp{XJLmq-6YWk^Hb^?LLcl zr{v0v#>#+T|D@!>uQ%H9K>jx*Unn`QXY(XqF8PXJ&wQPn#Bb6q`38S)tQ;5G*OYwo z%*M*&v44^ed9$&S2buXeGx2BsO-tmxWIsvvaef>m`4P$Y1^*$EkN!s~w@Y66tx~>N z@?R7A4#}s?((x1K5A%OUl8^a3J&~FJQxlo_{Y)Z1sd)F!Zmb*_=HF|Q@A**IuPT`F zn`P{D>D+h$}+fedHUu(Vud3(tl zeOu1Yy5zVqKMzD6r{|lpC*MHHJ$`7cJSR2zS|!hsyl;^Ck1|{SsP!_)XGwlSa?gxj5M0CHeTD8;kpuMxoc>DUh=(?c@jeY*CbDs9FPBZ zBscxiSov3I|48yzk~a?d`DA_V4xKB5gZz`^3m55J`7YQuFDWK$^uL?r9@lr_a>wdj zP4dZ|I#+fJ{+lddzdiPs#`V8j!=92SS2|ag3-H~g>o69XMG`x~3D8T|^F`Ip5NeW_KL>3|mNyH-P&FswG z-669x!`zwOEK&>tLTOQafLcHUs1=O`3vI=MMGQrG8`1iJ5-{3|KtaWi;;Y}^Ilpu7 zxx4wR_w$+E`#tZ+@BGg1oO9>S{yAR*haTSy@9*)K;CUW@4L%IsqxnAsFQ-0Y-%9(- zFZleXJB&)2zr3c^T~hz&;Qi-?k$fjh%KNg!KQN4BT`xRm9{qoCn9UE}aC%4>HP!zx z_(z9^(RVcd>)Lv|LpKE`frVY7rg6;FuGLB zy9+++bzyXw^3(7Sj|?OE9+%|*3;1)#gwaXrKL^FX=h(de=D|OOH*5Mwz{76{v-N;H zA=QRm{AKWM$A!_+I-b|Uj~^dKAJg`HH@u}MjJ9ch@^skG7Ki2sz@FsZ~KnZR4_vXV3uiZCVNP9{CG9N9!&aJ2B2gl;S8J6|9`1iwyvL7Ym z-^d5tToy+1^953#Q{g8(d07v?aAMxyF}&YN$e*^~1pL%n!l+;4%ZqsrS{X)vrsb7) z_r7se7=4rcB>n5*pTj>;mh-QNR)^6I$~)oeDS7?B2VVd?ef0wT0r*x8A7Ti;c}-{@ zRhRT%2j2wO)qg2G@2z3PFf;kDg^z^o?HI5io*i5tMsHC6GvRYQ z9);fr-=+Q+!zZ5^Mm;)yuYqf4g_*r_3;a=!^8Ez-Ymc9UH%^6-eAP(W{}uR;(_wU{#t$(xzI;KLtw#@mUxL@G z|1t22_vG?C2(R&YC49QaXTs}Yx4(HV{5g19(`&&;UC8{Rd@1}{*zIp#3;)YshtYCv zzgu8;N&Cp_j<>%z%+|~Q4&SytpHClvpT_@#n*O)o=C&}~f7uN`2|IoDJp6YThtZ#F zczIdyt?&}%ga3^3d+EOx-h=e$V?DuUh^M@Ov)_z6*XFcKZ8K`25Sl=x!~~kKmrm!$_WP z6M1+U=5f``9(mQPgJ2=N4QH{R4uH3N{_-PT=U)*#q z;ayiTA8GhA;jRyaQL6dB3qI*XVRWN%1Ag+ud4F99Pkk_q?o9;d{LD z-2m_O_|x!icscn?eZBy@OUnDt@Y;{$`sZHw=o|C)xF25V@eknRJbo5F_Y=81{sDHE zq#trbx&3|Y&ocgGzhoZlF7ZDS9{Xe%9j^Ly5&XUzbNzA>d?)PIe*e!cP-yxc3_R(Q3?e+yqv`(egWp83Ib@QZ(++dE%`AH6P&R;#}FS9mk=7n<-3 zg0I7eP~J_N-(&DLFa6!{r5?Wke}wWMtKokS58TZ2F4~{-II`VNc(G5Ue~yCPdGbDU z4hiH1$nHEdnDf#fPn^ML%-|Sy=gs@fIV|YR@V^4SA>r?bbX1?*Ds@f0o97 z4HH-GNqasf>AxNh*RUU;@!tgR*wbb7o%r{`M}91f?2ycYQNqt;8HA`SmE9C_iNhc`do6rnW!WE<^2o2e)i_V2xj22F zAKatW;ZNsAE#u!EyaZqK0MFMc&t)RHob%atD(?r6c;!C~ z9)8B2?@4-x!*a=ZmHZaL7h*s38tx8OssH)vzX_J-Lu`2u49=18kJ$5IN$)(k`9oKq z1;P0mU*x7a9NV z;CT)2%Kr-dH?IoK{|8)44-^`8v#f0w;%IaUz_%-+$`tvsRe~e?QC;z=Gl5;sJ z&khZ5@9+A`GK5H2s(1W%TE1%5xWT!}WWu z&ubR4Kl8&bV~Um2;m`kJNo1y6TdrnGyEd*ah`^M8@%)* zt~~RDvtYS~_(=P<7BZe6?K1X-q<0Z~gD1aN!grz%uOO`WUkf+qv0ts@?Rt2%CqG|= zcQ?c6TN?hWaMhFlo$&k7hfbe<3x46Tu1KCTm-L^8<$8@_*1`*sVant5|8HTrrnS8e zJc|8y>c0RtiGK+ECFW0CzwY3*@bl>Fzfk|9;WvD@E0S+|N%&q^o=25559V-V2;PVD zvd?MwQ{d+J^YObDmdlObbKtYmF#0-flHL?N2mO7S@&)i$pUubX-)j8l_mS|lHT%ek}nJf8S3d{3mR=+I>u7w}_URSi;_;&|4!8<+wJK({ebw!7p@-zR#6;J;?3h%;x zxIp9o2!3@tjJ~b>2e?c3zr{Z2Lhr~W`$tlq1@QKV^6_&le5luc{qQj#&F9ON@X53X z+aknge+ZWAPCk;}24OG1&FcRL^`C^du|H<(KR>t<9!FpF8UOC!!|=V>6IW_|MNj@W z{%=zM9dO&L|LyQskXLI@bO(3C3n{-mIw2z3{KE3C;5!(q60J)tbO}G`+3x*owKCKD`3ImGzI){~w2sc!2rkRkl9=FeCm>_y*PoPM__D z-|h9^PvC`Lcm1&-co}{U`FUK+bMP^&x6p^DD!&%K>^t`PBB{@b@FDx!=WT@7z;elX zzO={L@b^!do7r3A@J;u1MTcp5TN?g*gq84@!2iQ~;!MLl?*+e&^SvF)H^Vy^A0S=) z(jRYyujKi)bFot-|2yD{?!St?b1%G{`UPE99v+6bP0o!5HND4SxqiY&@_z|_Bl_za z!#tnF!r>dJ0^%x(i|62IPFJphG|A_iuZ+8Z-C`;wZ>4)u5TjJyif8H!~l&_IpCZe^Jx>h4NPA z5JT%BPd_YxFIj5$hedvlg*SWi-6Hri*Rr3YGUX`{-t6H-6*|{*QU(`)BwL<{y{d-SBE}e0>w%gT0QrWd1xb_#P}*x60?U z@b7-n6^-F0_4^(C;AuP`c97*c6l6E@<>uf0;Ei7Y%!6y*{CBt%oU4zI)W1jhBbwiG zcr)d5>8*qR%8P%t`0ILP9{O%n<1f?nwkkiU{CCP=u#nGoWv8$1gn!!zqaWiZ^?Lw5 z>h7-S?M7d7J_r8;`8)gkDfmn0htYp(_@Bda?W^SvF6=yXb>Hra?lb<~!Fssn$;Uh3JDAV5ss9-KH2W8yR!-qVyK5mg*TWZJZ!PCu>ho#!7c)u9ACyL_i_F(HHwHaD zi___}k~GuB)q$l$i<8>u;*uRydEZCbY>UOT*T zQyfF93v}A5%!{vYHfu>csq_pE4h;lN`PWZ`N+TYtHAYIc*nAx{E_JpB=4+X8r86-x z?J_v!jMaJi4%Tc~@wQW9N0OPl&r>zuH8rXJ|LR|-{u8BYow|0?(pVDIN@=@iuy0@> z7*9%-q$T(LfqZo~N#(vT=rsA-Y7#eFjj>jerg6JH?P3is4JwU#Lb$;bW`(OaY|v`j zwvkJG()=T(@|K`B!^b+5CR&6EK-9o=U)-)vP=X~V3~H&CoG>8% zk_chSjrwSHj2p=<<^NdHj^)1Is3gc!nzZ10&`Ks6lZo6l$0i8I-?);DmO8a|+-N4o zIdiBbl|q1KV=8HNnz8v`X-pME_ZPwr6=<+P2$GlW5}$&!G)fUG31xG)@n|PadinH}K+)~{4bI zA<*16B}gfyUiG+Htp`)%)$%yKT^7-xmT3}G+>t7RS4*}sHYC@01XYo=M0b?8#O+3N zrkikCLdCPZ;)&8$@vifAatSpqC5lI+f%!j?v`g}zdda_1xtugjxWwdZl@%W5JL&wd zHA)q)G^R>%om45gBrx8nRSXG;*h{lk8=X|jZbQrH*Nm$5vAEo9n3yx1v*4qA^Su@) ztyZHYX-Yw~0ANy2JFUbkkZJF@Qq2oFRc%WH*W;vNswjo3^WA!vNVVSV&?A$rWqrLg zw!MjsnCX2hDNj20$x)gRal+q4qPo>41(X)m7)EcECgP-SBtc!J=+#oKdO;$(4u#ro zP@Qrs79n&|E7jDGQ%r%JT{xp3G~sfsk>^bU&01;Ng-fZfpS~Gn@yN7{G$Z_jy?y;b zd9qaNpwW8!`fx^WnPYnUdR-zd)U=J`Du^1d#CE_IoJ2kfu@H0{bFK}b=RxeKB*!$lNcF^nWubn!YhPijWLdLC98 zd%5OnIS=ItKGoOV;T{C#2qpg6;T$B}-0l9nbxc>v-;$ zcooU}K2NM^y5ID5?q~w;rMs<|Sn3Wu=KS*7OVsEOkw0BQthsKx==So%-mw@X+7gtn_ zR3HmTf1uhYe2$rz;%3sSHu7M3Q;(tz$75+DGa7@OO$R0kSxk;%a-(Jam=*U$|Pr)DNJ(5HfXe_ z^H5C+gVn(+RZ4Z456Ws1!*M|xhY3)q(lDZnQ&3@cEGJCAX}MKx@-`RLP<^aD z9$+KZ8+mS?I@0kmLiFgfJmpj zPDdiEsh{6la~~hq2@*=%Uv5pK%8eb6az{v0bh+n~CdpQ8%w|%is7+bhrsE}&?HM>> zkkvx78e2Ao8t)E597jedNLt-0VVP5tF=M1!50P$aU_t9=p-@BX&KF- zw@0StmQ5uwH)VmMIos;u?U&THhB#76YK(CpkcTz4o;aD=L~hj9K!s!QHny9 zH(Fie+2ows)IgrBtBVsC#bRvJp6a8GW0MtaZDtkq^ao?X$*6U8ar!RD*sRmgdA^fb zur*or_b+8ZY4am$Y}Ricd$Xmwdg{K<#mpqjCgcTiA!ZmUHm%8ix(YLvA%gWWJlaam zPmRjJfo@=gj7`X^u{4p%e%qnAwx^q(s}&oUrizm(3Q>yPi-S%bd7^obi|@=nAD^7< z)@;sp8=p+~;!28pl^IgltGh{MuRf$SORy;0EH_e~`62vVwH{l_On#b%)$|J9&{P*U z#uRmg<({U!6h(jO?F`M~0^~Z)h09I2f{T?&oZ8j8>=$r{zO1)fjheaF+KMw~hlYz$ z;WSi+u9}jDPWLXP2updqQ{NJs0qNcPA$-ZnS8Farv9U}h`J&$ik~T3*I9WerCG(WK z3+QCeao*APKAc^oC+=H=_p$Wmy9J0PA#0-4s=<2x&|jUu1EN1JAvg&CW>;O zNsoZBatJE-V&&+&D%HhKj-I#JyDWB0f0~zRj+R(I;n>9NC7hHF6LW-@RFZkA#_G>@ zd&?XEu&pe{SkXOU=ZnQunVognpyQpJRd#kTO=G*|itSf!mHUQBkpIrA!{S49D#(ji z@b1=DG$UKp3{7P-GDclSwyF*{Y+Y-Ybh*QN?C7?<478Or8?E+gwm3I3F|a^QN8XyW zH?~=Ej;%<`)oLKS<12ZY<`!ug_JDf^hU9!C9XUmIL^sJxLcK9RFt|*{e3EW32@kJk zhkU%j?x4#etKFJvt+vvnm^p3Ost)n$v(H%Zw&7Kr9Ld2KFA8nZtYX6!9PH+BY^HfX z%v;y4Te)Iwyl%~!ji+piH?3H?_7pkSlJTO!vTfx`A_rP-E0%rqgo7I196CKMBecQB zY^IAd^(yILdQr_9n;6TNzjf{K%2jcHPj67}uq=^{0@k=rbdUzw4HYM2!o{+xYBwqr z2lE{(-cO*(UcI!a6vURXRxY)(q`VPNU&@G%$E(IN7L*d(ef!qLq#WwdTXaz&eY2C$ zZfrs9IbSPJmuqx71uBmh!kLAItT4>lrbZSWS^U{Uq6g^vD^D}?I0>gN>-6$ zA~`_e_@UACcFWo3$+99b+C=ukavmkepwc0;8qbNh5owOU(?;yOy=VwuOv zF-P)7rv>dY^)0pAg>gr2DBhqZ!cxyGXS93GbeSoqh!$hZEdHjaY+KFDxSS*sFD4b0Dh%)kQ z2&p7TtH$h;<24CuHfY+Dj7`66HMEsXhNUKUVkHoa%@IzPT-KAEkY&Y~o}Oxzn#?^# z(R8a_Cl6S?uHe;5FjZnOt8FOf@;nwG>fSGosh9|On}fZe-kBIlj5T0q<2-Iwx@=z1 zEGtu4jz+fFl`_3*4&Zq%f+qL#qut~X-giPNvn|moN=DLV#-_}EK#>#Od2*Gkv6G9H zioH3at*+uB<50v(2Tt0qpd=ZtJnNu!;mTsm#)^AQYw{F9n_UIFhw zYA(+Rv>4(O^29}>S*YG9PN`pC$-G>%!li4AShn;>(cFcAuJ)cDvx8W>*7dooc!x)Q z_GVp}R?53d+Rqs7PIfX$wx*^wGlZ{~i*uzj2Q;ayS51=^M!Bbr zr3_BhW+OL_d11Zws609(qfDen?T)-|!RQ1JQnhG$quz}S@Wey4pnhGQGKp5p!c1Xq zU}~CaRUWc(1(M?rlw#I1o^p|Ryt_Z)*x&ZHS-z+N>&qDlGb8veS#qxZrKPlxc8XB5 z3TxD?30U=KO(UJFEi>DQkl`Z3kUSgH3?5p}PIsf_db?oil25rg?U!nFhEdZ;qdG&d z!{ji_5G0eChO3wqGY4wk>PDiGH9uE=WdL(d#;Z{Zqm@#jQ2BweYb!C_8b)K-IZf=W zv_88jWO$n$0DHO|$i1Jj8$sAY#%3~e6CELv&%+9K;VI907-6X(^gKqgg(XA6b9SvN z=2~30_NG#6*Xd?+L4ogyGEsHNXHMAiyCX(R#_JnG;qDlket!N**H^G z;{-u1k9?1re2U|TvBy(1!Iorts?n+hqeX!;Yj$db0VF+}&lOgA%rNMgyLhI}ukQ4; zF_qLJvc`<`kf}zDoXBaB%({N0OqggsD@#T}nAI>18(`C#Rh)FaS@@>2$I;INX_N7WWQ=Dclh!J%3XylGcG!CIZ9^U;bk?QQ z1u}3njbb)VSW=aEZ0ia3ESAJsb%XWA2-J6XCcxqQ^uJK1Ifg1Sjkvy60-!6 z2mMN|!0H(hcU*Zi(ak!>DnX4jCRw<#k5e;iWS$MxWkdc{FpuZ*NSj%yiJ~Zq*Z%>a CK6B0h diff --git a/build/linux/dist/tools/avrdude.conf b/build/linux/dist/tools/avrdude.conf index 221cf2aa5..d3dd109c6 100644 --- a/build/linux/dist/tools/avrdude.conf +++ b/build/linux/dist/tools/avrdude.conf @@ -1,4 +1,4 @@ -# $Id: avrdude.conf.in,v 1.122 2007/05/16 21:29:36 joerg_wunsch Exp $ +# $Id: avrdude.conf.in 991 2011-08-26 20:50:32Z joerg_wunsch $ -*- text -*- # # AVRDUDE Configuration File # @@ -16,10 +16,12 @@ # id = [, [, ] ...] ; # are quoted strings # desc = ; # quoted string # type = par | stk500 | stk500v2 | stk500pp | stk500hvsp | stk500generic | +# stk600 | stk600pp | stk600hvsp | # avr910 | butterfly | usbasp | # jtagmki | jtagmkii | jtagmkii_isp | jtagmkii_dw | +# jtagmkII_avr32 | jtagmkii_pdi | # dragon_dw | dragon_jtag | dragon_isp | dragon_pp | -# dragon_hvsp; # programmer type +# dragon_hvsp | dragon_pdi | arduino | wiring; # programmer type # baudrate = ; # baudrate for avr910-programmer # vcc = [, ... ] ; # pin number(s) # reset = ; # pin number @@ -30,6 +32,14 @@ # rdyled = ; # pin number # pgmled = ; # pin number # vfyled = ; # pin number +# usbvid = ; # USB VID (Vendor ID) +# usbpid = ; # USB PID (Product ID) +# usbdev = ; # USB interface or other device info +# usbvendor = ; # USB Vendor Name +# usbproduct = ; # USB Product Name +# usbsn = ; # USB Serial Number +# +# To invert a bit, use = ~ , the spaces are important. # ; # # part @@ -37,6 +47,8 @@ # desc = ; # quoted string # has_jtag = ; # part has JTAG i/f # has_debugwire = ; # part has debugWire i/f +# has_pdi = ; # part has PDI i/f +# has_tpi = ; # part has TPI i/f # devicecode = ; # deprecated, use stk500_devcode # stk500_devcode = ; # numeric # avr910_devcode = ; # numeric @@ -96,6 +108,7 @@ # spmcr = ; # mem addr of SPMC[S]R reg. # eecr = ; # mem addr of EECR reg. # # (only when != 0x3c) +# is_avr32 = ; # AVR32 part # # memory # paged = ; # yes / no @@ -182,7 +195,7 @@ # http://www.atmel.com/atmel/acrobat/doc2525.pdf # -#define ATTINY10 0x10 +#define ATTINY10 0x10 /* the _old_ one that never existed! */ #define ATTINY11 0x11 #define ATTINY12 0x12 #define ATTINY15 0x13 @@ -229,6 +242,8 @@ #define ATMEGA103 0xB1 #define ATMEGA128 0xB2 #define AT90CAN128 0xB3 +#define AT90CAN64 0xB3 +#define AT90CAN32 0xB3 #define AT86RF401 0xD0 @@ -301,12 +316,118 @@ # default_parallel = "/dev/parport0"; default_serial = "/dev/ttyS0"; +# default_bitclock = 2.5 # # PROGRAMMER DEFINITIONS # +# http://wiring.org.co/ +# Basically STK500v2 protocol, with some glue to trigger the +# bootloader. +programmer + id = "wiring"; + desc = "Wiring"; + type = wiring; +; + +programmer + id = "arduino"; + desc = "Arduino"; + type = arduino; +; +# this will interface with the chips on these programmers: +# +# http://real.kiev.ua/old/avreal/en/adapters +# http://www.amontec.com/jtagkey.shtml, jtagkey-tiny.shtml +# http://www.olimex.com/dev/arm-usb-ocd.html, arm-usb-tiny.html +# http://www.ethernut.de/en/hardware/turtelizer/index.html +# http://elk.informatik.fh-augsburg.de/hhweb/doc/openocd/usbjtag/usbjtag.html +# http://dangerousprototypes.com/docs/FT2232_breakout_board +# http://www.ftdichip.com/Products/Modules/DLPModules.htm,DLP-2232*,DLP-USB1232H +# http://flashrom.org/FT2232SPI_Programmer +# +# The drivers will look for a specific device and use the first one found. +# If you have mulitple devices, then look for unique information (like SN) +# And fill that in here. + +programmer + id = "avrftdi"; + desc = "FT2232D based generic programmer"; + type = avrftdi; + usbvid = 0x0403; + usbpid = 0x6010; + usbvendor = ""; + usbproduct = ""; + usbdev = "A"; + usbsn = ""; +#ISP-signals - lower ACBUS-Nibble (default) + reset = 4; + sck = 1; + mosi = 2; + miso = 3; +#LED SIGNALs - higher ACBUS-Nibble +# errled = 5; +# rdyled = 6; +# pgmled = 7; +# vfyled = 8; +#Buffer Signal - ADBUS - Nibble +# buff = 9; +; +# This is an implementation of the above with a buffer IC (74AC244) and +# 4 LEDs directly attached, active low. The buff and reset pins are +# understood (by avrdude) to be active low, so there's no +# need to invert the bits. +programmer + id = "2232HIO"; + desc = "FT2232H based generic programmer"; + type = avrftdi; + usbvid = 0x0403; +# Note: This PID is reserved for generic H devices and +# should be programmed into the EEPROM +# usbpid = 0x8A48; + usbpid = 0x6010; + usbdev = "A"; + usbvendor = ""; + usbproduct = ""; + usbsn = ""; +#ISP-signals + reset = 4; + sck = 1; + mosi = 2; + miso = 3; + buff = 5; +#LED SIGNALs + errled = ~ 12; + rdyled = ~ 15; + pgmled = ~ 14; + vfyled = ~ 13; +; + +programmer + id = "jtagkey"; + desc = "Amontec JTAGKey, JTAGKey-Tiny and JTAGKey2"; + type = avrftdi; + usbvid = 0x0403; +# Note: This PID is used in all JTAGKey variants + usbpid = 0xCFF8; + usbdev = "A"; + usbvendor = ""; + usbproduct = ""; + usbsn = ""; +#ISP-signals => 20 - Pin connector on JTAGKey + reset = 4; # TMS 7 violet + sck = 1; # TCK 9 white + mosi = 2; # TDI 5 green + miso = 3; # TDO 13 orange + buff = 5; +# VTG VREF 1 brown with red tip +# GND GND 20 black +# The colors are on the 20 pin breakout cable +# from Amontec +; + programmer id = "avrisp"; desc = "Atmel AVR ISP"; @@ -331,6 +452,12 @@ programmer type = stk500v2; ; +programmer + id = "buspirate"; + desc = "The Bus Pirate"; + type = buspirate; +; + # This is supposed to be the "default" STK500 entry. # Attempts to select the correct firmware version # by probing for it. Better use one of the entries @@ -347,6 +474,12 @@ programmer type = stk500; ; +programmer + id = "mib510"; + desc = "Crossbow MIB510 programming board"; + type = stk500; +; + programmer id = "stk500v2"; desc = "Atmel STK500 Version 2.x firmware"; @@ -365,6 +498,24 @@ programmer type = stk500hvsp; ; +programmer + id = "stk600"; + desc = "Atmel STK600"; + type = stk600; +; + +programmer + id = "stk600pp"; + desc = "Atmel STK600 in parallel programming mode"; + type = stk600pp; +; + +programmer + id = "stk600hvsp"; + desc = "Atmel STK600 in high-voltage serial programming mode"; + type = stk600hvsp; +; + programmer id = "avr910"; desc = "Atmel Low Cost Serial Programmer"; @@ -379,7 +530,7 @@ programmer programmer id = "usbtiny"; - desc = "USBtiny simple USB programmer"; + desc = "USBtiny simple USB programmer, http://www.ladyada.net/make/usbtinyisp/"; type = usbtiny; ; @@ -400,6 +551,19 @@ programmer desc = "Atmel AppNote AVR911 AVROSP"; type = butterfly; ; + +# suggested in http://forum.mikrokopter.de/topic-post48317.html +programmer + id = "mkbutterfly"; + desc = "Mikrokopter.de Butterfly"; + type = butterfly_mk; +; + +programmer + id = "butterfly_mk"; + desc = "Mikrokopter.de Butterfly"; + type = butterfly_mk; +; programmer id = "jtagmkI"; @@ -471,6 +635,30 @@ programmer type = jtagmkii_dw; ; +# JTAG ICE mkII in AVR32 mode +programmer + id = "jtagmkII_avr32"; + desc = "Atmel JTAG ICE mkII im AVR32 mode"; + baudrate = 115200; + type = jtagmkii_avr32; +; + +# JTAG ICE mkII in AVR32 mode +programmer + id = "jtag2avr32"; + desc = "Atmel JTAG ICE mkII im AVR32 mode"; + baudrate = 115200; + type = jtagmkii_avr32; +; + +# JTAG ICE mkII in PDI mode +programmer + id = "jtag2pdi"; + desc = "Atmel JTAG ICE mkII PDI mode"; + baudrate = 115200; + type = jtagmkii_pdi; +; + # AVR Dragon in JTAG mode programmer id = "dragon_jtag"; @@ -511,6 +699,14 @@ programmer type = dragon_dw; ; +# AVR Dragon in PDI mode +programmer + id = "dragon_pdi"; + desc = "Atmel AVR Dragon in PDI mode"; + baudrate = 115200; + type = dragon_pdi; +; + programmer id = "pavr"; desc = "Jason Kyle's pAVR Serial Programmer"; @@ -639,7 +835,8 @@ programmer # From the contributor of the "xil" jtag cable: # The "vcc" definition isn't really vcc (the cable gets its power from # the programming circuit) but is necessary to switch one of the -# buffer lines (trying to add it to the "buff" lines doesn't work). +# buffer lines (trying to add it to the "buff" lines doesn't work in +# avrdude versions before 5.5j). # With this, TMS connects to RESET, TDI to MOSI, TDO to MISO and TCK # to SCK (plus vcc/gnd of course) programmer @@ -711,6 +908,18 @@ programmer pgmled = 8; ; +# The AT98ISP Cable is a simple parallel dongle for AT89 family. +# http://www.atmel.com/dyn/products/tools_card.asp?tool_id=2877 +programmer +id = "89isp"; +desc = "Atmel at89isp cable"; +type = par; +reset = 17; +sck = 1; +mosi = 2; +miso = 10; +; + # # some ultra cheap programmers use bitbanging on the @@ -783,6 +992,19 @@ programmer miso = 8; ; +# C2N232i (jumper configuration "auto") +# reset=dtr sck=!rts mosi=!txd miso=!cts + +programmer + id = "c2n232i"; + desc = "serial port banging, reset=dtr sck=!rts mosi=!txd miso=!cts"; + type = serbb; + reset = 4; + sck = ~7; + mosi = ~3; + miso = ~8; +; + # # PART DEFINITIONS # @@ -1651,6 +1873,37 @@ part chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 1; + memory "eeprom" size = 128; min_write_delay = 9000; @@ -1662,7 +1915,13 @@ part write = "1 1 0 0 0 0 0 0 x x x x x x x x", "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; ; + memory "flash" size = 2048; min_write_delay = 9000; @@ -1688,7 +1947,13 @@ part " x x x x x x a9 a8", " a7 a6 a5 a4 a3 a2 a1 a0", " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; ; + memory "signature" size = 3; read = "0 0 1 1 0 0 0 0 x x x x x x x x", @@ -2973,6 +3238,386 @@ part ; ; +#------------------------------------------------------------ +# AT90CAN64 +#------------------------------------------------------------ + +part + id = "c64"; + desc = "AT90CAN64"; + has_jtag = yes; + stk500_devcode = 0xB3; +# avr910_devcode = 0x43; + signature = 0x1e 0x96 0x81; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x01; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + eecr = 0x3f; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90CAN32 +#------------------------------------------------------------ + +part + id = "c32"; + desc = "AT90CAN32"; + has_jtag = yes; + stk500_devcode = 0xB3; +# avr910_devcode = 0x43; + signature = 0x1e 0x95 0x81; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x01; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + eecr = 0x3f; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 256; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + #------------------------------------------------------------ # ATmega16 @@ -3160,8 +3805,7 @@ part id = "m164p"; desc = "ATMEGA164P"; has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ + stk500_devcode = 0x82; # no STK500v1 support, use the ATmega16 one avr910_devcode = 0x74; signature = 0x1e 0x94 0x0a; pagel = 0xd7; @@ -3352,8 +3996,7 @@ part id = "m324p"; desc = "ATMEGA324P"; has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ + stk500_devcode = 0x82; # no STK500v1 support, use the ATmega16 one avr910_devcode = 0x74; signature = 0x1e 0x95 0x08; pagel = 0xd7; @@ -3534,6 +4177,197 @@ part ; +#------------------------------------------------------------ +# ATmega324PA +#------------------------------------------------------------ + +# similar to ATmega324P + +part + id = "m324pa"; + desc = "ATmega324PA"; + has_jtag = yes; + stk500_devcode = 0x82; # no STK500v1 support, use the ATmega16 one + avr910_devcode = 0x74; + signature = 0x1e 0x95 0x11; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + + #------------------------------------------------------------ # ATmega644 #------------------------------------------------------------ @@ -3544,8 +4378,7 @@ part id = "m644"; desc = "ATMEGA644"; has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ + stk500_devcode = 0x82; # no STK500v1 support, use the ATmega16 one avr910_devcode = 0x74; signature = 0x1e 0x96 0x09; pagel = 0xd7; @@ -3735,8 +4568,7 @@ part id = "m644p"; desc = "ATMEGA644P"; has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ + stk500_devcode = 0x82; # no STK500v1 support, use the ATmega16 one avr910_devcode = 0x74; signature = 0x1e 0x96 0x0a; pagel = 0xd7; @@ -3918,6 +4750,198 @@ part +#------------------------------------------------------------ +# ATmega1284P +#------------------------------------------------------------ + +# similar to ATmega164p + +part + id = "m1284p"; + desc = "ATMEGA1284P"; + has_jtag = yes; + stk500_devcode = 0x82; # no STK500v1 support, use the ATmega16 one + avr910_devcode = 0x74; + signature = 0x1e 0x97 0x05; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 256; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + + + #------------------------------------------------------------ # ATmega162 #------------------------------------------------------------ @@ -4133,6 +5157,17 @@ part chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + pp_controlstack = 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, @@ -4167,8 +5202,12 @@ part write = " 1 1 0 0 0 0 0 0", " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", + " a7 a6 a5 a4 a3 a2 a1 a0", " i i i i i i i i"; + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; ; memory "flash" @@ -4205,8 +5244,8 @@ part " a7 a6 x x x x x x", " x x x x x x x x"; - mode = 0x41; - delay = 6; + mode = 0x11; + delay = 20; blocksize = 128; readsize = 256; ; @@ -4620,6 +5659,190 @@ part ; ; +#------------------------------------------------------------ +# ATmega329P +#------------------------------------------------------------ +# Identical to ATmega329 except of the signature + +part + id = "m329p"; + desc = "ATMEGA329P"; + has_jtag = yes; +# stk500_devcode = 0x85; # no STK500 support, only STK500v2 +# avr910_devcode = 0x?; # try the ATmega169 one: + avr910_devcode = 0x75; + signature = 0x1e 0x95 0x0b; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + #------------------------------------------------------------ # ATmega3290 #------------------------------------------------------------ @@ -4805,6 +6028,191 @@ part ; ; +#------------------------------------------------------------ +# ATmega3290P +#------------------------------------------------------------ + +# identical to ATmega3290 except of the signature + +part + id = "m3290p"; + desc = "ATMEGA3290P"; + has_jtag = yes; +# stk500_devcode = 0x85; # no STK500 support, only STK500v2 +# avr910_devcode = 0x?; # try the ATmega169 one: + avr910_devcode = 0x75; + signature = 0x1e 0x95 0x0c; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a3 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + #------------------------------------------------------------ # ATmega649 #------------------------------------------------------------ @@ -5551,6 +6959,7 @@ part memory "eeprom" size = 512; + page_size = 4; min_write_delay = 9000; max_write_delay = 9000; readback_p1 = 0xff; @@ -5723,7 +7132,7 @@ part " i i i i i i i i"; mode = 0x04; - delay = 10; + delay = 20; blocksize = 128; readsize = 256; ; @@ -5883,7 +7292,7 @@ part " i i i i i i i i"; mode = 0x04; - delay = 10; + delay = 20; blocksize = 128; readsize = 256; ; @@ -6782,7 +8191,7 @@ part " x x x x x x x x"; mode = 0x41; - delay = 5; + delay = 20; blocksize = 4; readsize = 256; ; @@ -6969,7 +8378,7 @@ part " x x x x x x x x"; mode = 0x41; - delay = 5; + delay = 20; blocksize = 4; readsize = 256; ; @@ -7070,6 +8479,193 @@ part ; ; +#------------------------------------------------------------ +# ATmega88P +#------------------------------------------------------------ + +part + id = "m88p"; + desc = "ATMEGA88P"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x73; +# avr910_devcode = 0x; + signature = 0x1e 0x93 0x0f; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 512; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + #------------------------------------------------------------ # ATmega168 #------------------------------------------------------------ @@ -7155,7 +8751,7 @@ part " x x x x x x x x"; mode = 0x41; - delay = 5; + delay = 20; blocksize = 4; readsize = 256; ; @@ -7258,194 +8854,569 @@ part ; ; -#------------------------------------------------------------ -# ATmega328 -#------------------------------------------------------------ - -part - id = "m328p"; - desc = "ATMEGA328P"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x86; - # avr910_devcode = 0x; - signature = 0x1e 0x95 0x0F; - pagel = 0xd7; - bs2 = 0xc2; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - page_size = 4; - size = 1024; - min_write_delay = 3600; - max_write_delay = 3600; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 5; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 128; - readsize = 256; - - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; -; - #------------------------------------------------------------ +#------------------------------------------------------------ +# ATmega168P +#------------------------------------------------------------ + +part + id = "m168p"; + desc = "ATMEGA168P"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x86; + # avr910_devcode = 0x; + signature = 0x1e 0x94 0x0b; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 512; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; +; + +#------------------------------------------------------------ +# ATtiny88 +#------------------------------------------------------------ + +part + id = "t88"; + desc = "attiny88"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x73; +# avr910_devcode = 0x; + signature = 0x1e 0x93 0x11; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 64; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 64; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega328P +#------------------------------------------------------------ + +part + id = "m328p"; + desc = "ATMEGA328P"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x86; + # avr910_devcode = 0x; + signature = 0x1e 0x95 0x0F; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 1024; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; +; + +#------------------------------------------------------------ # ATtiny2313 #------------------------------------------------------------ @@ -7632,6 +9603,188 @@ part ; ; +#------------------------------------------------------------ +# ATtiny4313 +#------------------------------------------------------------ + +part + id = "t4313"; + desc = "ATtiny4313"; + has_debugwire = yes; + flash_instr = 0xB2, 0x0F, 0x1F; + eeprom_instr = 0xBB, 0xFE, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBA, 0x0F, 0xB2, 0x0F, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; + stk500_devcode = 0x23; +## Use the ATtiny26 devcode: + avr910_devcode = 0x5e; + signature = 0x1e 0x92 0x0d; + pagel = 0xD4; + bs2 = 0xD6; + reset = io; + chip_erase_delay = 9000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0E, 0x1E, 0x2E, 0x3E, 0x2E, 0x3E, + 0x4E, 0x5E, 0x4E, 0x5E, 0x6E, 0x7E, 0x6E, 0x7E, + 0x26, 0x36, 0x66, 0x76, 0x2A, 0x3A, 0x6A, 0x7A, + 0x2E, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 256; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 4096; + page_size = 64; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny4313 has Signature Bytes: 0x1E 0x92 0x0D. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 2; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + #------------------------------------------------------------ # AT90PWM2 #------------------------------------------------------------ @@ -8792,7 +10945,7 @@ part "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a8 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; loadpage_lo = " 1 1 0 0 0 0 0 1", " 0 0 0 0 0 0 0 0", @@ -9854,6 +12007,195 @@ part ; ; +#------------------------------------------------------------ +# ATmega128RFA1 +#------------------------------------------------------------ +# Identical to ATmega2561 but half the ROM + +part + id = "m128rfa1"; + desc = "ATMEGA128RFA1"; + signature = 0x1e 0xa7 0x01; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 55000; + pagel = 0xD7; + bs2 = 0xE2; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 50000; + max_write_delay = 50000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 50000; + max_write_delay = 50000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + #------------------------------------------------------------ # ATtiny24 #------------------------------------------------------------ @@ -10288,7 +12630,7 @@ part "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a8 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; loadpage_lo = " 1 1 0 0 0 0 0 1", " 0 0 0 0 0 0 0 0", @@ -10401,6 +12743,194 @@ part ; ; +#------------------------------------------------------------ +# ATmega32u4 +#------------------------------------------------------------ + +part + id = "m32u4"; + desc = "ATmega32U4"; + signature = 0x1e 0x95 0x87; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + #------------------------------------------------------------ # AT90USB646 #------------------------------------------------------------ @@ -10408,8 +12938,7 @@ part part id = "usb646"; desc = "AT90USB646"; -# signature = 0x1e 0x96 0x82; ? - signature = 0x1e 0x97 0x82; + signature = 0x1e 0x96 0x82; has_jtag = yes; # stk500_devcode = 0xB2; # avr910_devcode = 0x43; @@ -10598,8 +13127,7 @@ part part id = "usb647"; desc = "AT90USB647"; -# signature = 0x1e 0x96 0x82; ? - signature = 0x1e 0x97 0x82; + signature = 0x1e 0x96 0x82; has_jtag = yes; # stk500_devcode = 0xB2; # avr910_devcode = 0x43; @@ -11157,6 +13685,926 @@ part ; ; + +#------------------------------------------------------------ +# AT90USB162 +#------------------------------------------------------------ + +part + id = "usb162"; + desc = "AT90USB162"; + has_jtag = no; + has_debugwire = yes; + signature = 0x1e 0x94 0x82; + chip_erase_delay = 9000; + reset = io; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + pagel = 0xD7; + bs2 = 0xC6; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + num_pages = 128; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90USB82 +#------------------------------------------------------------ +# Changes against AT90USB162 (beside IDs) +# memory "flash" +# size = 8192; +# num_pages = 64; + +part + id = "usb82"; + desc = "AT90USB82"; + has_jtag = no; + has_debugwire = yes; + signature = 0x1e 0x93 0x82; + chip_erase_delay = 9000; + reset = io; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + pagel = 0xD7; + bs2 = 0xC6; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + num_pages = 128; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 8192; + page_size = 128; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega32U2 +#------------------------------------------------------------ +# Changes against AT90USB162 (beside IDs) +# memory "flash" +# size = 32768; +# num_pages = 256; +# memory "eeprom" +# size = 1024; +# num_pages = 256; +part + id = "m32u2"; + desc = "ATmega32U2"; + has_jtag = no; + has_debugwire = yes; + signature = 0x1e 0x95 0x8a; + chip_erase_delay = 9000; + reset = io; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + pagel = 0xD7; + bs2 = 0xC6; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + num_pages = 256; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; +#------------------------------------------------------------ +# ATmega16U2 +#------------------------------------------------------------ +# Changes against ATmega32U2 (beside IDs) +# memory "flash" +# size = 16384; +# num_pages = 128; +# memory "eeprom" +# size = 512; +# num_pages = 128; +part + id = "m16u2"; + desc = "ATmega16U2"; + has_jtag = no; + has_debugwire = yes; + signature = 0x1e 0x94 0x89; + chip_erase_delay = 9000; + reset = io; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + pagel = 0xD7; + bs2 = 0xC6; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + num_pages = 128; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega8U2 +#------------------------------------------------------------ +# Changes against ATmega16U2 (beside IDs) +# memory "flash" +# size = 8192; +# page_size = 64; +# blocksize = 64; + +part + id = "m8u2"; + desc = "ATmega8U2"; + has_jtag = no; + has_debugwire = yes; + signature = 0x1e 0x93 0x89; + chip_erase_delay = 9000; + reset = io; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + pagel = 0xD7; + bs2 = 0xC6; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + num_pages = 128; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; #------------------------------------------------------------ # ATmega325 #------------------------------------------------------------ @@ -11912,3 +15360,1560 @@ part "0 0 0 0 0 0 0 0 o o o o o o o o"; ; ; + +#------------------------------------------------------------ +# ATXMEGA64A1 +#------------------------------------------------------------ + +part + id = "x64a1"; + desc = "ATXMEGA64A1"; + signature = 0x1e 0x96 0x4e; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00010000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00001000; + offset = 0x0080f000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00001000; + offset = 0x00810000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00011000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA128A1 +#------------------------------------------------------------ + +part + id = "x128a1"; + desc = "ATXMEGA128A1"; + signature = 0x1e 0x97 0x4c; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00020000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0081e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00820000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00022000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA128A1REVD +#------------------------------------------------------------ + +part + id = "x128a1d"; + desc = "ATXMEGA128A1REVD"; + signature = 0x1e 0x97 0x41; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00020000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0081e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00820000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00022000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA192A1 +#------------------------------------------------------------ + +part + id = "x192a1"; + desc = "ATXMEGA192A1"; + signature = 0x1e 0x97 0x4e; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00030000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0082e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00830000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00032000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA256A1 +#------------------------------------------------------------ + +part + id = "x256a1"; + desc = "ATXMEGA256A1"; + signature = 0x1e 0x98 0x46; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x1000; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00040000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0083e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00840000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00042000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA64A3 +#------------------------------------------------------------ + +part + id = "x64a3"; + desc = "ATXMEGA64A3"; + signature = 0x1e 0x96 0x42; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00010000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00001000; + offset = 0x0080f000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00001000; + offset = 0x00810000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00011000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA128A3 +#------------------------------------------------------------ + +part + id = "x128a3"; + desc = "ATXMEGA128A3"; + signature = 0x1e 0x97 0x42; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00020000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0081e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00820000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00022000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA192A3 +#------------------------------------------------------------ + +part + id = "x192a3"; + desc = "ATXMEGA192A3"; + signature = 0x1e 0x97 0x44; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00030000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0082e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00830000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00032000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA256A3 +#------------------------------------------------------------ + +part + id = "x256a3"; + desc = "ATXMEGA256A3"; + signature = 0x1e 0x98 0x42; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x1000; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00040000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0083e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00840000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00042000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA256A3B +#------------------------------------------------------------ + +part + id = "x256a3b"; + desc = "ATXMEGA256A3B"; + signature = 0x1e 0x98 0x43; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x1000; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00040000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0083e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00840000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00042000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA16A4 +#------------------------------------------------------------ + +part + id = "x16a4"; + desc = "ATXMEGA16A4"; + signature = 0x1e 0x94 0x41; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0400; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00004000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00001000; + offset = 0x00803000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00001000; + offset = 0x00804000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00005000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA32A4 +#------------------------------------------------------------ + +part + id = "x32a4"; + desc = "ATXMEGA32A4"; + signature = 0x1e 0x95 0x41; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0400; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00008000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00001000; + offset = 0x00807000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00001000; + offset = 0x00808000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00009000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA64A4 +#------------------------------------------------------------ + +part + id = "x64a4"; + desc = "ATXMEGA64A4"; + signature = 0x1e 0x96 0x46; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00010000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00001000; + offset = 0x0080f000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00001000; + offset = 0x00810000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00011000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + +#------------------------------------------------------------ +# ATXMEGA128A4 +#------------------------------------------------------------ + +part + id = "x128a4"; + desc = "ATXMEGA128A4"; + signature = 0x1e 0x97 0x46; + has_jtag = yes; + has_pdi = yes; + nvm_base = 0x01c0; + + memory "eeprom" + size = 0x0800; + offset = 0x08c0000; + page_size = 0x20; + readsize = 0x100; + ; + + memory "application" + size = 0x00020000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "apptable" + size = 0x00002000; + offset = 0x0081e000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "boot" + size = 0x00002000; + offset = 0x00820000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "flash" + size = 0x00022000; + offset = 0x0800000; + page_size = 0x100; + readsize = 0x100; + ; + + memory "prodsig" + size = 0x200; + offset = 0x8e0200; + page_size = 0x100; + readsize = 0x100; + ; + + memory "usersig" + size = 0x200; + offset = 0x8e0400; + page_size = 0x100; + readsize = 0x100; + ; + + memory "signature" + size = 3; + offset = 0x1000090; + ; + + memory "fuse0" + size = 1; + offset = 0x8f0020; + ; + + memory "fuse1" + size = 1; + offset = 0x8f0021; + ; + + memory "fuse2" + size = 1; + offset = 0x8f0022; + ; + + memory "fuse4" + size = 1; + offset = 0x8f0024; + ; + + memory "fuse5" + size = 1; + offset = 0x8f0025; + ; + + memory "lock" + size = 1; + offset = 0x8f0027; + ; +; + + +#------------------------------------------------------------ +# AVR32UC3A0512 +#------------------------------------------------------------ + +part + id = "ucr2"; + desc = "32UC3A0512"; + signature = 0xED 0xC0 0x3F; + has_jtag = yes; + is_avr32 = yes; + + memory "flash" + paged = yes; + page_size = 512; # bytes + readsize = 512; # bytes + num_pages = 1024; # could be set dynamicly + size = 0x00080000; # could be set dynamicly + offset = 0x80000000; + ; +; + +#------------------------------------------------------------ +# ATtiny4 +#------------------------------------------------------------ + +part + id = "t4"; + desc = "ATtiny4"; + signature = 0x1e 0x8f 0x0a; + has_tpi = yes; + + memory "flash" + size = 512; + offset = 0x4000; + page_size = 16; + blocksize = 128; + ; + + memory "signature" + size = 3; + offset = 0x3fc0; + page_size = 16; + ; + + memory "fuse" + size = 1; + offset = 0x3f40; + page_size = 16; + blocksize = 4; + ; + + memory "calibration" + size = 1; + offset = 0x3f80; + page_size = 16; + ; + + memory "lockbits" + size = 1; + offset = 0x3f00; + page_size = 16; + ; +; + + +#------------------------------------------------------------ +# ATtiny5 +#------------------------------------------------------------ + +part + id = "t5"; + desc = "ATtiny5"; + signature = 0x1e 0x8f 0x09; + has_tpi = yes; + + memory "flash" + size = 512; + offset = 0x4000; + page_size = 16; + blocksize = 128; + ; + + memory "signature" + size = 3; + offset = 0x3fc0; + page_size = 16; + ; + + memory "fuse" + size = 1; + offset = 0x3f40; + page_size = 16; + blocksize = 4; + ; + + memory "calibration" + size = 1; + offset = 0x3f80; + page_size = 16; + ; + + memory "lockbits" + size = 1; + offset = 0x3f00; + page_size = 16; + ; +; + + +#------------------------------------------------------------ +# ATtiny9 +#------------------------------------------------------------ + +part + id = "t9"; + desc = "ATtiny9"; + signature = 0x1e 0x90 0x08; + has_tpi = yes; + + memory "flash" + size = 1024; + offset = 0x4000; + page_size = 16; + blocksize = 128; + ; + + memory "signature" + size = 3; + offset = 0x3fc0; + page_size = 16; + ; + + memory "fuse" + size = 1; + offset = 0x3f40; + page_size = 16; + blocksize = 4; + ; + + memory "calibration" + size = 1; + offset = 0x3f80; + page_size = 16; + ; + + memory "lockbits" + size = 1; + offset = 0x3f00; + page_size = 16; + ; +; + + +#------------------------------------------------------------ +# ATtiny10 +#------------------------------------------------------------ + +part + id = "t10"; + desc = "ATtiny10"; + signature = 0x1e 0x90 0x03; + has_tpi = yes; + + memory "flash" + size = 1024; + offset = 0x4000; + page_size = 16; + blocksize = 128; + ; + + memory "signature" + size = 3; + offset = 0x3fc0; + page_size = 16; + ; + + memory "fuse" + size = 1; + offset = 0x3f40; + page_size = 16; + blocksize = 4; + ; + + memory "calibration" + size = 1; + offset = 0x3f80; + page_size = 16; + ; + + memory "lockbits" + size = 1; + offset = 0x3f00; + page_size = 16; + ; +; + + From c9d4630d2b5f01598ce0561eaa70748208393b5f Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 7 Sep 2011 20:00:06 +0200 Subject: [PATCH 2/7] Fallback on system avrdude if Arduino avrdude isn't present (Linux). --- .../processing/app/debug/AvrdudeUploader.java | 19 +++++++++++-------- app/src/processing/app/debug/Uploader.java | 11 ----------- 2 files changed, 11 insertions(+), 19 deletions(-) diff --git a/app/src/processing/app/debug/AvrdudeUploader.java b/app/src/processing/app/debug/AvrdudeUploader.java index a30f56614..2fec8f6f6 100755 --- a/app/src/processing/app/debug/AvrdudeUploader.java +++ b/app/src/processing/app/debug/AvrdudeUploader.java @@ -176,14 +176,17 @@ public class AvrdudeUploader extends Uploader { public boolean avrdude(Collection params) throws RunnerException { List commandDownloader = new ArrayList(); - commandDownloader.add("avrdude"); - - // Point avrdude at its config file since it's in a non-standard location. - if (Base.isLinux()) { - // ???: is it better to have Linux users install avrdude themselves, in - // a way that it can find its own configuration file? - commandDownloader.add("-C" + Base.getHardwarePath() + "/tools/avrdude.conf"); - } else { + + if(Base.isLinux()) { + if ((new File(Base.getHardwarePath() + "/tools/" + "avrdude")).exists()) { + commandDownloader.add(Base.getHardwarePath() + "/tools/" + "avrdude"); + commandDownloader.add("-C" + Base.getHardwarePath() + "/tools/avrdude.conf"); + } else { + commandDownloader.add("avrdude"); + } + } + else { + commandDownloader.add(Base.getHardwarePath() + "/tools/avr/bin/" + "avrdude"); commandDownloader.add("-C" + Base.getHardwarePath() + "/tools/avr/etc/avrdude.conf"); } diff --git a/app/src/processing/app/debug/Uploader.java b/app/src/processing/app/debug/Uploader.java index 03d8f2f30..71739f129 100755 --- a/app/src/processing/app/debug/Uploader.java +++ b/app/src/processing/app/debug/Uploader.java @@ -114,17 +114,6 @@ public abstract class Uploader implements MessageConsumer { String[] commandArray = new String[commandDownloader.size()]; commandDownloader.toArray(commandArray); - String avrBasePath; - - if(Base.isLinux()) { - avrBasePath = new String(Base.getHardwarePath() + "/tools/"); - } - else { - avrBasePath = new String(Base.getHardwarePath() + "/tools/avr/bin/"); - } - - commandArray[0] = avrBasePath + commandArray[0]; - if (verbose || Preferences.getBoolean("upload.verbose")) { for(int i = 0; i < commandArray.length; i++) { System.out.print(commandArray[i] + " "); From ab36bd5dbbc0ace7ca6ef021c537a0759ff6e6a3 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 7 Sep 2011 17:47:17 -0400 Subject: [PATCH 3/7] Changing to a simpler mental model for serialEvent (Paul Stoffregen). http://code.google.com/p/arduino/issues/detail?id=626 --- .../arduino/cores/arduino/HardwareSerial.cpp | 37 ++----------------- .../arduino/cores/arduino/HardwareSerial.h | 2 +- hardware/arduino/cores/arduino/main.cpp | 2 +- 3 files changed, 6 insertions(+), 35 deletions(-) diff --git a/hardware/arduino/cores/arduino/HardwareSerial.cpp b/hardware/arduino/cores/arduino/HardwareSerial.cpp index 3ed8d07b5..49a379637 100644 --- a/hardware/arduino/cores/arduino/HardwareSerial.cpp +++ b/hardware/arduino/cores/arduino/HardwareSerial.cpp @@ -88,7 +88,6 @@ inline void store_char(unsigned char c, ring_buffer *buffer) #else void serialEvent() __attribute__((weak)); void serialEvent() {} - volatile static unsigned char serialEvent_flag = 0; #define serialEvent_implemented #if defined(USART_RX_vect) SIGNAL(USART_RX_vect) @@ -110,20 +109,17 @@ inline void store_char(unsigned char c, ring_buffer *buffer) #error UDR not defined #endif store_char(c, &rx_buffer); - serialEvent_flag = 1; } #endif #if defined(USART1_RX_vect) void serialEvent1() __attribute__((weak)); void serialEvent1() {} - volatile static unsigned char serialEvent1_flag = 0; #define serialEvent1_implemented SIGNAL(USART1_RX_vect) { unsigned char c = UDR1; store_char(c, &rx_buffer1); - serialEvent1_flag = 1; } #elif defined(SIG_USART1_RECV) #error SIG_USART1_RECV @@ -132,13 +128,11 @@ inline void store_char(unsigned char c, ring_buffer *buffer) #if defined(USART2_RX_vect) && defined(UDR2) void serialEvent2() __attribute__((weak)); void serialEvent2() {} - volatile static unsigned char serialEvent2_flag = 0; #define serialEvent2_implemented SIGNAL(USART2_RX_vect) { unsigned char c = UDR2; store_char(c, &rx_buffer2); - serialEvent2_flag = 1; } #elif defined(SIG_USART2_RECV) #error SIG_USART2_RECV @@ -147,13 +141,11 @@ inline void store_char(unsigned char c, ring_buffer *buffer) #if defined(USART3_RX_vect) && defined(UDR3) void serialEvent3() __attribute__((weak)); void serialEvent3() {} - volatile static unsigned char serialEvent3_flag = 0; #define serialEvent3_implemented SIGNAL(USART3_RX_vect) { unsigned char c = UDR3; store_char(c, &rx_buffer3); - serialEvent3_flag = 1; } #elif defined(SIG_USART3_RECV) #error SIG_USART3_RECV @@ -161,38 +153,17 @@ inline void store_char(unsigned char c, ring_buffer *buffer) void serialEventRun(void) { - unsigned char flag, oldSREG; #ifdef serialEvent_implemented - oldSREG = SREG; - noInterrupts(); - flag = serialEvent_flag; - serialEvent_flag = 0; - SREG = oldSREG; - if (flag) serialEvent(); + if (Serial.available()) serialEvent(); #endif #ifdef serialEvent1_implemented - oldSREG = SREG; - noInterrupts(); - flag = serialEvent1_flag; - serialEvent1_flag = 0; - SREG = oldSREG; - if (flag) serialEvent1(); + if (Serial1.available()) serialEvent1(); #endif #ifdef serialEvent2_implemented - oldSREG = SREG; - noInterrupts(); - flag = serialEvent2_flag; - serialEvent2_flag = 0; - SREG = oldSREG; - if (flag) serialEvent2(); + if (Serial2.available()) serialEvent2(); #endif #ifdef serialEvent3_implemented - oldSREG = SREG; - noInterrupts(); - flag = serialEvent3_flag; - serialEvent3_flag = 0; - SREG = oldSREG; - if (flag) serialEvent3(); + if (Serial3.available()) serialEvent3(); #endif } diff --git a/hardware/arduino/cores/arduino/HardwareSerial.h b/hardware/arduino/cores/arduino/HardwareSerial.h index cbb0e5eae..1c755f7b6 100644 --- a/hardware/arduino/cores/arduino/HardwareSerial.h +++ b/hardware/arduino/cores/arduino/HardwareSerial.h @@ -74,6 +74,6 @@ class HardwareSerial : public Stream extern HardwareSerial Serial3; #endif -extern void serialEventRun(void); +extern void serialEventRun(void) __attribute__((weak)); #endif diff --git a/hardware/arduino/cores/arduino/main.cpp b/hardware/arduino/cores/arduino/main.cpp index 1c2ea9a3c..632a4d948 100755 --- a/hardware/arduino/cores/arduino/main.cpp +++ b/hardware/arduino/cores/arduino/main.cpp @@ -9,7 +9,7 @@ int main(void) for (;;) { loop(); - serialEventRun(); + if (serialEventRun) serialEventRun(); } return 0; From 3cbbb17e9f7a8af9aaf78f26c4ce3e84fb431d0e Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 7 Sep 2011 17:53:33 -0400 Subject: [PATCH 4/7] Changing editor line status bar text color to white. http://code.google.com/p/arduino/issues/detail?id=599 --- build/shared/lib/theme/theme.txt | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/build/shared/lib/theme/theme.txt b/build/shared/lib/theme/theme.txt index d8f5b7aa4..98a8ef7a5 100644 --- a/build/shared/lib/theme/theme.txt +++ b/build/shared/lib/theme/theme.txt @@ -28,7 +28,7 @@ buttons.status.font = SansSerif,plain,12 buttons.status.color = #ffffff # GUI - LINESTATUS -linestatus.color = #17A1A5 +linestatus.color = #ffffff linestatus.bgcolor = #006468 # EDITOR - DETAILS From 7d8d20894c7420ad2fea81d80b66075806476179 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 7 Sep 2011 18:41:05 -0400 Subject: [PATCH 5/7] Making Print::write(char *) non-virtual. http://code.google.com/p/arduino/issues/detail?id=607 --- hardware/arduino/cores/arduino/Client.h | 1 - hardware/arduino/cores/arduino/Print.cpp | 10 ---------- hardware/arduino/cores/arduino/Print.h | 2 +- hardware/arduino/cores/arduino/Server.h | 2 +- hardware/arduino/cores/arduino/Udp.h | 2 -- libraries/Ethernet/EthernetClient.cpp | 4 ---- libraries/Ethernet/EthernetClient.h | 3 ++- libraries/Ethernet/EthernetServer.cpp | 5 ----- libraries/Ethernet/EthernetServer.h | 2 +- libraries/Ethernet/EthernetUdp.cpp | 6 ------ libraries/Ethernet/EthernetUdp.h | 4 ++-- libraries/LiquidCrystal/LiquidCrystal.h | 2 ++ libraries/SD/File.cpp | 4 ---- libraries/SD/SD.h | 3 ++- libraries/SoftwareSerial/SoftwareSerial.h | 2 ++ libraries/Wire/Wire.cpp | 8 -------- libraries/Wire/Wire.h | 3 ++- 17 files changed, 15 insertions(+), 48 deletions(-) diff --git a/hardware/arduino/cores/arduino/Client.h b/hardware/arduino/cores/arduino/Client.h index ed9e9b48c..ea134838a 100644 --- a/hardware/arduino/cores/arduino/Client.h +++ b/hardware/arduino/cores/arduino/Client.h @@ -10,7 +10,6 @@ public: virtual int connect(IPAddress ip, uint16_t port) =0; virtual int connect(const char *host, uint16_t port) =0; virtual size_t write(uint8_t) =0; - virtual size_t write(const char *str) =0; virtual size_t write(const uint8_t *buf, size_t size) =0; virtual int available() = 0; virtual int read() = 0; diff --git a/hardware/arduino/cores/arduino/Print.cpp b/hardware/arduino/cores/arduino/Print.cpp index 8190d4fb4..500de8cf3 100755 --- a/hardware/arduino/cores/arduino/Print.cpp +++ b/hardware/arduino/cores/arduino/Print.cpp @@ -29,16 +29,6 @@ // Public Methods ////////////////////////////////////////////////////////////// -/* default implementation: may be overridden */ -size_t Print::write(const char *str) -{ - size_t n = 0; - while (*str) { - n += write(*str++); - } - return n; -} - /* default implementation: may be overridden */ size_t Print::write(const uint8_t *buffer, size_t size) { diff --git a/hardware/arduino/cores/arduino/Print.h b/hardware/arduino/cores/arduino/Print.h index 8530b0358..1af6b723f 100755 --- a/hardware/arduino/cores/arduino/Print.h +++ b/hardware/arduino/cores/arduino/Print.h @@ -46,7 +46,7 @@ class Print void clearWriteError() { setWriteError(0); } virtual size_t write(uint8_t) = 0; - virtual size_t write(const char *str); + size_t write(const char *str) { return write((const uint8_t *)str, strlen(str)); } virtual size_t write(const uint8_t *buffer, size_t size); size_t print(const __FlashStringHelper *); diff --git a/hardware/arduino/cores/arduino/Server.h b/hardware/arduino/cores/arduino/Server.h index edab726be..9674c7626 100644 --- a/hardware/arduino/cores/arduino/Server.h +++ b/hardware/arduino/cores/arduino/Server.h @@ -1,7 +1,7 @@ #ifndef server_h #define server_h -class Server { +class Server : public Print { public: virtual void begin() =0; }; diff --git a/hardware/arduino/cores/arduino/Udp.h b/hardware/arduino/cores/arduino/Udp.h index 1fb9cd3cf..dc5644b9d 100644 --- a/hardware/arduino/cores/arduino/Udp.h +++ b/hardware/arduino/cores/arduino/Udp.h @@ -57,8 +57,6 @@ public: virtual int endPacket() =0; // Write a single byte into the packet virtual size_t write(uint8_t) =0; - // Write a string of characters into the packet - virtual size_t write(const char *str) =0; // Write size bytes from buffer into the packet virtual size_t write(const uint8_t *buffer, size_t size) =0; diff --git a/libraries/Ethernet/EthernetClient.cpp b/libraries/Ethernet/EthernetClient.cpp index 42c2c6cc1..a77a62beb 100644 --- a/libraries/Ethernet/EthernetClient.cpp +++ b/libraries/Ethernet/EthernetClient.cpp @@ -74,10 +74,6 @@ size_t EthernetClient::write(uint8_t b) { return write(&b, 1); } -size_t EthernetClient::write(const char *str) { - return write((const uint8_t *) str, strlen(str)); -} - size_t EthernetClient::write(const uint8_t *buf, size_t size) { if (_sock == MAX_SOCK_NUM) { setWriteError(); diff --git a/libraries/Ethernet/EthernetClient.h b/libraries/Ethernet/EthernetClient.h index f68a3b4d9..44740fea7 100644 --- a/libraries/Ethernet/EthernetClient.h +++ b/libraries/Ethernet/EthernetClient.h @@ -15,7 +15,6 @@ public: virtual int connect(IPAddress ip, uint16_t port); virtual int connect(const char *host, uint16_t port); virtual size_t write(uint8_t); - virtual size_t write(const char *str); virtual size_t write(const uint8_t *buf, size_t size); virtual int available(); virtual int read(); @@ -27,6 +26,8 @@ public: virtual operator bool(); friend class EthernetServer; + + using Print::write; private: static uint16_t _srcport; diff --git a/libraries/Ethernet/EthernetServer.cpp b/libraries/Ethernet/EthernetServer.cpp index 9ae86f39e..3586dcb51 100644 --- a/libraries/Ethernet/EthernetServer.cpp +++ b/libraries/Ethernet/EthernetServer.cpp @@ -72,11 +72,6 @@ size_t EthernetServer::write(uint8_t b) write(&b, 1); } -size_t EthernetServer::write(const char *str) -{ - write((const uint8_t *)str, strlen(str)); -} - size_t EthernetServer::write(const uint8_t *buffer, size_t size) { size_t n = 0; diff --git a/libraries/Ethernet/EthernetServer.h b/libraries/Ethernet/EthernetServer.h index ced5ed649..86ccafe96 100644 --- a/libraries/Ethernet/EthernetServer.h +++ b/libraries/Ethernet/EthernetServer.h @@ -15,8 +15,8 @@ public: EthernetClient available(); virtual void begin(); virtual size_t write(uint8_t); - virtual size_t write(const char *str); virtual size_t write(const uint8_t *buf, size_t size); + using Print::write; }; #endif diff --git a/libraries/Ethernet/EthernetUdp.cpp b/libraries/Ethernet/EthernetUdp.cpp index 9ca650986..9c752fcb6 100644 --- a/libraries/Ethernet/EthernetUdp.cpp +++ b/libraries/Ethernet/EthernetUdp.cpp @@ -107,12 +107,6 @@ size_t EthernetUDP::write(uint8_t byte) return write(&byte, 1); } -size_t EthernetUDP::write(const char *str) -{ - size_t len = strlen(str); - return write((const uint8_t *)str, len); -} - size_t EthernetUDP::write(const uint8_t *buffer, size_t size) { uint16_t bytes_written = bufferData(_sock, _offset, buffer, size); diff --git a/libraries/Ethernet/EthernetUdp.h b/libraries/Ethernet/EthernetUdp.h index 64e30275f..9a2b653e6 100644 --- a/libraries/Ethernet/EthernetUdp.h +++ b/libraries/Ethernet/EthernetUdp.h @@ -67,10 +67,10 @@ public: virtual int endPacket(); // Write a single byte into the packet virtual size_t write(uint8_t); - // Write a string of characters into the packet - virtual size_t write(const char *str); // Write size bytes from buffer into the packet virtual size_t write(const uint8_t *buffer, size_t size); + + using Print::write; // Start processing the next available incoming packet // Returns the size of the packet in bytes, or 0 if no packets are available diff --git a/libraries/LiquidCrystal/LiquidCrystal.h b/libraries/LiquidCrystal/LiquidCrystal.h index f4352f341..24ec5afdf 100755 --- a/libraries/LiquidCrystal/LiquidCrystal.h +++ b/libraries/LiquidCrystal/LiquidCrystal.h @@ -81,6 +81,8 @@ public: void setCursor(uint8_t, uint8_t); virtual size_t write(uint8_t); void command(uint8_t); + + using Print::write; private: void send(uint8_t, uint8_t); void write4bits(uint8_t); diff --git a/libraries/SD/File.cpp b/libraries/SD/File.cpp index 21a057a38..1226f2cf4 100644 --- a/libraries/SD/File.cpp +++ b/libraries/SD/File.cpp @@ -62,10 +62,6 @@ size_t File::write(uint8_t val) { return write(&val, 1); } -size_t File::write(const char *str) { - return write((const uint8_t *) str, strlen(str)); -} - size_t File::write(const uint8_t *buf, size_t size) { size_t t; if (!_file) { diff --git a/libraries/SD/SD.h b/libraries/SD/SD.h index cd123edc6..b4e46ccce 100644 --- a/libraries/SD/SD.h +++ b/libraries/SD/SD.h @@ -33,7 +33,6 @@ public: File(void); // 'empty' constructor ~File(void); // destructor virtual size_t write(uint8_t); - virtual size_t write(const char *str); virtual size_t write(const uint8_t *buf, size_t size); virtual int read(); virtual int peek(); @@ -50,6 +49,8 @@ public: boolean isDirectory(void); File openNextFile(uint8_t mode = O_RDONLY); void rewindDirectory(void); + + using Print::write; }; class SDClass { diff --git a/libraries/SoftwareSerial/SoftwareSerial.h b/libraries/SoftwareSerial/SoftwareSerial.h index 67f76cfdc..a6a60b556 100755 --- a/libraries/SoftwareSerial/SoftwareSerial.h +++ b/libraries/SoftwareSerial/SoftwareSerial.h @@ -93,6 +93,8 @@ public: virtual int read(); virtual int available(); virtual void flush(); + + using Print::write; // public only for easy access by interrupt handlers static inline void handle_interrupt(); diff --git a/libraries/Wire/Wire.cpp b/libraries/Wire/Wire.cpp index 211d9c7ef..d83f4789e 100755 --- a/libraries/Wire/Wire.cpp +++ b/libraries/Wire/Wire.cpp @@ -164,14 +164,6 @@ size_t TwoWire::write(const uint8_t *data, size_t quantity) return quantity; } -// must be called in: -// slave tx event callback -// or after beginTransmission(address) -size_t TwoWire::write(const char *data) -{ - return write((uint8_t*)data, strlen(data)); -} - // must be called in: // slave rx event callback // or after requestFrom(address, numBytes) diff --git a/libraries/Wire/Wire.h b/libraries/Wire/Wire.h index 7f6ea67ad..23d466c19 100755 --- a/libraries/Wire/Wire.h +++ b/libraries/Wire/Wire.h @@ -53,7 +53,6 @@ class TwoWire : public Stream uint8_t requestFrom(uint8_t, uint8_t); uint8_t requestFrom(int, int); virtual size_t write(uint8_t); - virtual size_t write(const char *); virtual size_t write(const uint8_t *, size_t); virtual int available(void); virtual int read(void); @@ -61,6 +60,8 @@ class TwoWire : public Stream virtual void flush(void); void onReceive( void (*)(int) ); void onRequest( void (*)(void) ); + + using Print::write; }; extern TwoWire Wire; From 71289521bfb88d61693d4349c51b9e123d5bc42c Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Thu, 8 Sep 2011 16:50:07 -0400 Subject: [PATCH 6/7] Use SDA and SCL constants, not hardcoded registers for TWI pullups. http://code.google.com/p/arduino/issues/detail?id=601 --- libraries/Wire/utility/twi.c | 17 +++++------------ 1 file changed, 5 insertions(+), 12 deletions(-) diff --git a/libraries/Wire/utility/twi.c b/libraries/Wire/utility/twi.c index cef8373a8..120d77a27 100644 --- a/libraries/Wire/utility/twi.c +++ b/libraries/Wire/utility/twi.c @@ -32,6 +32,7 @@ #define sbi(sfr, bit) (_SFR_BYTE(sfr) |= _BV(bit)) #endif +#include "pins_arduino.h" #include "twi.h" static volatile uint8_t twi_state; @@ -63,18 +64,10 @@ void twi_init(void) { // initialize state twi_state = TWI_READY; - - #if defined(__AVR_ATmega168__) || defined(__AVR_ATmega8__) || defined(__AVR_ATmega328P__) - // activate internal pull-ups for twi - // as per note from atmega8 manual pg167 - sbi(PORTC, 4); - sbi(PORTC, 5); - #else - // activate internal pull-ups for twi - // as per note from atmega128 manual pg204 - sbi(PORTD, 0); - sbi(PORTD, 1); - #endif + + // activate internal pullups for twi. + digitalWrite(SDA, 1); + digitalWrite(SCL, 1); // initialize twi prescaler and bit rate cbi(TWSR, TWPS0); From 0b09a8edd9a87565e247affe02f011aee036cc19 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Thu, 8 Sep 2011 18:10:29 -0400 Subject: [PATCH 7/7] Adding custom error messages for some 1.0 changes. Also, changing the logic of the code a bit to correctly place errors even when substituting custom error messages. --- app/src/processing/app/debug/Compiler.java | 62 ++++++++++++++++------ 1 file changed, 47 insertions(+), 15 deletions(-) diff --git a/app/src/processing/app/debug/Compiler.java b/app/src/processing/app/debug/Compiler.java index 559df3a61..66f1cc88f 100644 --- a/app/src/processing/app/debug/Compiler.java +++ b/app/src/processing/app/debug/Compiler.java @@ -380,28 +380,60 @@ public class Compiler implements MessageConsumer { // } if (pieces != null) { - RunnerException e = sketch.placeException(pieces[3], pieces[1], PApplet.parseInt(pieces[2]) - 1); + String error = pieces[3], msg = ""; + + if (pieces[3].trim().equals("SPI.h: No such file or directory")) { + error = "Please import the SPI library from the Sketch > Import Library menu."; + msg = "\nAs of Arduino 0019, the Ethernet library depends on the SPI library." + + "\nYou appear to be using it or another library that depends on the SPI library.\n\n"; + } + + if (pieces[3].trim().equals("'BYTE' was not declared in this scope")) { + error = "The 'BYTE' keyword is no longer supported."; + msg = "\nAs of Arduino 1.0, the 'BYTE' keyword is no longer supported." + + "\nPlease use Serial.write() instead.\n\n"; + } + + if (pieces[3].trim().equals("no matching function for call to 'Server::Server(int)'")) { + error = "The Server class has been renamed EthernetServer."; + msg = "\nAs of Arduino 1.0, the Server class in the Ethernet library " + + "has been renamed to EthernetServer.\n\n"; + } + + if (pieces[3].trim().equals("no matching function for call to 'Client::Client(byte [4], int)'")) { + error = "The Client class has been renamed EthernetClient."; + msg = "\nAs of Arduino 1.0, the Client class in the Ethernet library " + + "has been renamed to EthernetClient.\n\n"; + } + + if (pieces[3].trim().equals("'Udp' was not declared in this scope")) { + error = "The Udp class has been renamed EthernetUdp."; + msg = "\nAs of Arduino 1.0, the Udp class in the Ethernet library " + + "has been renamed to EthernetClient.\n\n"; + } + + if (pieces[3].trim().equals("'class TwoWire' has no member named 'send'")) { + error = "Wire.send() has been renamed Wire.write()."; + msg = "\nAs of Arduino 1.0, the Wire.send() function was renamed " + + "to Wire.write() for consistency with other libraries.\n\n"; + } + + if (pieces[3].trim().equals("'class TwoWire' has no member named 'receive'")) { + error = "Wire.receive() has been renamed Wire.read()."; + msg = "\nAs of Arduino 1.0, the Wire.receive() function was renamed " + + "to Wire.read() for consistency with other libraries.\n\n"; + } + + RunnerException e = sketch.placeException(error, pieces[1], PApplet.parseInt(pieces[2]) - 1); // replace full file path with the name of the sketch tab (unless we're // in verbose mode, in which case don't modify the compiler output) if (e != null && !verbose) { SketchCode code = sketch.getCode(e.getCodeIndex()); String fileName = code.isExtension(sketch.getDefaultExtension()) ? code.getPrettyName() : code.getFileName(); - s = fileName + ":" + e.getCodeLine() + ": error: " + e.getMessage(); + s = fileName + ":" + e.getCodeLine() + ": error: " + pieces[3] + msg; } - - if (pieces[3].trim().equals("SPI.h: No such file or directory")) { - e = new RunnerException("Please import the SPI library from the Sketch > Import Library menu."); - s += "\nAs of Arduino 0019, the Ethernet library depends on the SPI library." + - "\nYou appear to be using it or another library that depends on the SPI library."; - } - - if (pieces[3].trim().equals("'BYTE' was not declared in this scope")) { - e = new RunnerException("The 'BYTE' keyword is no longer supported."); - s += "\nAs of Arduino 1.0, the 'BYTE' keyword is no longer supported." + - "\nPlease use Serial.write() instead."; - } - + if (exception == null && e != null) { exception = e; exception.hideStackTrace();