From a2c2d4b174cae429a130a301b9e7998cae11bb92 Mon Sep 17 00:00:00 2001 From: Thibaut VIARD Date: Thu, 3 May 2012 10:04:43 +0200 Subject: [PATCH] [sam] adding libsam archives into git --- .../sam/cores/sam/libsam_sam3x8e_gcc_dbg.a | Bin 0 -> 253012 bytes .../cores/sam/libsam_sam3x8e_gcc_dbg.a.txt | 388 ++++++++++++++++++ 2 files changed, 388 insertions(+) create mode 100644 hardware/arduino/sam/cores/sam/libsam_sam3x8e_gcc_dbg.a create mode 100644 hardware/arduino/sam/cores/sam/libsam_sam3x8e_gcc_dbg.a.txt diff --git a/hardware/arduino/sam/cores/sam/libsam_sam3x8e_gcc_dbg.a b/hardware/arduino/sam/cores/sam/libsam_sam3x8e_gcc_dbg.a new file mode 100644 index 0000000000000000000000000000000000000000..de25c8623c4dc04f2e01fe8e6c50fa2c61daf889 GIT binary patch literal 253012 zcmeFa3w&Kwl|O#Yy*D>$n%<^q+J;i_wkc3(Y11cZX-m>P+B8WUlN3s+=6RbNnkFH+ zp-(kJ(T?sdThZl>hg;_uA)`o6>f~ z-^~1f=aZZ7S$nO$_S$=|{Wy=k&(x(YeQn9**>mFlWA6O<3l|p`6&Edz$BPup`#&CE zGA%MbAAU7Th?cCPXG5W+brvU$=FirqK!of4iHl(AoZeTwsO%iobAG+&b&uyYIKc!QV&sT4C?+fuCC8 z|6RY9_O_0Ll?CDlPZ9UzaIy(mX5>2VT&W?^mUm&!%XKSLb zslVm=M1awsxNacP-4+Z^b#CeG?ohIc?v~cBL{m$5TT;>Oo&ElR{!~k!7?$ek^~PyS zwsdzVy1YRxU0qGyV52QvSWvbD`4+h*B$^uNSDL-S*SB;H=)5qrt0k4_Pc^xLl9yDA z&YDTw(2QfwRjyFfx_ESX_9K76AllTkrMIQ8v%jaiX-iMLn|!)()}1GOYiDzMfQ~O~;@O-oRkWy~tc^OMk-ZKnX~e znsmXoTXj`yo62<~sWMCzSU1qswXRp4HY8HziPoM0w7kmBE@&{J3Rj{ksHLnc(c*CG z5`CS$$wXgEmyxYfRZl^vTRV`VBdBPmGcd|Due>GI(x977@0PZvEp3}sPtuF7q^+e3 zCU`^pdj|T@D=?^kYiFu0spL~VP5o_Mo6`hufeWCnhs*bNb+x2r41nWjP<0cMm_Kjx zwiL2SWj+1q`1;Y^wX|>dF^p9zUB=qf(x2*Ub0UeG2SQEddxD24@}+Ge_yQe+Jh_(i zJlQo-Jwb@bCXr}w@&rmumWQ+$X57RM1iKo@P`NcJEB}B7T?;0DuRmCX*|i2ZCPEq( z5_N?gKc|(wX`RS~4tFAQ(m0U>dx}$PMHrsa@-sY6WQsw2%47!`yrraa7ZCA=F{`jq zD{EIVCB=dE5sh(J!_84FrN$WKhKR*Z58@N^hq6O*LUeb>;`j8GB-xkIv)Ntsr3QLs za3HI#t8XNhn9<*rNc5`li}Dhq0a-qf+FrK3tt;V%pwnpV+#&%^stwz_+k7b_vL|d^ zZ#$+90p-K^>$=t0rV_7EGbEqNa^3&?!hB*?k~zPi3Du&85*b5Y#nEcT0OtTsR@ZWb z>}*e5spdZ(s|>>o4=gOvmyU&^sB9VNO4V{6qtqx;1|lhG6;!8(OH4qAr5WIBJx=kL zIkTm8oY1O79A?G!7_@6TyA#TQ`bJf=t1uQhG{#0B?GzP--I9{1s``13XwEq@$@9{# zmcA{PFflkL)HyvVaPA7Ka%d%L#H7sd8xBT!xuW%DM`jiPgYv z#%jFEV|{H)KW9L`u!b&Pt1zg67Zv&hotnx7DW0s@j!Gk;n}ng1={l2SQmQ`Dmgu~m z*_40=WiW`wzLxHOG$p!aS3>kTWlnL|sYtnHDio~8fG(BFFsN>CXk1%|QfTi~S7-xW zO~~`Q@^U%~Br*Q1TidvDRYTLNmhN^=y~wL#bKps73L;5$TApxD$*!n#BrCexdwVb> z^s5l)6&?$@YK;oy>DqOT7LxCWO&AT@6Wd7jwOua+lT%JDQPer(kiqzsJ$+Sc*7lho zQ;tY)ptlNj>L~Dqun3A34-u|+&r}c!05caxtnA#>!`jjA!I1g{ro8=$`t=f7QH_Rb zX;-yc+SP^2*>-{|pcO~X`G>svZg@H<`C z(57U;lRO3(+Ml$Lb~oJv>k#FZ?y2<7I-shbGw;&tTROXV;p`?<)z{u4vwtmFH;}@J zVa%@Tuir+!+a-qUd0N_WQcb#Us%eNTWmlD(F394k=~{SRkE>%|yYt-DE|iRb4cpN; zx0LntCCb!@r)lM@0hS&(t$q~&{?&=eNLNdL(n@S=OZ2iK;NqGEfMgRX$Mx6LRypUZ zTKd}6=;4IaCbrbJbhmUQoRAd*{eF>k{b2ip8m=sJO`Bv&MK&GB^9#>+$W=((Eq?S~t{xDs7K|S*r=h{Mq|uYb#xgfTW0{*~ zW0{*^W7z^H@y4=+p7TYX^UFNv#m+gV3eI_HxobmtX_<$pEp>@NFWXqZW~HN{!Yf!- zy2i~P2sb0;16#IicOuORK1A?8QW!=p(2o=l-kj~u-}_s(G<9F!**3dpPPS@+n5rXe z{_v|SyRds zCu4zv8CIoLX4&KH3u5C(hhyi)#@Y4ux@d0HvO?3X(W93m(6Yi4t#E9~=*ve}jkm0b zglAb+#&~;l2E%QHN5?oq#dunhxzM6?)`bd2*>=@8Y;A+2Qom- z)Knz8ka}lnDl2*gsY^AL6P-^hW@{=pI*HUAP31>3X>-wjrL!P?=v@uo*h8E=86W<*~jwNOjWie5-+k*12GjkM*mCzQ?w(W_9d;bP5O8hwa) zSgf^_L_f{+mT0OxdMV>wuBlbg?~+=osha5TnZmN4DV=rEcapdK*Nk1b*N*;>NnAnE zaN&MCnk2WxX3h$4wWA?sqf~QWw4=YH@Cw=!E<9>S`zTy?t`dIPj{b)Bm22U_P&9+W z6`I@~ihh^e$_te6o=|i($(5SBHxymUgjQ*Ce<=ESidD_gW`v^4scN<6-WiIPpxVRL z+KjtHRwjKTi`-E>V})ez#|Z+zi1WXpMv7P>p~8abyCb0H zYHCWfmb`hIibuzwWFzynhoM`ngME<&{Kr_i$~TPyZ! z{2v@VXvI#dlR-On6M_+9qkoBf6X}PtBHNLXvG#e?S7mPjpT`XvtWA)~{U&1DRXh#t z>&pEd^xIWkic03*&9YjpsrKA|g3lCkTTs@T7HB=eem$LFAi%}?9B(sX}Z@m3O z7U9jb)Vc(}V*KuP(z{uwm&&~t*|KjYBebvI%6bt>#@o+B*1lau<+$04-+ww$Z#Pjt zPjhZ315tAqGR_@LI<&9P%AzNXw=bZ`BTCUyaPGlxITF-)e#9s$rVWqieD&wjL^Ph?rg?6tc~dym&ek7UQ=69QXhj<7Cmyj z{V68)2c^6OaSCwuACB@r7z5vlAo~x-z$z;LBgI%@UssgoZML%LMEUkiXg9Df_PTo5WN7|zN6%i-)1~$76+N3LO@(px-zp2( z8YkMjQAF@A$EE`48icNCC`oP8r;JS~q^YcjFY3I~Umk{JjU)L*C$IVTLzH~fl^lZP z^^WADj^sr9A#m*P+BO}X$29zA;QVe!`nw(r?qc45_oQuh&mK-vY6TZf@hiqwID7=58>2J7&HwBTiAk^eU ze#2vWn9^fH$|u><A*cj^vn-G`0yFwH#UKq9zo<8ao!!WUrM~g{b-V_fXgN6s?Rs z>^3O-rK4<$qwJ$>V^czIi|Aw~=IDs*e(nc-J|_M;vvd3r=XfG+Vv0*$^N%9pCMV)j ziP$wkrMOfgZl3fHtcK+w)Boq&m(c}lw4O^~KpYl+($Q1nH=xF2zo?$9W59%CEV#`fN~)2AWBx?DnaXYo zIqipS@hK?$u%qy%kZ#jm6V#Y_Q%DBQNkb5|-!F#sPEzCK9*>I9WKT zzx4**v?6*4NG(@aJ#NRp*e`_M5lx}9!*IdaxkSxG8pmu}TJdz(~`DKPx!<_-0 z2O;@(M=~>P8tw<^pqXJ)5fkl$EYFEy$2r(#oAVQ1*#+N&NOXVX>yWVR%xgfM^Fz34<_#ajscrlDK9=p%mR9 zygj0WG!G@zhTE%6ZCmCe80DJgMp4p&6lV5Njp_G4S@yQt+N-bLuy5bxopVA_l&WFf zY}*~53}tvi?y&6}zvBye1R(=gZSaL1w(aYeVWJ+Y=D#`o_i_Ha9RKX->ebN;NTp{+ zOHRSt>`Ug4O!~viePMTm?CK6*$mfQIJc5vFpUe}(LcSleJ8tyJ91htvYkVQU9wu{a zn9Pk~yJMfPP|;dCmM{CrRA1ny!gjU4?7xT*e?}f17V=$$4EXf?Xqe0|hJ_5lfI!~f zfKath(T5`TjaTuydo%6osi9FU&cO%Yf4_C#iRMR|cQl{uJ(*lPWQQg<6Rpq&V9D=8 z)1EjHpQ=NiI1zEq@~7@hu6?O2{AlulldrrIKK91^oh>Jm8XaE8Dhk3#=Q|| zB_qT&J`XgX zd{_9G4Y}9eb#nWG6-S?4bmYw9oqSj3%-{Ujjr077X+7^t>(j@w!UtCT>L+dq4?cL4 zs^cBaD6>A+vXpT@a{cz5$#cq1?m&sRg@=0EbosHKGLPj;shjfKnY<=hcH9b$R43#Y zo|33P~e4|FP9 zY}RxL-bP>c`X|d;`?9LL=9AmT&dfTzGZ{Ws7oykQ7=E_k+~i5LEyf&P#^+w^)##S} zxm{&LgMG+*yFWeMc6KJu?J7Jq|Jv~JUbe~kKY75Lg4_O7{SH|xPFcUF;Op|C9bx#$ znjzoqJ2edSk4K ztLuC0%zTtQEIMwflGCL$I9~F30a(cXd@aUgSb6N> zZJM^==ccp|q|N7IWGYU-YDCe3(*4i^4;;uXVWXHC*j21?zFk&MDzEnse!p^)7{+UE@4L#MKnf zdBZ^6nhsf8<2ZGWH;KoGaOxH_;^jJ#aB@2Fcz^k9;_*h$8OBrjds4@X1DxYc?BpXz zs{}u!$18W|c$0XlTzF}EhIam!;_-&Y*`#;2@h<#do*wU{ond(@f8qVAv+)-?*cqnx zU-Fl;_0MVlL+s>z=d&qK-XuDkcxTZssrutx%Ckvt_L;|1{wa2zaesEU?cr?GTXB~8 zJKOemw*BDQ#yi{g{@0Fo7JjDu`fU4&vyI35$Y;}z&bA*6_7nA(P=#QFW&I8}k8zhs zEy&}$q1N?6@Lf>6ziU>r{(}U!nMESbiq_YLaXhP9`5Ya$Q?a(vEQB>nH?7-;u~K?< zE8p#5oP=kED<4ZUtlhiHl~14J_hiYU~N-3M0efb78Vf z3MQOSfxY40IDF7cUI)rb%DLHIaNPVfoON49PcFyz=R)H*tpXIjV_I znomket5WbRgXMEk9qwBl%ZH#Um^M3WKJ*G%KK3-KgFHUfOcPI5%HErvYYLIiCK*|> zX38qfidjC4q-3BPKo477%g2vtl=>FN^3h^Cbv_|JMx+p3-k7EZ)C$@Kc zDmkCK2m~KgA$pe(3I2wxpwh^e~n zfh`E-16qc%3RS~H7+-r~7z!-ag@yD@=y9+#A2wK47oUZxGYH9XgWx5CybR>QX#$TY zHCQEr?4EfTD@}VNNxa;e+u& zTN9d%1=!S=NMKRF#W(ru8nKYhG#0KLyJ z#D@)UZbH;ANuk&1Q<*JWS+B66;_dZwowIpXT}6FW-KwTWt}et1nLaF6o9CR(cg_|# zXA4y^ZXM`#vg#Y=l@&A~kdI+od!Uc*WuCNkYmIf@(kv1?yPDpN1CR> z?NoejNF~O2Ny8f8egRvXQc2j6?CEN^hI=nlXsoYV+0<~A;}~Xj!J={$={MD+`k{~9BJS96jlAcUqbBV6b z{**FAWrYiUDz2M(DQkQ`inN*WYyF+%7YbK zD_&1ufRCEpY{;qr56-V@b5mDOOUm(&MQQgnVH~zHXSWwq!fIB=<@6Qn8cE2WsSPpXYy|8V^nZ**T{`-RyFB% zrt&Kx?uaN&!sOpHi!_&N)Vi{?YR#3UHS0{r;nF${m!7AraA`ef2=wH}mFO_M#9&UO z8M+n0bn8)PPW{2vSFTwXFN>=e)l?++)`aK21tY53c3(R~0(hZ}jI~#akC^UfZlG`S zcTRJ4ejMTXN{K@*u*?*OSzuQmxB^7IscU;oD42Sw*9WCeboy7-A$wGUG0a+7R<HF<5nLZvT`ihr3 zoX5%kNIYJkjKt$b*huM>7+%5f^mtJ+lFn1{cri3myi@U5w13Tbrz&e+;3-?ulnXCD zMzZr%<#H+>F9`qAI(e}>l1^S|j>J2aFY!WoqTXBl?PE~)W z^1)N_PF3$`%R5z>oysRp#p6wdk?P@8J{a@~Yj*$kE!g=0nCjD*G-v4k@W!#aJeu8# zJuGIobGL$~me$t3#P!zfzC@RVbarp*@zS`fgqNEceKtE{HO9JR;L^oqxMPqR3)1mC z<5N1enMQij_vqD^mu@^6E7c^?4K-tVAXtq*9=&P~=*IF-e?4+05zh?>HP z-dhVUH_g>hdZwPA`+2@gahD~A@|wd9GzR)Z&Gnfpr%J~&kMweU_uf4W|7EF~?7Li* z!@#|Hmm8P}$tCTG{NcsxudZ}gE3PtA2B(XGCqgy#py7NWw=e^ z22zLjGRVWCVO~~x)16ywo}LT4#&|of-htL`Y|w*b-OdeRsqMY~uom2s>+lDe5ZyIlk_$KxXW@F?z0 z2~;^k@F-QSD4|_z@H266YVE^YYkYuV4#QiG0I$B9O_sGDOzOikO-J7boHGul@9J1T z!LoMCIl-vz#JN}Qr;uYAn8V23fB>&t)t8W|Ro-{&0 zBlmnjZYh>tm!y&V&w$)6$i>shjYgv0bnh5JZdyR@G01UFVGd*8ynx){5#&k(a>pUZ zxs5rDz6}AnDVU6b$Q46wdK!Ht0lAGM=-UvG+ckn*e?aaI z$a(uBliz&-xkHdkSHJfK0l68N^f#u-?@&N)7vy*+z#PWDDdHuc z(xqD!kUI*wbpFy4kjqEMo=)G#0&;bbD>udgjeTDU$nA$*I{*8ZfZWrNOIOav0&+)3 zkQ)O7yyX&svUL7DEg&~#1i32$atlU~+Zd3mfn2(J+ZvGD1-a>I%J1fY+?^xH-5HR3 z5_0MKm#+roUWQyeO}ak|$mN`uzCKQfoKZ0Ya!JpC$2#C~9CDsu>+TNAI+(3}hV2e) zHQr}pkTr1_Z!d1lTuG)Jh8KpMS6_Rdj$aPBMfe$g=LYos3K#qw%gkZ)O$zAi^5~<# z8hvvD`aV4q%dm|S$eGib0ezTvSbR?-`ZivLue^8yj6R6@4sUqGI`)Q_8TRFv`n{Hr_9TFaVP%c0A*^PUUYavP_Xxvk ze~7o_s4qLfM}zVlVeEqBkB^D0=Lq9Yv7evg;ZpyY03UBId5*9Z3-CP?YRHALF_K^Z zSWj&7a|3+VlzW7&aRENP!ac%PUVxwHGI18R#s~P=(#~^)t^5E#-@|462?0JP+nytA zO$_icDfS#;Yf^xZNu=ipV?^?oPl1Qa^v?p!bA+vP1AGjYo+FGA z)*l~(f#(QY=LPua+&xDauQ>VR^A4YTgsry)`1l-@=LlQz06*^GGXGNp{HgGG@BCqE zKz>?4ep-NE7~mHM_|pUY=>h%)0saL6{)GWPpDMUV7+1Ca^18@n;w+32+t2ro;N)K% z;9newe@TFk!OnAp(Zl=odwYE9pB3PHXNcrq8sKBF@*H8j!sgeH!N+ritvLby91oZJ zivoNzifOShMjpR@??}Y>^8);N0sZp>{Q1hC+*UU~An&~*pnlFQ+#~Fp$&$~J-95s- znKSvzReEkOSLzDmENlhoD+2ry^vHUgy#bhq@qs;#jUQ_|58CMwq-l8``hRL5%{f9I z`up`lKdI<3R*%pnijJv!4wPq0&O`sbAL!D#)@OufPm_oK`92?=XFV)5JwFfq?{|It zeCq`te}eS~AAh11Lt;!%=Vy|2fzZVW%R_&8xzMb$JoJy;6^-)R-pN*zk3QGx5t=t&(q6(9XiNXMt==UIi; zr9x}@=~koAI)4{fDIfnr>!U)iQ1LHPb37!WJS`9X1GhvZt;_#n>tB8JCDw7Fb@|M+ z)W%kj*YqrFGNvSqujxyzxk77uXIqs*PlHSz+H0eaF0$H$X3vy|_P9-GUHFw(2xAS5H@0+?WHv}H`n+GlGdAajKq~{TfpW!@Q@dwM21qRCPT=nFH zw7He*1n7q-WU0p|o;c*ZEx;mX!D;p*1;mURIUgD__X4Ce?;TrOB4xLOdGS&F|=uv4&GaHrr6f`23UcY>c0{F30;1^-#_ zXM+DO_^MzgIyu@kL9kG8t{}HyCcjqj8o^FM-mfM9Cc%#g-X+NUujGGCkoQ?h|3vU5 z!B+*d&@YoeN$^6!g@WaR4T7zL*9i^^)}nul*1%D~X z`)bt7t>B1%7R<&4H0fNyiGouEdH;-j?g~kqEx1syRB)wWtzd)T)q+ie3Bk>R*9r1| z8TG$Q@J7ME5&W>=M+I*e{FLAq1s@cARPdnSw*|RHFw^<5;0uEPF8D{mKMQi}V9Jjr zW??&6LEcXaHCtAkm_WG*a!X;-+>M&JUXb@sNWX`eZCN)8zMlv^dx;6l`h?(@1Ro`$ z(f*_0H;9nu=GT<}vEZ)-Ul$Cc!G~ga1|>L}hN2l86axwcuJJ;&H=A z#@i&A61+i>+ZU35HxZZV_Xu+DV8(w)_)iEvBlvHE#|2*}@-iKL5HUhTe7wr0^q((y zArX_~O9W>VA$OVZR|(b;F&SzUTu+2ti}3pdcMvh@dbi*WM9AGN{5u4>+j0n#A8xit zyq5^MhlKy6;130VM#SXl=Yqc^LjJeHe?u@6z7>jN3u?i!M96XTMV9MDg2jR*#A&!c zD7cCU`L_$dRj`{l-Lm=wuO~w8J;MKx;O7LtOuW#t9u@pYBILg<{C^SrrQja~u_Krj znt^dfFq?>Y7jA^dHEyNQ_G?h(9& z2)U06|L+CANW|sl1A<>BLhfx2|cSPKCeMRt(M9AZkQrSONFfKTQh>Oixf<;8gFBU$Zp)=oC2_^-5iKUj665K{a zy!Q%!ui#yR|A$zHjW-4Vfe86Wh5sGF!-BsNJVva*9^`_r5)qGmC+!+17#Cb5xPrJ6 z`)LcVCL&&g@LL716C4!WCwLpN3j0V4-bFD+K|M!An z+z6oEV~Mr!E5S)b$j6D`&lOxoT#I+}1S^P;TO<4?!Og_CTUL)?KM``fg#SUoj}jX! z>o&oU6CwBa!hcBcTY}#wuCuHk2>uHZ^8Y6MA;A+wOd4Mo#4TneH;Rb-OctC*yxOuZ z6ug88ee;FCLa;%wiFge*4HfJlLcUk{?-l$Y@f~=dL-3M@(zw98Qzt~GeKkz9c+V_`$ zY9jpW9Ypv~4-tOxULxxKBSh5eXNah;uMklmJXo$E6{1KLq9_%j$P}We6iydJQ79T& zQuVP^uv~DJV4Yy2;6}k_!CoQ~O$qK292DFmc#GhE!CM9IA)@~86?{he?-smA@L?kQ!^Z@l6jc2f!Vd}koS^F05Pn4HA>u5&#~}E+AUh<6X9?yA z<_i`Gs_SF)zq3dKxh(>*Sg=H}T(Cy4POzC+WLfQkU4p%W+XQzB?iSo5cqKV7cHbL3MpzhVmf|Y!^%l_6n-&cJOxzJt(-BSb_2pyhHF#L3KS3zPg?VJ}BW2 z3qD1}{NQQ9=L8Q69ua&|@MXavL5tVHm{+LlePE8zxq=0P+=_^D(*^B;9T0Qexofe#BF z6jb*I5dO5#hXjuhF>iWN@MXav!4rb73r4cEe3qcPPk{N>6w<)yf-?k*1Q!S{6;$^T zAkS|#aGusExKXfKFe%t2m=fG3xQB@O-7SLq1#cC+Q}AxVdj;V5>~q3V7Fa4ExqC4#F2xhE9E8wJ-3 z!i_ELlWqkOQ#yD4nvHf&I!C{|$N3_xrn}W+KX;hxd9Ri!{m?MXNBE2m(c^uz-m2M$svZ z6Cs46QaFQ%`7??}VG$9LQ4|VM1gbuk3YHL|v|Ml%5%p6eSVu%+je_fmsIQHJ&BQqR zX~85B_17iXON4PL!EHp;=PtoPB8=WGxQB@Py+v>@5t-R9cq0D{YpjG|BZ&kg3K1el{fkkRgR!lITlFyfL7%L zzg77Lt@1rZ+8=0@ANXxM^8q?ZjH90sdN&b%dxy|>5~rg-5&AJA{PsDa4-;pg-w^sZ z5q_H!0z!W-aTfXqp=S}{x8*{wBBJn`g>EOpZ+8hjNJOFDD)b#h`0ay2tLvy@^lL&t zM}*(LEVQ~#g5PF^5e|EDi16F#LeC(UqW*<0CzhdI3*AhF-)<9n7jY%pq0s6&27Y_L z&<_&fuTKlDu2bNzM}>Zw2!D-4fUqZv2)~UBJ)H=DT`F`55&pVf=#519Yf5N!9Ra`H zEA)OM{PkX;)pY{=70-~d?GL{PG@!n_{AS-`OTMZM?+=gkwdLTag`@ft%bCNzFs#~#N-tth1=>sS@4YI({fDm$XV4$* ztmhGkPYUzxBz#907T}w`I1jH??KG01x~oWLJ;kyzr~w}jZU?v@55AOvk;qQPiyQ-F zu<_aZBY#VQXV8HIf^^aq&ypJ8&h&+vS;=`H-O zl=qWO$d~)(1N=yT+gp7H%K0V~d|SQfgtmv{AbuACDr_#^1AQgnLe}&>i1wMN7v*L zlp~MFMfhdm_hxb!%2c`lbx>ckK2`sXzU83IIT`!$JIE6`43FIwE;*dzu}nR8XBWkO zh+Qk~T8*EHgHtsR`7uxAFuV<*%{f_opAo~oa~R&02=S)N>p!N$bcrV2YjMsvoZs-M zhQ7;;63{&5Z$Qw<-GG9ei7;~*xpyM~tX>oT1rT!$0W_+|X*q}UuaNtYL%DL4H+4-m z??W5}j>RtrKjtGBKjsxGoP*c(lre`%*U$#N;eY-0B&-Sd;QA5o!Sy2EgX=?l1AUuZ zzrvAN7JR19awSd>Jcoz^JWp^c5oI$&_;Um?Qdrpy_g_!@&yrnoE*DU>iZc)*qMT_F`c?%bw_Pj+kFweYY zJUrzsp0{LqCo;TFu)fZW;s;l?S@`x5{OiQedtf4y15d<6<{JpcL`F^TWg@c(oQRpo z93nrG$!^7+$kc!uHj#mIKqSIWB;yVI3ru9x1(un}`1o05g)dDqPKIt%|3O{WulfIs zW;32IPrmhE$vn;3Oqy9v8@mo?mcuSZ^-~wBT$B1Heh=W6ZZs!AF6I)Za4jumW2<}h->xzr4dKL;ViF)sr@Gp7m8rLKj7W8j;^=;N5ibKS?`o&cJ# zYWyN-&Ql^M!RlVdC5G_uIO%~&&T$k3M|j%DaAV&doEsZ(s@@?$+HM;~Vf zMjyvm>MO#J$34i$a!&$01D@v@PB&d}Y1Yj!z@SXyp5Brsi5be0goDQj~=~b^yQ-&nmxt}$4FF-FUGuaH142fX%ZC|vBNP}bj%Eh zPJ!RVX4_Zf=vWu!jI;CXk|euUG`ll-0&5eYRqPT7%tJ0>$5Ln zdZjNwK2*3d`yiL3aG}WH{9rDU`ykz#*sHH;PT`%m)p2_qowPbJhx5--> zMfrycQ`rwO-YW9Kg@bl>h}BZ1$=!B#EyGt+Yq)Taoqaxqt2Or)JNp@i*W@xv;a)pi z-OQ-f+&k@TzH<~_qshDN>{m&y)vE5XvsW#9qK@^{$;H1Z+;8E12~m)&DCD?C=?cLYDa zB&Ca>N5||M`#e!<{UWkp#pFUvQ-VLRvOKJBhV0$pQCab1&5eauPrv%| zuN7V~2lIY6?(vYlVe9oJbF_(;`DV6!Q#{Rg%1<;u(!2v--nM3j51mXtn%uA{bc8s0 zQ|2-A^>uz*`=OKIhnkD^UfC9^Aq5X z&Yj5+zHe{M3_Y`U$O_v>@C|tN4e%+MU#lE^taqjR4RFctsnSXANN#9nIu{G0hAPA1Ro+1YY3Ik{(Nvgf6+y|Yt&_q_xm9a*rA+#SiCos-KLVm*G6 znwza7*5jGSjAerl?RLuVMDmg3In}qW+?nJz%t=kIoG5fQa;NKIa;vU~4Xu<5Av~h$ z=ZO=8@vI{Z!+RVe%P>`Uuo5-boOvvlCGs_V`& z?oj1%BDpO8cuw>iak z<#`1xcfHT(`|t&f$B7ZX=UM=8jyFk9#p7h?4C9@xopIX9=?~J21DxYc?EEjK#~IFP zq{ml^^t}-4U8-CLb-Xt#&tdU6bvcdlRM+J!5BS3jA}1oJVJBaKGIpwR;Vc93aslf2 z8UCwL4fAiy`a6i%;WIkr`lGc~-SSB;>##8D2D-Y|^{VMK(bJZw?Cj!q zdN@fIj+*T9d}ShK9h2~~t^{7);H*=m>JojOy~#vhOP88eN@!Jg??6gT3MF9eK#C!p z;W4Dl?0=(XVhlkdTBaN~{u}g1N|{6LKs;jxIX}YquHKblckFz;iE}Al&eQ^%Dsa|_ zeZ(zJXB~n%cmb}93miH4;E4yD6c08L9wwX?@Gvx8jK`q*uW!-ulvT=uRpdQPythI> zOHO?*3Rqi?kMS^|w5k@Lo8sA8gT4=Lkt5$HDpg{Y6*V;yx2m#yrJSxc`syoIIc$F1 z3emM2s3fl9*QyYtK77@_wYH^yv-AD1xz3sQ1BR5YKqBTVbPJucMb6n}N@rCilpC?d zR{PfS#HN;kuGEz+T?6>wAM~Vi8^p%M&Cn06SG+C zkpiu%ltNmwwy~+Ssjg~`=C7$+*XX|3#PnTHCsk+4w4uyVQMXQ%)~yqzEbaEK%7YoH zvc87jQ%mD%q zeWF5r9oubB?OSzkBH^Z_@M$XN6rbgT>e_P2;IM9q+zRH_v}s9KdW$rVc_|>Bm^xjD zytHpEH5>k`^wzFxL^a@Rfs_zJTT;3LY2mU%UmMNg1w@;YDq~9-{-oG^SrU4xOwB`s z?`f=<9Oy@tar!%+D_~&RKq|F%(3{s;ZcpwenQr(|vGmIa|Fb@M!e=VD>!RkH zfMM@}>WPzOO%*xZf>8(G@AMwj!Q&$Q)RPNE4cBMnW`S?cDN23yo&a;oHfqi(_dXDu z6q>{EmLS0U#F+c77hwK61K|L5FNo3B;Ai6CRPCp;#AMRLV;lGCy9W=@)+3Di*fu$p zq`nRKQ6J~`Jf6h!NpHJ0Q_cbKymCh%$1*U7k$WEkymC1?mQ`YefJW|90l6B;rAznz zfLs!CHEHzyV?ge~5%m2aAa@9IyVB_UKEk}^$IKQXjO8~LKU03{-Y#fUel>VJI|$kw zCciHsz^kta`glP^eSAh_^l@E-xBQ-lzIO1vN1Nv@3q5T};<^WqA?+oZ`o}=SaAICPM@A`ngEqEzqjaH%l8-0BNeMyf#`m53R zfq=fRLSJtheQf_;Kj?+NX2{XL^YJtKJ|56F6O~FibAYW5J|pq!I|P0AgF}6B{EWWO zi@qX+@wgfKK1QY-)W_eK19DSQ3FtbU!^l036W-1?j2g(zH3X1yJf0POnzF1x$nj~8 zIgAPaj4*FL24Sel#|02J`CwZyeK>XSJ1|E)`phZO<8D^TG|RdZ5idqKib(0p1u{*q zz9UGC>6*jnGqgc(_+S6sqyK&1BgjU<#!ca(hvgVm?4g}goTU360po9Tgy<#ik?#8g zl;7xxu*Yw_)GJ;GLyE_9hV3uCo4h8@+0X$ki?NApqiC5p~g@8_`%aDK!6&C7(Z z<#ViRp;s&Z7^}g@kEwUX7=M@Ik9GDxA5`=>6;6J;qQ_ew0Fr)K(G%1+36MR8% zNbt{sIVg0>PZpdZsNSYPc)8G=3sbIHklz9%y-SeeCFxrQ|6cGx!EXp268u*|K4)gU z*95cB$&;Qa$X8iN&l4;WTqDSLeaYV}xI^%71l4+E@INc`mj%BmsMaBa{|ljiFKA;B zq264!0%KNAt}u<(B^_y@rZ46L*}U+@CK zxkL;r3k4Swp=X8g-!9lJ*dsV7cnh%*>(vF-zB`Ejap7}a9@G7{;PZmN7W_}a`E1wl zL)DLDyM3kTEA5b3r{sZ$fg9y9g?7tv4U6Au* z(zx7ESR}YWa2pX5MUGD)FgFU_EOeX*{YoFx5nAa3t@Hu!X81zc#|8TCB@O2NM7&u2 zAn`K%BO>2uJ_?a#g}H*rnxdx&s&pZ*(ghYX9OcFFkXSCbO0Z6_QBdUva?L{b5|L<1 zaF^hq;2yzS1osQxDtHeO^`r6!RQUovEa3+QpA>vr@Q~mUA~O4;;LCzTf+qxD7mTpJ zA)h74_sZxGQv|0As__ZoMM5tSTq;;1$ZHb%L!;nEK{b9MJSlXSAm75J{5HWo!~)q@ z5x8GyHNJtqQ|P+|mER)#exVN%;kQo;J}s!mKZG9^`lo^~3LX_aL4?1(E*KI2SK}kX zbA`?qoFW((OnTYgw zc-sq_fb#nsLAZwUXH=0ws8aqpMKDf;kZMQ38KmJSvjmHXh`d0sm@A?5K>rl+mtvup5@C1mmbNomYcYS}g5m{n9&f(Z;xS$v z;I=07&XQk?+@JMY3%$s~>?a~CD>Br23t3r#bqicsF$yGCRtTAGmSv1K%QA9g^AZT) zRbpt$Fl#ho{xuq7y=ydby^A@<&DJY0uzn(r8>D&8Qi;*t1r_7{>ooGcQr-m>6WsL^ z?9wMXa=zsm_`-o&^&!hM3T9ifHUv^e8|EwS;tcAV?3L0BLa<)Yn}CVRlALm$HCHVg zSwH&f46G@KE-6XsI^R{TmwCL+wOiJG6vBhiQQ(N!kA`t2Hx>T|*=Ga}to!&Tg2EFp z9SC1?IZm+zWE9fG+UObunF&M-+c*!ey@vcq2Ja1q|A`@WTU1D<+I=}I<13`HGS>jy zB_N-HAsHVofTPP|EFqS1z8uu+{op^ou1Vh0l8Gj8CkzT_9M%L zoRyViLd%6L%DSE86+$k^nuZKTN`x%V`WZEs3b}MtT_wy|f%Oo~QOT%sl4X*?RijGr zXf#qTWKGs<)Ldb!i>Si7tOr;cmG*KKw>j%c8oAQur=qdoBI`pWSE+=!rozf*pT_)+ z{u`W(wxTT2>{gsJaTSQSSI6Q!%BwfUVM>aFZ*X2r4#y|OVWNr?u`n+CMPiqd znsqgzVO>w`k4)@RMhX??#GZit8MC!yZma>NmN7?D`LQ09e@2mxR}j07@#bpYlvp9D zd76sH9)RwQ`C4*%?EBE2u|V@?#B8RpPTL`!g#45whXJm+jd1NUqevgP~YC%Wah=cZXuzX>65_yC)P&(c0CTdrQd5 z;I(h`oebRNoW0LENe6e3B%cM|lyARbF(CmGKBUydKVY!pMxR+tOxbRMVGo z3!)bn!H(EPAfk>B;dZnYb$n=$5oggd`p|!ncd4dwV!Tq0IzDs`YB)MamvO!oex1xB z^&WpDvIhiKstln_#;N-g1-2a?_qR$b&z^za&={QM*=jLCIRxFiryquq<9XRz^_p5S^Ts0LORIh+dqbrU^xjR^0)=RUi|oGoz~f;b#_gd0VC}e zlLBIr-sQw(k9rS&Goet&+~te;Za3zSXxkmWm`4zk{l1QQhcD(Q+?Yq1%Og%qTFZIP zC!Lr_d@)}zF(=yhG2dY}Iz7c>(R>HdCUNZ@7)G+dUV@6U^KB;)79A)56K&oy!MYBm z<6eY5h_flMXwr6?RVX^T&Pjl0U*M>mXn%$LN*$4NB3{F?T}`@+X;nIslkIvYQLiPZ zLz3O+MEeNE+I46Oq3k5z$N0+lb|?Ns`=iX}PA$0#l6xR|zazQRku0!x)20u(*~Ao5 zJ(rtk{~B@Z16s#MgmOo|JT&G>pQG{vM#rSbv|KAhun1<-05aupyKw)o9>r^aR_mem z>BvQaJ)Svyz@Kg%IFs$~(W0MgdFGuv_D#kD0))P-L#H709;Iawb^pOV`fO3oPsp3((H@;(p)viU2V1WMUM1j`&+c-iV)&hRtbK+ zkeqD4&SI(6p-T~Z2SU%aUtnmft9ctZPl2->52Uo_R!8$h`#k3T2CX9rN#0%C>PX&T zBqyn6ZpdD^#%F2LUN>SfBHr&r-0MVC?cR{RuCjULJ=wSf(D^&p zBZ-SHG=Eoe4`(r@6&p zPq`(4i(repT^HE=2AqAbpYtF%6YW=N^Ov=D&R&lo^dPnK_8}Oq9UPhMuWL#6(FJG+ zFFBH5_ec(*KJ4#l$r4D`L2|(u=w#yugXx6!ZJkmDt7hPQ7K5J+PiX+n^Vy_-h;N$P zJ6K9T)0#FSbPqJ~`#1a6T&w^xR zf8iK0SHkcXa-y$qpckJxbvN%s z6<~~j5=^I`wCw7s{nK!vY)9kK4%{@uXeqoyr{H1fU~NbBvL!0w>S)(K&-onR62I3W zxw}S7R&CkmocX2hu)mIEG`#2UchPTC+E&tF(QX-vqExRi;+N0=OP(HP)P}m(lEk6j^MW6Jon0%3@ zEKAH=mY6OvlDmD27*A5*zPo(oj;?P`wNK4~u-!4Y`l_iPcPlE}W7B7cMR;IX$j=c{ z>sakwEBZ1x9lqrLI4t)2BX;$&E0+E8ebukLe~!=C&$^uV`8khAkPS(3>(wUvzJ$K# z5$QA{8+;-!P=CqtFDx&q{_661zT&R88F`SCBG*= z0-ocE0p2sG;N28Oyjt;d+VtT?e#N&(?dk!aQ+{Sx$QPo)s()x$$Ttvc*%kYIMe)oq zO}|0NK3{6DMD2MszOsE|SjdMmq1zYh)?p!^%CzUmqFd=G?j08LWyI?6XZGt1@>}%1 zO#AANWF!=RYRA&>=-JrM=7Eg3FJC{)w6ExZz!N(*f%`;89Os|iu^Q(;&WNjuUmd+h z9Khcee-E1={0}PPGf?Yw`9CpJ8)`y1oHq>kHNS3}7 z3Qcaa9?z)0y<4wHef5xcZLbxLm6-LFCSLfo<8jULw&u50zfGkVMoMdsTWu$sM`6{i zUIlE2XW*RcOiwmXaBTS9p}gw6fQ`drj&@>ZdSaRtp6=Rbod<18ygDwntQfNY8`Ri~fVkmtrpP4Ost@+NRJu~x} z?A4>HZ%>vDT~Jnbd~p0nnxm^Ts?XIlR#1<}TIn2FJ)S$6zG~f~hRehZtYI0(lwTT5u#*6+>vQ3LPt<_)x7X zWxNlB9IE=cwhTEeLk`Ec`fYjrji>$`f<3PzFSaKyI?XYwbDS~=iG`z%WUkJ1Lfw)v zwT?CNs*ken3#)P-oL^8Wxnj6y-9FdJ=dR|;`#0>bV()`+Vfgs2hI6p$ALk{bt1aY_ zbyhZHHGBm1ru#&$+-ILCEAlzNgkH;fBa>wsu7=0dA87TLqu7E~|2|t-2i0qjm*+j- zVm%&FWmclJd+dcwq&l+t2c6T756*kOCB5E~fL_yHX3Y%!nCsjx!~Ozqn>q3DdDRE7 z=K?}(`?c^ZlS|z511ky-O{m@>ywL9_x15VOpFS23?`$4h{p^XXsjjR)Ugn`pPrN5i zSW``p>(q#f1XDhtNWgMaG^${Ix@G z%wuj?GsyqkFCBa1idUZrmVb_lzX9>HB|f~vi5+obTQgq^#Pyelg>jE{lzVuhua0DY z47FRqB(+;XzEdxw1NGvSO|!FsvxYki zxy~pxDoqYvLJ8}gAkxnh7GpNDB#Ai!<~pW?-l{Z0e}yzSXZy?NL;F?+`vc`Q=tn%` zv3E@I%4`@Wqx#X~M_MO02iqI^SA=f}gqyY$QvDsC%pb|DJ`nKTf=bWG<7*4bmX|`) zLdSbs4|sQ&@$_3!=1F8YQyea*`~G++t<6L6P|%+Z*0vMd>mhwIkkW?ttCWl8*T@gyhR zZOxoxL@;_~u72Xgi}CQ02=dD_kEO~_?6TTA3qOwU91C77;#|-vZ6(8L0Pi)u*}gHn zq3GQQ#xQ7z^R<(zh36&gE| zol^Ex;T$8$N*XFp_d@z?Y~wxh)2-|2TJ}tAQ~Bq!&eKi9TW+h)ARe6nX}pRi8mj)!MD|R|mACItBcEq%gSXuG>ooYp!8zW<2UR_vNxTwhKHYpQJp);9 zKDOz2XPl4ZUoW0&yUjX1x{PzYNqMVy?T|iQ-}UV7s<%(>2GW5>~HEzw6t$`n7{DH)wVG9rWQOT zZc}fNnaByXo0Z}46*0qU*PG`V)<$8OB2XEmQe_X{4GTt84896x7>=P*I{zd*u|bN3 zFMctGNne6zNHCOOJDJUi_9kJh5Qb=B`8Bms#9ANFbMTT4P`5u)V6+nJ+Th&Kl82U z2E2a)L0NyP&fKAueLoL_w`kJZ8$c7jRKkt?y+U(bx@epKt$6Bp?&r9W&~S69~5z+E%Ts#n%G)vm>U zi)iqsYlE;g(AJdV4zhK%WleS1LUis(8-xyYHFYNv?duyW)@W_(%BrEaZe3M*`tIda zT}xF%scb{3_M${TVtIG+Y-(DAPxW|Bb^2RN<>{(*$dj}CrgJvWIh*gCEpX1#Z@=nI zMGp-OF%w4_bsZ~F3a#6FTl)L0_Ri~Dl!-DfIz2I?OPFgli&t$N>rKv`4@mJ>)MGDC zRS^}a2#T7?m>%Y36qMaMVM}Mbh5rNnt+0k?YHaEEnx(U}q7vn;N)8LmJbL}3t4_Mw z?Y${E!T_aZwK7v!?ku~h>8i>Ki~p-shOmjIZzERij%8R^rm}>MnNwb)>6pVeDWx@L z5~3&ADktg3*DD+0?Arf*JE7vWNbH1)GOnfjQbF1ow$5HT>Z7U*#+O#rqu|Q987`xw znE^1^v@5ngnW5I!vgA=Sn3Yq61soj7PwQ?dO0^dqWaQfIuR~i1k7c!$SxVF+2?f5D1SH;tQ!HB!L?ZkV1e6*2YJbwoD5aEuY$! zT5D-bTguQ@#RsE)9b0J!t8J+|En{u#Sf)cQZK>G${r_vPea=27H=usw%zX3vHr)Kr z+H38#-;cBRK6{(vhO29*f|=Nt2R2B&M0Q2acu8N(~iutrNFiWCONd9XV~Pl#yw3#Jm@;f6j zWp~LIEhNhBQoL<8Ma%|nCi!iLXeJuFOjCFT*={=+JrX3oW~ui4O(3nd%4yG^pWAM;BHw3)F?fM70~&oo##5Hk>eDTmKn#jrni4S6&d;FpWv z>EuR0#=60y_{+0lt+9_&U}2xh>xTewHQ5@=<2kxmYYWT`*WyfZtdh-x4c0k$G=zkU zXGmE$c=W~>V9A6F-fApw4ro{3+;!%RTk69KYM^g1p6SM_xW9k6UIJ^8Bd*8Km3yfh zxlhLAiq10oAE|?e@u1;5j;n7c?(q zaZt`AD+IK1!(wuqA;)o*HCAqROzr^W7&mLIoE(7yOPkKECDF2Ko5A;0+x%LclJjcNDv3cuekT$Tek<`$|l1L@Ki!FNb&IyR{p+S7UO=A(yGV z{52+5JTSAIoEwC2+j>3;a+%8M2ox|ke0AN(&5X&lLaq|}tpQui(wN-+kQ;1;fL5+E zCigVt$}-4(J|=gpoA5mtlgk~HIlhNtaw*7Vs&BuI$xZ4;E(e{Wk8s;`z7lfodoI+7 z861;q?M6<{xN-D#LXPkA)>wUuWBT?$&K*lwxlJ*-S0Gnql>x2XT`{@ArJ38)Ju$gX z$Yn~ugE6^#A(yGV{3a&%d^h@fA%U6B)*OReEyg9*Sl$_sbKCo)XzzDomkitc$@nq8 zZ0`re^i|=QnJW+$YpgzQ0Cx3lxjF1VE`hMsS02;%>9L-7nN3_16Y6 z9La|!>75kFb`0-7it2x#$Zri~cph44k{BdM<n2 z{J@y}K#>pY%fOiYpqRY5ucipoz=pPc3A0qPgfz*)T$;e+2lfNJ)e?d&XEGAzTlXpkXOrN1K`Jp183bYOl zp7@Z&wdv9FFf1lNEG9oZCO=%{!}{y?@YFvdCO;x3AGTa3JQv2~FBJKTAQTq{Pey)Z zOnzi6{21IhCW#yGqVXLi@?j~!#UzeNVw4k=FOP*kY}x57iIGcGesoOU9obNROiX@^ z$cOF27$-E89~+Y&85YrYj}!SY zSI0Th)PHeI{$i02QxStn$0YIQ5DkB&$cODo_(W$(Z+uLCyvWxDI>!f3Mt(v}euBt{ z=|3SRKQSghQRKt;PK?P)geK`>kn5PFH`SpS-|85RL9Anv-lgJS znBQ|8F5SoJSuy_X;Ql+NH1EG-3(GO9p?jhP7W|drOpN8{ZEzgS?+Dn(~G|&r9Ovf^?PeQ{K5soAemyRVv*f{6XGArPD%}%3ZQ_Z|K3^Cgs-)|9tNb zrJIBv;(awjU*J6zq078~R@%gGsGMp|{e~Xqy{UYYe#5;!cDg@wx;E>qtj0G5+GY31UeT`dx)R%!p-Y(#BfhzStc=?V95-eim>d0^Eqz_L5?EDs zUDOe_@d$P%$R2^XgNP;8bGz(2)a6Piy4EkpDqP5SEY&5`LpL(hbL%>09cmy$c0yX8 z!)>Ro`*4-s6^^azyACq2xPBdI50lYbp(7wI#xx(3HAz`FqoZ8XH;g1ajgwau^q zPhn^2U4nz0V9Abs{zg_e%k5-oFv5j)$1+%AckGA8yUSG-2CQL#;NgW`>f?C0tJ%Zd*w{-feg z6#JnQk#H%ND_){FU-1Kqt%|!8zoYnF#UCrqMt?=UI}{&K+^6`2;vvPKEAlo6x_d(r zXABA5Uy-*okUvJTN^!p85=FV!6mlI(-=?@-@jk^pijOHCRD3~^>qPYLPl`N%gf!QC zh=UYID^@8kP`pO*CB@eiPbg-itWZyp;vmJ*ic=J4D9%x=Q@ln|?k9yF-jRS)ioJHl z4&vuM?@+~<|QSMf0-Ubml6{4No42bKRk5wE|86<<>RuatjWF^Mupe~T0c5b>It zQXEW#-eJlgN5tT5g5nhAPgnk2B3|QozXGvN`HPjmjEKf@x#G3TZ&Usa#HpUwsd%gM zKc@VePHRmx8*Uaxo)@p8}mh~h_ykiSFu zpI6+g_!#jjln2G{5+VOj%Ky3IzbXDrv1d=nVX)p?u^$oc&LJXx!xYCW&QZLaxD@wC zD_%o{yA{e`ulNzgyAiZh9jn@dEz>xipxU#Q{|~a8Ie?n?%U< zz*3gPp9uZ{#WKYaisOjzcM9=Nln=$3MChqkx=FE3v0d>-BHZ6b+~Ij2Q~U%G?(b3h zbBbRkLjIe?dvHIy;zLBpKcW2R6n{bdEbfh0{0$LuN0tAUVm|tM>Mc>^MPwXL$hZ$> zg;$MnJg}FD{{1i!{rBI9=$GZ{M)bFwKVg4*1rhz`O+@sEpCJzMaF8Z&DE2xMN8m;) zBKoC25z+sgnFOLgxqyg%gZq=%FYvBI_5;ntsptoZXxFz9(Qbd0I0yMnoQM9Jh;}xg z<1MtKLy2e~xxa?(-ZCQEu^WkKm*jTBWuCX6G}?_H5YaCDf_NSD5mCRB9PgkWpG8DH z9ZEz!oJd4Hn@2=_T1rH{k^ACr!8nEVZJx)Q&{)2|MBIY$2odG<2Sk*!eW>5sDE6!3Be~|BW zN+YR6u3oW?i1L6W5WGRLQ*pB*qA2|BiincXyND=9yA}5-KB#y=@hQcFiiZ@B5R3HQ zZs1X+k13u|d{Z$?%WJNp+(V3VEB6qy{AxKaQJ#e87Sh1&iaQi{D&DWSkC?)3S&9b~pHe)icu4WE;!BE0iKXZV z6i+C=shGw32Y#+%fnt%O)bAnKJ4PB|)F#Hnc46^|&sqIgvCm?9e;wy?r6^|);Y{${>WGUt=7AO`g4p8L2Maq{c^1?*+ zSCbT{E6!4^Rh0Pv$SqO2UQy-)(9g+y0PqId13MKrD{fKTt|;>ZklRHXjs9-MeTok% z9#E8d0?5hy0Pv97A0eV&eMRx8;xWY&if<}rv44Ynt|IpXa@^1TgT%p#Ws2MfNc##! znMVLE^9aCN+Bc(qDK1g0S4=CmDz+Y+^KlK;%>z~iU)`oH$0_y zQ1OuBVa1mej}kGyIHq_)@lC}nzJG(CtH?VRIS%1Puf)NMWs2pB6^fG-s}yDap&jW% z8pxCTi1mtT#a6|3#STT8f7pQZAr0KFDDw}XcPf3q;%>z~iU)`rkv@tt4*~j+(lQSL zTIL~uM`@37)iK2rif<}r7zJUktYPwzFe_Fagt({qRdC!g7hH`T%uU7 zm{x36Y**}1+)TU;>7%$^afjkg#rqZa5iu^5`3T?vrDa|Mw9H2U52^hT;udowEb*w) z#}rQ}%De>lGA{v?c?lrzk>t2@fZ|}qGR1Pm3dKo^GCu)#G9PgV(uelIC5rWmGCu+P zR;Ak&I}|q)G0xqhxLt9F;!ef;6?ZH0>_WObK-_`yqj*s9km6y*mlTf@F^)c_ctY__ z#VpPXfS;>apjf2HD<(PqE>kR5tWcb!Sfz++D|4Z7ETBE|rkIHFb&7~_bQuxj;c_Cz zv*_~Wy{d{>;(4=(7$>4j@)9Y`GZ0HrUx`D^dNjvdZKOwdUI!85pH3piC!2{Fk8CAE z`3@q+3p@08F!;SE+Cyo{E28EQ$)0DGVVq@R!$o2P$d!VNEH$Fe-;t-zn0jE_!Cht z>xs7^{=|jyN-QUhe5_EcBqBd2DOM3vW-ljk7U@!52Ld9=C7;t3+kTR~L_KI%>>#2(+@RP=M5f-VxS5Fhu|;t!5%pxdViD5~nOscF)$$Fxf;8&QT;(H6 zrM@6(MZT4YdL!iw@|#IR*-qt4`9XboMCk)W)Emif$iGAy^+xg&^l{QD%_qD3)fkwS4BZ3}5M7^m} zTHYHwk)KM}6K_F!DJ}1Xs5e`c-cCflk@r97llMQ=o2Qg7?|rB@N0ferhP_1Q>{D7jDrL>+v12b9Us;ZSsZ_aGn!lsV%g2oy zmr9MfX#6;1Q{VH$Gv?03?QzI7V|3U6_~beNOMb z{zCtq2-H3o^WJ?Rg5`jVJTh{I2;}_Q*( z83RF&0>5XWpO;7Hw9V@k=&HoIYk8Ve%ikj`P_)FUgKk~yEjpIknD2fs76kNmsN%ep z(48D@r~zJ*)9uMI3`);}WW~Ju#d%kenqjB`dCyUDrjbnLy-4a(S(+#vocB6?m}Pind6&}n*@haCS4(P+ zp~~|(>5!~3R7KtlYME=O%Dm_3-#p{rq`YOM<{PRi?>MOiMsj-I8`M&3s9C7vpx$q& zxp}`OZ=s=T^PXk)EizPHUJ)hh40UDROU$9m47DV$nY_!5fAx727^5o;uPKjRZSqP( zrSqD|yUI|lc`HdRHdI^Quc+nfR>V0`+MdTL+2oS-Lfw$}4N89C<4ljzTm3wKTbjIv z4wI#O{Ji6QSo%fb?(_3F+ncO6+*ka(&(LiHLzgUl)zAAIAC`SXgpc}pFY}?%2yag0 z`8gn)47nwdm&?#MOWiEpn#h~X1X^ymI}&+&sA`2F?@i=!b}gAUa#AfH%^ z<&V^9CX3W*d`QS1FnbgJ2!}}`9DFkP6>{#xpB&DDX0zhwJjN#~%VCdbG_tz=GbnMF zWArdwLKk{DuTzo&xojNx#kNZJs@<^CORoA7Y!g{ovZX0EYaOWG^$_wK{n_w{=7{|D zJboqJ2fecAH%SAqZQq9ruu<3Zn+>_=!+mR!4*m)XCbvEE;l9(5m43P$P7>Qz_my48 zEtE}cOZWR3g7hdo>H#5`TrwVjg#@psm-}rz^CxtFHoXf$aP;dh5mSi!siIoyhp47azBy@%DzZY_C;$!hZ&_V)%?=0z8q5qF`^d|`f`_h;3dyavS z%>0u{!FH3Ge=@4u`e3vVH!PxwZL9FX!`V!W-ex?u8MY-*HylH!{-UI}uldklibQW; zL)iZ_%=g|>)Dzp5OMoZBRV3ADAp!2|sPXJ+c*Z{VOW+vKhDJRb8hG|C zdN$O0*8e9i*(82{2TCG-(?a~lN450fO#dN9e46ol7@j`{zqxP8_&rViZtq{iM4hI7 zxAmXFnrX?_{!kT?>Hf`3J06H9CG`U3Em3p5R&py{#qkB7?M0(h8v)ax9@AFoZQVr~6v3~7SMF#VBXK5W9ooaRR;H&Tz( zxWj>-ml&DDHcW8H!27>64u`=Zhg94#WgPx8>hPD=VgDysvMk8}FyQ|2P|q*~h_}BO zJ;$SZjz{%al6u$y`B@1B6U_#G9O_*f=*dcG1Jqwyx~zm|5*$86hrL6G?36kKhrI)b zzhmz8b{xvNIK`pEAHm^6fy3g!p(I_g<8VJSVUTgS6G80>x}cYh@*s8CYU<1&b(rqI z2m0a6guJPk<=#JE$#z6!1J%|TwOgTf8t8R_+8V3&><&s9E$RLeI7>E})Ujl}v}PZH zib!il3u(>pNjUYN>EF-7(O`UL+rJS$9|6bYVT0>)L*TPyge6VGc`WV~EyOE)txkm{ z8=yUFdlp}_eLwqZQ0MeOvh=w5@9^mRQGSHw{RH`(@ZN`@Cj67VdqG+X9fLk{bV`(%3v|YTdjUb4RUhSUI+%VU)^^ zYFxdt4a!%wM_d?pvqN20t(pF4KZ)!~+3%nKSb3rV zX=tVI@cngHi}01%>l8P9?G|}TW$*bOCip|)Z~6ZEn5=cv9Xh(!HQ$P9|4`d=zQ3Ys ziUbcKTel>9ynb>djDLc+U;n}#i5`yQPb7Tf)MM>`eMACQpti+TGRa(WIYV zTvKz^CHPY{3Lg@Lkvt5QQTM-u`ziCH5jdW~?$)fh%TIK%+0n)3zAQg|$&{MOU%O>~ z4gV(dCPhO3OqOQIr0fkVw%xbw4s4?hL;EjTzRl?MQ|3>ZXP6$VimAb)WHzvoC3yLo z<`1rGUe$PgYEp`CIAsm3Eh|>7M9oSKNtM~V9ERRld&Rt^)$Bjy>5ow{Fhl4+=%R~ z*{jw!uW4`YPI}gC6^Gurr;(j&WpN$Dy4Lp8Kt{M}9ilpEa;gollNU~r6q&Vj{$=y7 z#vKZ{tz*@y=GG646zkfB(~-8ksASeVa6tLF??Ao-c~%L3h*%=<_IzvW9`73+_2cWy zYjB@=*10qL{GiWM{N4F#j(015yj}hF6PJ{4OixVTJuUmW3uY}icAYk9+AYbP-&ZA`D_(S>V$y{4-jjcLeG~uwVSnS9M<~Ht*{S1n()+J_Yk2Z!l~_yXi~s-n;+0d-hIyEt$M$uYZIgE1n6Ta~_?!=TYx*L?k9%T&4(xaM zC3DWLIS2M9(=Vj=)3xuvk^DmfA@GJ59uk{G0yZTxPp0p#_a4tAcl=qVT}k!CYfGyC z0xikk7bF+FR$cf^aY=p7?~4%nt&f_}nzdLy*LDee7~;tY*@OP%Ig@LqL_&Nq>>u(k zo-@9t684)Alg>uQa5U^6_D9dDs2KzM{j?uT`{A(P?+>3ds%8XZ$e4@$`LKV)KYz}! znjzGG9pp= zy|wjQw+H#n^0BGmWZD~Ebm&C-`t;c~J1$MmoY*k21+jVdEX3v|zyF-GYR*DzHnvP` zVY%AWupjdM4?PI^UJ>~`$iL$EoRe3R$5d%Sd92>3ZgOijwlFUTMDh|rlC@K!S9L~J z=RR8&3um6}%#?m-Jp0CGmJm}ql7CFBU0k1gBx;wn)|Q&iN7^n8%QtmKB@=6}W{v$> z(X0i>H-E5bPEpO7-Q=6~H!PW5{Y?b^qO=BiQH3<83B&P5=l*WOQ97&Y_2GR>=akkA zMmQdh#ngng1Yy1FgC%nYbX)8CAdU8KX6iG)N@lw0m5ng=MtXJ6UmVuBAP#LBhn>JM zkJw&3oZiHGi{Jhhl+bj^GE-lf^4{Z}`)-l8GjHa}woU05)5&8#T7~4ZZ**)*zpyNE zgjmvuRu!d!F0A~fG+Uv&q04eYUE}K~3fHtLo6-!gm9p;RGIzfXnUaRn>scI;v1N55 zTFr;kC8$Rm(~A)swpTX(QJKXebN4A^o;#60+8f^I*@x37(@&nr9Y~!|p2!C-INrJD z$rGu8NSS2cBPY|(ofrVgo+??ak^_Vvw)}2bOkRwy-;|z^UfdMMmT4P?a}#QJ@(^RR zxH$oT9!|diSyxXc*}GM?q&X_<`uPHQHmBVDl<+L?o_BYQ7jM7NUkpF@@P4!jPbT*x zFJ4^5Hfq7Kx1`@$jXJQgh5Zq2H>O!`Y^#LSZFymN>d5}|7k-}wx1o-6R=*P+B`ZwJ z7Hw}>KlZ0{hG+k*1oe8}z>+y7T4!xd==ba!(v~F)pDRxO@!qu)(k1n@N&dbN{U2>N z!lv($xYXh((^O_;YT{s^)pThc?Qq#w#U8vujtcx@=WTJA)?t zMa}KgadCAW#%2*aj0LN&Z)~MyOb_p=SR2R&epj0j;*5?^cbo@D3^rda@$%_$=CT04 z6n<6sb>im=%xqcJR88Nko`&|8)vG9EbR@hF1-kHDK%JOb!fWn_4#~q2AvPQWgoeJy zT;^MsuuoCLBOJmt#5 ziYrZGbp>ykpSEuK^5!+NWF(eItOb~2TGP_he0f9bx@K7fa&%hef_3d}xS*CRJ+A&3 zn+rHr?aT(uGRdHX%Q0drR@JS|4QphjB_dh7W_3H}(9qkPaBxLM1Wf9Q(@;j%O@tj} zVQjF&ufC%K(UB5MW&?g<87&88uP2?Y&!fjJZTGm`fNArwWAMCE8A5PR1}4s`(@R&a zTj|}a)XFy5r~w9Kb^EkDu`4&`h$06aG#o_Ga8N+Q%0$CXn}(e*4I4We29<`5CJj3= zn(lCrVS5(YNYhyNR6vNxwHiYPD(b)UuD}ph zPp`YmNVsn!tbS7E^e%Nu&aAyW5Og9DX^x{_MJsxjB?2l%hBA@YOOq$z!TdID8e6Yx z;GbpDo6$u?X2vtzfG)mAi+~BS+MztGlg>k0L);0EH?lRj5*gu`Ew@yghcRMPJ+D?# zbI@(J7dEeLUbDWr>0&E7k734K{c<+8_I$QA%_}Vba`Gkcb87@=&$Fd*-a_Ny6}6_U zEUm7dU+0M;kzBAq(tYWSxwy7jqKKT5X4t-IF2!}#@ih2)60C5$^w%M1?Xie%&mA8PB6P<((=lev7LPg-e4+r}mA!_10O(CtL8 zP-mZmUPb~C_J5k{$N*EU!#mUI_w?C|j02MaD3eQ8*ap_VCS{-iKo?d6yn~Y?a zv4MCP8O^Y0+paO}3GE`9*S22A8|DuB*5*|!+S4A&#~Sf;(L5BbZeICgk&Dh`FhaF; zELs@o>E-Z260z-(bt*T$QVzmQ7lFAFh?xk(dv;Yi16ns9X}glMGhyA3+F!jil9oZD zcWLExKp9ICGZMBV7W#x+-?gimp_Lo0$vkf@2DgE79l%l?%*(w?=Uqzn7QNfdt_Qep7MraPQiwpYU%_d?a~m7LSON z?*sv2IN+GtJAZ2Ll*rtggv0>l5jem)6`uny;g^N(-B)G~bmU%Qy<6JV%|Y6!baU_) z=exQ&=yG+tJP!1_yAPRzv+j5t2>xgCII!$44yWRAAljX~Q^oI8X?7~!sdT<^Ny~dH+0%iy^+`Y6jO!T8!0q3n*9LPDdZdc{Uzj!n3Py`dQ&b7!_K5U%<1g?`i0p1v`e1 z*<$s5AJ4A74h*C?uCvDK`z|b8eFaER8{Y!(tiE62+0|Ecb~rIdomL;eadYL;klRcF zHCFBo(5}Cg@HYiJ#sgUx_{)!9Tz$u&?`iO@vHJQ$$klhH6Axr{pl@_c--9Li=G!V$ zIg;;>$-M$Ojz6uj{%(lL6`?}AV;U>>nV4KT?r?v-xj%42f5NR)Jj_+A>5YlB>-^lOaCZGljxe7hwk_h2`2 z_s8U3>BiqjVsg0BEo1n86q6g!jocq&a+Q$VnITmy6%jn7;J;GS}b9F?~B7eSDX&`WjUqq9f)J=*yIE*T>`zLoTIm2sR$}UKp$I z1mub`$bB)UFaNyEa{FU)Dag6+lGa~)zl`;_5^|a1@tc^wx^DFSH73^zxlHA>4+6ma zwf^qtMjy|WrJOZ;Ah)-Nr9$5MkaNqy0pwdJIy<(f{IZSlWjWxvh_1e6=i@958wl_% zuOgIYzKij*`sT*;WuwqFgKv%1H!G%ZmZNVxgsr~%n7-?v?^UY|X!Y?kGdI4q z(03SohHoN%R^Qr~zC}Zg97M!eeXC>o%9f#Cf=PXo@w57FQhl~B7>-JD1_vZ+sE@xb zF}aG-_=wXAsSM@#Su~1Fn`JG99R3G}GBjUSeTG6?0HJ(1v<7T3^4+zy1U}su#$y_2 z%CQ{u#m{l>-HQR`x2+QJtiMF3czCVTJ+B@LX2QM|KRPMEk9pzhJ2=<#UUVE>9uK+^B`){M}dyD&mAW?gJH7br_6WqHci}5Rnxi1j+ zw+3DmcvtB&$54J`1Vs1!V($9|F8RRwYNO#R6#X56RH3&*pXt&MQp;HKKH8}JGsXSB z!2OxtI(=q&_Pvn-hY!4OHtL?a5Sk=7@w&a8s0Y>b&o-!V|c%8l#juZ zV|brzl<)RD^#9x#AA|bJmZH1n7Q*$Xn^?NP+wdWBj=PBV+t{`5zVI+t$eV z$oo*E?Vs({EPr&2A8$X##Q5>{X>5!?HjFBsc%Nz1|9JbzkxOWJ->7SNKd5Vx(({Kl z$)NQ#cD#R+{;|I@hCO0vl3tvy3YmCHdQ-j6IX2YuHT>AzK7wCAwAW86%{7*OXrEtF z`d;A|c)wS=PH41xkfZy}LKk{+^aY-u7Wz!DRQVix^FGVTN;9YWq5b0hS#-~qryts@ z4=K%>)DN~>BlJ0*e5DMY;Sca0itx|%{z+-pX(K<_TO6U!_jm#VRBC*Nc(*IPQ~bNYlMlY}yjAEj?{Vdu^n|Z% zJO~XJHa79j#%^Zx!}-K;&a)Ephz^C>M~A`;r9)vB)1ffa8B*gh8|qM)F?A@+sxDpH zuok-(aNitOo6Rz0IC&fH6mYh*N4JW&46}A%XY#|P%<83>R}R+-tU$2KT`U4$ow;!(Wo53zF zTZV4nbN$T3vh(wHk4N=VXCB{r7Mq*z;%AI+A{r!rY{p)c2F&O@z0CC_dT>;Tu_p#j z9PHnUn-t|tOxS-;>8~q3s(4WGMa9e0XHii;G_ zL>Z*LoJ|QFsPqV8Pt21l%2}1m@cyj)s}-+R>`=T-QSK0|$N3>j%h~_X{|sq#w*RE~ z91(hX6dc3xnxdTf4>~*B*cT}dQk1&^QOFl4eL1lRYY2+h5TSR4^4BTeq9}I&LcbiM z2$Z}3fcusIJw>_m5B74$AMhCM7o*vKLGRlq=7q$(D!BKe@F3uEB;vVpNV*7_!mXFix2L8r~JPv=3~&r z@SLMKgm^jXuhMgfgAiWDg+%DNTKRIP9^7BAw47ZJ`qN6^M??qyn9|=R4)MJ2EB=rO zeLq$HuND8On1$DNhOba@u;K_}8Ooz#1rd5CD}S!y2Nau$7(%ott|UT!z4C8Y{3H=C z_@7ezG!b$;mH!RJ1By=*F*KJm z!reiYdr?vD#)G`vg$K;ROA^m8=E1MTK18^aJMqB3fHY9c4G;p@!`-#&$?=r=!h>%~V{0}PLtSEQYP1n2XfO1zI@G3$?zVUP~=F5FVr0)SD(&wNDyhiUl z$VEIz*PHoio_YQf=~lfv0rYOtOUxMx; zIl~-sYOkc37Q1(9Wf%M{lTi_lLf-l%x9;>Q#}p?H_#-HKl!B9LEK{I=r5iccthSMi66 zKT>>|h{*j~@pp=^E54!lH^pq`GvsA_4&)j;>x&!<29$9+aG2VUQ5>f@MN!7@kmH$= ztY?=iUZc27@mj@Iit80ODt?SO1nr#SU5a-r-lzD0;@1`TDn3Ch!^wM!KUDmY;tPsD zSNyf&Yl?3W(H{IwF*{-Co{Iex`zyXragZX9dt^JpqYa5u6sIZ9QJk-MxgzI2D96Rs6o<3&b&=CwHX-f35Uu zimxmFN%3!r3ASU9m-es%?KNp24|*jIQXHl@QgNIjk87qJPf=w1DtD~|FIRf8;xfhM zimMdmJqYqQldeR6p!f;JI~4C${H!96o~8U(6dxv{5qM1TyNcge{E^~M6o0Pxvf}H+ zNqUz%@NY^d&>+#ir($o#{)#1vJnfO=kCBSw6elQ7QUF#X*Wg6h|tKQJh9Z=P*-oz9J7a;4=x6f{q2 zWPg04qP&lS{+QBtDBh*`S;hMlvpu-SpEySP9}p?Z6`B22Z0}K3r5#35l=ioZILPeh zVtZIiy3F&gB%*z)C!)Pb6VYC@5uv1mhamF^@?L;5IvFOm7A^n*m!7p0#kBA<>a&1(>tPixvESG1%~cSpV@@RS>SzyYr5P zyniTXu2?y%%bhDS>nEw4s{K&XroSb9?*~73SvrGa$Tk<%^K+(E+RMd+;ac)#T8%u*rP_ zL~d_hAPPA8*y@!p^9=j&Q6x^ z6rIJn-=LP!hBqLW_pc|%7%G+f9ZHTh)ZpB!=zE26SC)H{yo(GqBKI@YGVT`GB}&V4 zE6KZ%@5xrYnn7GNIH{VpwpgXp22X@Lc*5sWm7}GUxTFfZwplKg6e+-!>GP3qWU&h^Dx%^ zUQL<4*>K^1#<*MCm#aJeXAQNc?}tcz&QKpjL@`a|`QL-3xon{rPe+50e9wktB>ny# z8OY^cgB_}=7mJbQ)tit!qapG7WYMPrx#z(DYY=DpQj6c^SvJmpjrjD}h)+tXPfFGI z{SHI%mi4Kh&s$pjfj-pYO%i`u#(C&|p%mDGzWPx35hF(yB2(BOVsK`BY%06}t^=~Z zkX>&;dPUR7E!Fem+Y!ClusG9a$L3$*2k~Rac>pf&!~oZLe}#JA#J%~HrNhLwWrgF6 zQBf_((Pgu-ca;Yy1iP-^+mj)++U*Px+2yo z{{u?47xA6dzeY*k*1*At|H&{8M?jx|#$!PoJ{csTq!~z49!#29vZ+WiW?PU*{rt^T z{Z*4QgYaAqzlWlbnxy%vs%r=uG_VE3?;6#xyAMoeRk5ihk?)^Cr(77&$rojc%oH^Sje?H;##)wth;@yxjfx z_vqw_gC<@$=8~_QGrd#UD{3aMNY^CJaD4ur?{`cd#86GRX3F=jxO(yR`1^q=xmRO5 zKp4KiLhmJ05`+7j-=H(6)L^M4oyizYlX{-aZJZ{z>yiSR#7 z_%|d<3lqZ&C5%6tf-nx6IQ*ii1LXma7uevYO>o_W`ef2?fhRa4pI-4#at{Awmm*|m zO6Y1Z3=2c{)ug|8Zu9{5hm-!*2tQA04-YLdSzgw(fjcr%LsI1(GmV*HPYMTb(du<; z8k^UG9iDGqc4K+R=$S*U6yA-$WzPe}SR-SNJBsHY9yvR_nRZ` z7kBI4hVd_L54UY<;|a?rCA>WQ_Qkz8vGvf&j{M;{KRem+h2Q5VPqv**S0C?$%o`o^ zYrb$Yfpb5f^Ydo%#PF+&amsP>xsn(6zOeT%9W%l8$%Pi44f>*-^8Mo8lWQLCxP&eX zGrN4Kqp};9``2vj7<~$t*LMu<#^t6pCpRXK9Z2lQ-_B%7^(H}{HtY>g9O4PJBadv{ zxPK$US&CDEiE1cyFED9n&+6`lit+8^J|GXc|5(Tzi>hJJb4wz2vl&f>ZtC-sg&6*tD`P`Db86h>6mQu(v*-z#WQJpv9;||s+cQPuO$<2(y|lW0RoCGVw7 z8Es>WXVP}?skD_wv{i3vU~C5-nh1COtvjQwuy`hI3#@IIusNDg!kB|NKOBFV`&p9v zJV~7A?PkjR7bVYMaPs^Tq&d_39;9v#&cbg@5O(#e!9HlaYNV;Sz6_t0BSp3Q%xxRe-m zx0bwQW_OLQJEU#f#A|VXnfSLz{L4Y9op^1g^3+j(edoruiESG+(q$ zjI!`CQ|oxWw4Iq+$4%=dbX?kvFKk!GOWT>r<-~QLK9NeX?d+~)1;3yi{7kM-wx9eE z+Q!5t{5^se^5hN4V<&(UK_5uEE#t)TAE_(&S+a}TAF^=Hj}nSw|(%?(y)Et>FR7BawBo%(A~5V;n*X2?7ZYe zq|^lTDA9ArKO*PVj|cCb7WB!ul_G)EcYB+Kb=6D5-LP1P1s&{_#R!5lwy@5^cu01z za!|pM>?uw!mD6CWMCP=oDt9?0ms1~aI7POLJI(^U8+S7A?QKW}fyBex3Fi@!dq?i%G~YqSogEs3 zI<0?_@A2~TOLd2f1(DnjAolSR%xO2;UFwu-&fZ5#{uk6DtQuUfR#kG&`xd;GP$Ed({a|V_biI73JJ~05r;O8INLx=Ib?59H4X~nM1iWo!FM!R8IbHS z(d1N#J+_RKD#59be8;z^$#F^{I9iTVlAQLWX~z$dgJGpIrb>~?OH(C(LuB!%dD(!+ z;z`5eM#JJmb431P8DG)rQ!>zN?W>ew@jt?!4Oj7e+;xp?!^mNLC$uLj_cC^Frp@?O&jDx03%O_i0-`At8jRrNfkhjWc&dR>B)p5bHft zqh%Ei;$hHPBGPj?h91k6JLWV;nbFqmv%`bQ$O)R^sC8IaLnXFh4sD=T+ONo}ZEOYZViR{7_U54|)S-3ndP-WQb8eY!Q%7D@c~QnQ&MJ7K+5bPg3}u;y zYgf7WVKgSj>}5~_pyLzSFJzy|rQGF?g?+@9j=&A&xX+X$OKYqgwuVH`5-GkA^TSzY zUlPW1GPGj2=HqAG;L+o4>i>ZHYmMd2fyEit61WQ=;MD^;ubYD(R7*T%{TI(x#hcif zPzTx?%F!&xkGgHpYw;aI6=-X$+%j0W{^nxOObT}N7pen)Z@{ywZ!7c-hMhH5pDani z()zov8-MSBjO%Zi<8LqUsE_IQHT+zEk9VW*%aC*R&2seh0nh6DhnT(!bSiex36{ta zpTp1fcQ*p{3K?o_e18SnmD}3e?4gg#y&03+g+Nu(p&F~NH|$(}NAQMZ2iFv}a;ccy z(SG6J+m*XGCU+2vv4ho5SFR=|cdQ$^t5wdHzoH_nb!X7`!I<3OZsfSz1^F;^JfxR_W-|o0R94|ok z)Q9bDfxf3=`kuiSkGWPE(CRx7)7R$cOF8-u$Ml_r&blLmK7PmI#K%p>LCIgh5jue_6;QqLo{NOc)N@ z8Y|Zea&X>e?LPxKeuHccts zBxEXgepq8w6CG>sUUWQc@6qgglklTH)&u(K>KlQCdkuEhSbdhZ=-U5RoN2^9CNyr! zF+M$|F9`WPrBAYX%ASI4HVxCj#~C)R;h9D3OG1+$KH@0}*HM12nEqaYM*8E+Ne`il zq}M0rzE8}3ACGxXgXS6T<7e{pjk)hD?%RV*VJQg>&oGLH7mcrDc!p7wUnu&x$JH3w z5n~C&zbGb;#?3K2($TJ;jrf2_{e1DNY97v(Da}3Wsit6Y7w9xR(qbMJh z-7!4#C|Z8v>01)xmpHB&-g9F7c=6d~1@Nb9G#lkQ2zN|Fg6Z@h3d`oGL75bsP{Daa*fc;P&exx*0hG)Xi9!@oU$WOCP z!W@C(yo2Cv!=dm-;!@*f^1^*}i=I^ye}#*@xRD57*GSnHHFXxBSqrB}>}#1i3T9OF z=r7JEqF}S{qdog0BHs~+GZg12UZJ=| zu|;u};wHtL6+f!@X~nNAKBD-2Mb=yT_iM%DirAVX_63UXQyifx0k%)q_(-10>I1&TcPHGu~AJjGHX^o&sc z1jRXu^35$O&t;^6a;664xi^=3+Z1n9{3vlGz7bY>HxW(e9!1$}5BIW}4ep;&xt}S% zLS*Km!>0Z`BC3L%u>mY34fg|-FW;m=PQKj*&Q$w4Mfv6o_VNuc@J6+lZ_7a6Ng7St z|5E%65&GrZGVu4Q{1b|Y6y@77@Q*9~77>SwB{AqA<`AKWm$@_+I86d!kO3zWeN-?dtK~cW7g8ZkI{sQrQ&-;?%SBda<9}#j-D*j0EUldak%2e#9;`p;w41L&r<#sipv$(D}Ge*F2(y5hq3;kzD*~hzFbK} z`CmgsdEP=qd3=nB@`K&&lJ6W_GymjF8l?M5(&wA4-HgvSNh6%7njXKwt{{S_RGQ<~ z#N-$s_34y+)R#CPY9#!~Ucr1tL_uf-U68#v<2hInK@?i_A>I-m;B?vpXDQYy)+sJg ztXFI!!n1b88x%VgH!E&Y+^)DoaTl>jzo`Q5Q~E*01By>69#lM}c!Y>ZzM^fVe?{q66;BXRZr@atat%6{ z~iP7xF-Z{gJ&DM8D19Xn;a}6A%g>7wR4r2qwSHJ0I^f{|VpbxnJV-48D?!e2JHbujFzkeup*zX3+%^$eeK79{m6#;9E|R} zvV6Wt`h5Ah6qyn?_?nI%!rl%DZVfI7j&D_+vX*0e-7=+!%`sD@pRa-uGLdy3Q z{91zc?v@HhzD#crl@CM8%gZbHZHRvI(TYJe#}BM z=#vL8Wk6bWJ~BCJm^?I9@;CgG(nrfbm3$-ukqEn+oy|G1?amiM#u6x_eHN1foH;hGgKk06)@V4G~9cPHRUul(YNu!2Ld)&l-Z4cyrTZs#5 zR9Fsd28B_fGJ1fS#Wv;=pKU1#^7cL3pcQQJlmIa-?zTG(ix$ZDbaPfd3YEJz%N8z{ zwG@8c?K`5J%j3I=H7uB?+S7F^w^bS3zK467P zVaX!Uw;0cK!#N6?DtMNXC-aB?(tHp=jwa2oA5mxljC{LRj{+h`ulBIxc(L)vMJcn zUw6OI4?xpj8{azUa|bw9-!EfwP2I@-RpkI;+9AgmOKa@oUtr;euL9w#0+Zq6>ak6i zeArnx)UgGbe;psxSRTu+tFQfRldjaqbuX(g71Q@;=&Mp)1gmdAOy3QTK4f8_uOg=J zVq|6&6{)fMIKXnlcPsRjLx$nQW1x?(`PL0pY=Az>Sz~$CF@5`>gd;5KI|n}-U!JW) zH&(}&ppWyH*6@+Pdi)_;Ii81{X&(z;oRj9QSyX%$a$GmGhB|2EOcL`be-A>xAEW_Cj1Rdd!E_5Xf3S2L|c~0d%7RyZ(%VQ&qMH|z5@K{r>k!> z3ds$yv&QPPv_;qczvDg@wvC}dqB|yun|Y!(NJPhQA4}A|+1J8BxiR^{J{Xxr!AiSp zc=uM+JtjFF!+k7KzS-Ae%N$!KmR%a7pSd2IBv!Jc;g9oAzi%bf#{6f?5E||iaSiu< zxQ6>UT*JGl7#@}_<$xaNGsT6+wP%7Dvl4jq;*vwR#eGB(lECO0X ze;e=%<$HUpm97HzV@r?eGfV^E-j~5m!8;50UXO7pjI6p0?%aVspMybMd=G?-{&X;> zTFeU}n*MMf!a&`J0A#8sfcWnvQn7squ;g?i`AiGqC5lV~((hNiMzK+`O>u+bjfz_o zzo7U{MNV8$ANxS!zbYP6{F5U4G_EgV4o8sZ(C{ok_7QZ)*+=4JA_~M*#Ti7%T|*q` zdCf#r3AUlcl|=AwB@V<{=8D|onLs=EEu{}AK0`#6<9$TL=ZJ8BRQZ1(7GbZVB2N<| zU)GxjqCB7-q(3Re;Y1WPo>xTs*@~AdHYv(1B;wDpALBQli14S02nUbrqQ8@vP8ifw z5fT3BO3xw=HGK>D9Bb0wO67|?@M)ONY@>+~6=XRl%{oCulmywPlP*&feF&fE1B(B^ zS>yw273&n2DAp@V`9ZweNds?C>{Q&WDCG(K?Mm-Z+(krs>{i^T_@Lqe#itYxDjrfi zLM+mC9N1XJ<_C~~D?zKK7eD=3F>%q4=ZB|=}T(rrZO-K_K$B9yId zYk5cOQI+E>D^g-kzaCWy|6qdks390h{Rgc_*(IP{y99KGdwOKg=;@Jf_pC@~g{rq* zlIjy(sygjyk--v_U6Trzp#Gdhl1qwY`78!L^O)^T++YcchuC2OQSsH}?DqAI3>= zOJDW9>^87_+zm_llf(GYrNpny%l;(UY+~~kwYA^`NX8v&XV(&Pv-p0L?{mdg*Ur%H ziup0DdZEU^o{x!b?OyLJ?tIAiKSybHO4#~?UnPD?WQLKx#!Bb=@@<7#DWhB)e&YkV z7M1I>p4xP!tPR?~05Pn7;jd`l1za7tYLB>TUj}Ak8(sYkPyS8fY7!og;P>}|tDCH= zW|2}?eYmgAzsXRo&@qwRJ4FYZAij3;dI}S-JFSj;MJl4>POGE9pGWTJBWriH&{K-~ zVDx-m^Q^b#5`lkZ9$0A{S%!chL>VIqs z#1q?A!M_oB@_!ik$2gvV$m4;YA6ozV{sKHK2L1pnT@36u9inzIFv^dxyyKAjpST#v z-s2QUf}cU`&JY5y>eFM7TTwXx*x*vEyj_vZih3%67Fgv&$cq_kvs=1(G8F1 zvP?)Xj-Aw*Pb<$M%nThE$1QU6f= zMo2xJPW(PUaecb_#Gr!kthq4E=d|#Q7`sMl?Rmo4InD`Z_oR8g4^Me=r5X2+XgCXJ zp6v7UlWq6yD()YR2iLh*D@lSALfoZivBT*Z;hL}M$70DxGRPvy5ZZ*9 zLCU0k(}vkiT~1z!EFA}gtQU)Bp58IPt#NIvaJ;RxZ1K7l%g2#L?S;*_@^^i6(`Zr2 z<7C96)vK1btXQ|E+0#XDTGk;+gb5wQ_)<6RbaE>5x_2lL98WVwG)d764~nq`jPi0& zOmr2T*0$#GS%b3>!3)>sd9qGJSZ@D|7RH-4*uv1z-m-cXb`MrpPe_%SQ()IzpSpDT z@YLLv_U6=>^3kJ5mXE!#V$9G~#mI|Bj`60Jqlh;&H!Q=O!w@|7sK!||%^erc8|!8F z%$avaqF+{#f2My{ve-Y{FY(`p$%cH0b+`qlu#%n zkW%jCMw$=;X%bqj*d!!@qy$Kla4oj6t;$x`g|#YMyDMu^QLC-Bb*rToEoxO(v8_sL zRn+R%T9sB*EVcg6GxN?la}LRZ{jIz1{||h5=Do~2*E46%oH;Yb8ShdsY~FbWh=D>z#t0-Jcn~=OcPNpO`(l zaYpYCVA%E+KyQ^%0vf$cDA{^aG}kqz zRzq)^5rWC|(cJ}}osVr3gM3VY+~i{@{8snm?8?zkGqgcxOcSl_Ir*rp6ar2He=>fw zhoCA>+g>I5wGV@DoUvzUgEs%~@qItK3(KAReZQrQ^4I%R1U$Wu#T=i(9xZVFz26U{ ztj`?P=LbC2OyKx_Kb&5+g1~WKim+V2v@sgomm)0ZN}|AV9}3%X9|_xW9|+sU{ij9E zjfd_a%+JM<_x}^@;E+e@@ez4S);Z`tf$#sB0=7B!5q$rj7orENYcG&zsdwX~c`r)B z8t1Q#W9hcwM>*|qJ-}w68BY@RajVcA-`e{!a7@d68Qv#y9UkSWA3yba0&B2o4>JQc zrW7oJQ2yZ2>DtiJ*tW5GO=nwUS6g>SS9@bi=X(9DXC4Fxc$QUzN}ATgN&2jTB+{(j zfnrR;XA^$=#+F1JAvl4EKkO&`JrE#QYY%`N2T^~q;4;Ch1g{fh`BJY-P^~=xeUs3; z1wSMBMZs?g{y^}Tf`1g`{a2+|FjufpkoPOfYXnyds=W@t|CrEfuLIDZC5;;_U(XTw zDuUk({y_AeBjR3*7g7ql?j;q1YVQN+ zHH(~UN2#a2Jw*fENgBwp0Mqk+k*hrqp!cBAhlpr?hXs!iq5pl6^BgYP{i9$SI{O$Z z+Y`(pLVpqwdIdx*_bC!odmcc3mB?2SvHWMXU=tDYts;NBpxW~Q_V$y;J>`JlK_c`I zi{6uhCj@^j$d{X}kI>o*lyejLD93Ih%7-tOnEyM8$k#nYr2i{Kr1KX<#LvE2?fF22 zUEcqQFsTrx6!Q8fpJN9iuWw?$pt6JZz6aQ_6qJ4>=WE2 zxLfcJ!F_@UiOBywf)5BjBzRcxh~QDdV}d7$$n0~1FAAO%JT3UDpjt}-eUIe`=oqrjp0;%qkeaj26CR0 zxKD7u-~mC?9_|zRFcIzIh~QDdV}i#8pA~#g@Oi=0M6{<@1=SiN(CV9Ypy~&JsviK3 z<8=p2399}Vf1-$@4cZ^tRf=?$v^&&yfz*d;e=JYZC=b={kpEiJ$k!?&;-h1`O%sGy zwO5!_sNw@LoHTG05%G)@Oc9}!Czww}ywe0#dJumRX`o6M(y@Rvu$YMSlnbhKB3;W! z168~*uHr@Fls(YO9`djBK-W?q>1!u~?j$0ew+X$Ah;$wh`XCYMJS_APBGUJ)&?ksU z-)W&$J4ZT)vp!*el+`%Ohn&mgifxZYgUwHfLZOqB5!Frp!(oij`w9;JMH5`}y z!uRltI;^)*w^-NP4-wYes2hDOlY0uqTUn_qR8vYz=ewLZAH`#}Yp9x*`2fL7zlB;} z=B=QDMK+VbOuq)K_u`kq(My_>$#5PS>Ga);pOOgusG;#`E9D&0C^;786vwB$8x|9P z0xy0kO~i7Y#EoFZr)nxK!7edal*0jM{4xgOqMY%R`HOPyq-=%`H$3qasRB)nN_>ix zzbJ=WUBqW!(hKL~w~YcVsK z(>R6kE11ba&&TIgBccQ!KjQQ0W$p?{Euh7C?qN6apY$x$&$@{;O3Sqr-FP~+DO7b4 zbG#<_Gg*0q8*nb{6223}`k}Xif%QYnAWJY0d7$I1NS2chIAo>yMW%<-q!3Z zz;+j~k;L{kWnXDgt1rkxV0Y2alxAnndg@?=W4=%yn$`x%&@?73{S3VPsr0FD=PSVW zehF5V+e@pfR7%)2vb%jV4C$1t3Z-O~q(sMktsVDzD{d7Z87e-{@n|p0UCxL&`=a~c zF5nSAqU%EuT_1|5(~jt=XhdYFh%z0I_L3?F96L)JbZousyx)W?KKm>1xbM*BS7(=4 z)T->?D2i&ay$#vlgdO)CnySrivNW9wK112AMy1(w!S5)$UEAzMnbYUJ9e;)~Em#!P z4Mo?@TmshcnP8>gvXw#HuskGk4nQyFaFN^pt@ASf`{OcS=V_S>)JczS#)6E^9W^zD z3qzm(AIEvFxc(^JvaUKLdSPG@4$jz963Ua_;Z7f9Z-#7VTfOShgPs z-V>{=;k|8Hd-KMPZJntFsr;Uf9c`P|=BIF3U$zJv5|&k#EMLB4S!&9R0VHoOcuQ&u z`%UZ*@J@fN&cp4osTogSP`Tn{v75d0g6a$K_5LgESO@dMt;3!ig;VM}^co@JpJ(2= z=Ejb(*WcKoSMcy$xc>GG*z@4q+QuSYPcXmL{qP!}<>FI-srdJjoR&(_>tn z#4{z&*Ve`XZRyoa1KH|(;9Y*c=Qqt?j}y*jF?aKtSDf@>JMcFZ`#k>k#a?Nizeb(; zw`S0Z8?TSQAYbK~{tIH`-=Md0y_y3CjIahhTM=}`+l-+up@-~JY>jY@I;Vd>9~ z50g;+XuV90%qIh@@u|;0?VRBHC1>iOV-d^KxI4pqbvoGm_8r%cY2t~GTvIen_K4tC zl)am}9EHu@>~iT3=4Hr$Lx+rCXx)*$w?RI~_DxD>Yd*kt=LnZ#I5qA)_jycqe1Aze zdtFWe<72mr^)BN&ey2H1^8!CZJblraOaKA%Epv<1ueym$*t!Y_b-m#^l zXH&Pbqn-$Si|k#^dII*q{(=?W0vlT7qI7s$#66a-2tS=7BuHG7AWMtRAai58CLxU6 zpsj}-L{iNh>mDGqZvwnF5>o{7smTqe9ZmlV+_P;C& ziZFWAkH5x*pY$W9x{_e~0=4Xfyt4j|rrBE2-FAaY9M*wQtCWAQk`xDR7-t-HY(Z+P zHnz5HqY9580;q)@=m5*w!wXWVadnUgrfa}G6ilXP(jd>a0o2zZJ*LJZy)bP<8eUEU z=2a@J-x$EDfLgGaq*-NxEv$!8T*YNFKmtxEYANenj}UABx?VH!E?&@srUAw7!Lr)`)?rH>cbvx>3YjbzoY9K?b?rGkzx@UV&Z`+2|ogHgP zt=Zhs+1j|Sr6p*w{cgPw+LR(%n^+m6k_&tzSDs{{)!XY%##xsHuL!lbLVj>wjxFaE zqU5Rbm-89kI9^$5%}0S=NAd=)>*(Ip$~8s7stlBE(9T0_EWJZ_9$}%^(#tInF46<^ zv&q5$N43oAZ|jAkEB>ChUie?NX5&KK7K}6AMPL{|Wqe5G-O4y4n+JydPW33}dG_O0 zk_Ub|ehlK_ryhBL#{Ck)gT@G$#=8`L+g>LwoD_Jp$MX4B@5Cm3pA|h*eh(qBtS94)-cc~@ay|?_rr$WD z_Y*K|y`#{}F-kzA_p0a_(X-GyVF*jcxkHG2_agGk2=V~K@uNMacQAO2*SJHdEcE=o zGcvx@3faR<7sJ!uNc@aFPX3rMG?I zm5&LKn|$ycE8_KC)&-994<<5jjhAR;&)J2_KL*Am@L7(u$9Bs&ZF{TGul0gwoUvzU zgEs$vV$Fu>=X84Pl4E`np|Zp*qUslGP2xeDYkLTIuG$U77a}}1(F{oVvQ+p5J}=8( zwLm==@9=HMJsQH{vm@cN{kF_&(^YTI0}EWk5f0Cq3LMvPg!9i<5jd{l2+O1C8y1n{ zC5PqSh&3EcPmqfVR&1=VC_O$RPdT%}VVi5hk83u{fX2}-*9=g;3_tGSVD6im&UV&_ zoc9Q>$#B%v4fbomDhum43XHZa>hf73YA#3I!Kg62M*~E9WT-s)$v-j@WEtSYFxB=j znXT7L07sAyWGV2yIj>QFy#)BPM9wh?^-2UQ1nUJkE}*1W|~n73K-%3(g@T z-3tVjeb6d?ph`bbr5~u$4QvwqE+X>VE4WjzPjHvuZoxYQ_X!>(qMYs#d_eFa!NY<_ z1dj?H6IA^J{zNg9f221>eWX+68}ZSxd`!7{q@kzE52(UJe>nL-RgMU!$`Ppe=yVhx zc8aJ6dXCs>5PFq_?-hC*5#hPVZEr`*db4rFx%MzGEGR0Pqh4^Id(VjDI)DEA?J3w{ z>UHlKpnMJeY5sUEKe?eC!!M4#NSyz4oYS)Hj?13 z5JF~|7v@9E3-fH{Nk<=sUC?C_txD zFiKYqeiQOAPNe?J_lp8`*>C+68a29CxHR{DXsGUG@VacV5r>!Ww}BP!gDmaR`S4=j zi{G(lj-{vA@x?Ni0ph;`E6r?n@pXpS33i&XK>2V$0FpsN*hI<(9J0m>y%p<*j2meBE5&WaP3t@kZSAoA{J>Kued5w@> zjQ75dzbWqt@F#d5LR=HQGWc^n&dld|p8#i)$9vZ$-dy~h?D4*v@A3WZ z6z^x?T zpFd*Q$AkB2ccgm)5YO#%6T1+zd!Z7?bN9Q64j6Msxj)nLSmGh-k2dmH0u9i?XK^oR zc|7qY%EuacJi$Gc+;Q%2v^*_wl=MaJDMjy38(dZExEH&;t0Vf1#CItk?~YdV$;`xF zCMV_IiC7>X=VYyck69V=5Ab9<8O)+$G6#xzp=K&c@JH!zk)+bO!pSN?ct)$}-#8hR zDJ7OyHm%Hd1sji3+M;X}K{N-xPxv-kLN?k$Hr9%bvDHX(3PC5*a*s2Pt@t~ zd(qw)D*BdN;C0VsJ&m3CjJPrQjCl2$j*Y9KgV#wj@D^zXUOip5anr`O%lJj{ z4E2dIE&Kn&@{S-9Xz1w6+qQJHw9P1Ks9CaX23}Fk+SWY7(lcJCWm9)sW6OqF*u@1o z@W*{E4oXC1RU3PHyS2Qhw-qnz^o}pe=$tkXs4j5Zy1O@b^)`atxDj!Kk4nIu1cuPK zU(0mYRUiLkY!J%J@XmO$1gPG-A>9Lr97OulXiyh*pkQOgWi^uPA*QUkd&)k%npfvOzC-I88O=oU+ z{~t38oT)>e$*wxHo%@sA{Zd+dUi%aGuXrJreB%CZj2g2gal)&jmd(BOw38d`nd1Bk zQ^Y@ZPV_x^i(03#qkYrKo6GJjjXllP1tU)ksov2(@#M{=F`VIWEmj=-xnRY~3*152 z(mOowHl+hIv2KH_A-EdBQ+v7OoX}IxeGfSIrd5x{84zwPRz0Ta5p|k{K3l@X zIY?O=)3l@AtG@e8Y}kqy-ZN}eMF!%CR|FPym?vx2jjoaR3LdZ8fG593^jzI-8`|(f|64XYm@MOcK^ zmY&9j?OkmS$5hL&t}4?M&y}n%E7b&V&h^`SRLYeuEU1}79dl5?Y&moWS<7^+H9FP^ z9n(q260@BNXYelxUt6`Jyiw(=s;suosjROl!v|Q*CzgwB?(Sw`gQr>O$@QTVGptS- z`-!w|ZRBE+U{yqD89`a?%BpgwsvhQ7)|b>Z6iR&XJ5^;^SzsRo(NH!^i&sKZ`)Zfe zRn=BDmaSO1TsS-;SEW(eE6{#_af9Dnf_4$440UUEB&luN*jj(J4qRG+#DprVp{z)Y zN-B`V(#<^;&6_)WHOsGJe61$2V=+w+rg5*Ss0yzQU^zwmuO#2+lEcu z+iRLPHm_6dT66sj1npgAAXKVXH>^O4uP$ru?37Stmurt|?h+Je>A(10Wp>CPli(0jbvXW(0rRY=i z+Y4mHDg*Yrb-H4)iBLmTc;BRkvMY2-OUflot?7@-YD>__E7`_$-)1%p=riVJCK((L#vL9h^Hwc8Gu|mLB zJ!nAcwx#G+UO$bUn_BGUQeB(ZY;4}p))V3NVtf$dHFq~}To+~;j<$a_9I)cz65=Xroq@H@<1V;5JqnnH$ zrx4GjHw`)_47|$T0~W)07e(}*hk&C$?irI6Y7_Ds?<6ttI#pRso>{0w7~#C0*eZjCczxy@kMdc!eo-JjkK(6i%x z9PzR|887D@O}w|mZ5i`4~)H+;J57^hdnbo zrcGn-SMb~RPFnWx0>rmRW41jHFSYEpZ|uDqv3J_C$M@?deSEh~d&Vt*y)KJky!_V6 zP9G08*$*De??U{Hz34isdr(=e#yHT}i>{;E4@0J1VabheZx#ZWFf@~c_jfz!5ocu4 zbyNo}d+bw8`mT)FyB+pwjWM9HR|b!r-}_*%7;@$pOSF7@*GB9;1AEjn&e-GH0NdV4 z80vx&?QwO7Nng9zGi_uH8t1Pm6i0jf^+fbMG}5U?Npz^k^;YQn7?pCfpjT)JAa&^W zh&@fA&Y<^{A%G?cyP;?2qbWbgN1o`h9(fIzJ{MkR4jLW5Yc$SyiKb7dtQdvMbp|l2 zzK3SKIruS7+g@!7>j=Oz&e$`wL7V?Su`UajljR1fzJ_UHUmHWNQovgLw6jZ=`3=@( zX?a4OwG@O;M8dO24jk8Qr2-PZEN7kp0S4^{fCU+vgx0>`~|!uE$L{b{}md&a==Y@M+Fh)8U0auGEbts z)Mu6O7bzO~wdOytwCk*4ELMC)#;>8FYd-^4pA`&KLxWQ_B=sk-I1cgGc%idn4ab12 z3E~7I?j^WA1#7&(FBCb)Thv=3xJ>XW!RrKD1iJ)T4h(mb;BLYFg7*mCFZht)7X*(8 zeqHdGAn*IM`>fzk1fLiDwcshiR|Hw943{Cu`zdLDA4?o1c(Guf;H82Eg0lq|2$l+} zH$2Z^mT$81V1MDkl3DbdfI*Tq=02 zU^5ZjZku2S5q7&p-Y58O!Tm(&9}v7p_>T%66+BKv$NdALe<`T;zCt;xHGn8Tb)FW= zM_n%{hks-{MLz$Xi2QI<1;*FF>mIjb-aBcxKyVHbV??kNe_BKiQq?P(heAZDQ0XHb z${r9=DSn<{zM!&?Knq9%%LOY1YXw#MAYUzXlVBGS`Rf(jDcC2tOK`W~T||__e!+u+ z_Xs{9_>kaX!6SlC5mA1}1y2Y*C-|b^NkP?*j>C9V%3JlLpmT&){V3>hLaTlhbiUAY zh^Qace*(*eR{baFTA@|{33|2As{aIyX5rxIinB0OKPcA}^-zwgUQj*-l73Y`NKY|o z*rVfhoF)jbsyCQWsO-YdaMHk0MA(A~g()J+FHca#2jMi*Kou|IDIyJ2cBTaFNXbDf zIU=p49_R)l;%gVWlZbeC3B8+$c=ro^fQa}G34NG|_Dq*e$*^ptftsY-$*N7CWpkq;>E|IJ=KkhQGzPent6#bhMTFW{KQJeAiD%jORS|#b_ps-{0H-| zj_!)(7U?6#W{*)Z%t`E`<`uMprFgLf7a_&xX!5pLVl1U|HMuL6xRq-2G`TyL7{@s0 zYjRI4aVNtr(BvJl#7C*TP?P&&3HC$rMVh=TmiQdW#hTn7OMHi6i{DFT?tz$-F#-{* zlf*K{)Aw-*1~+WQ$zdfddo0&d!+tMq52RSW$`vYESj~o((~LiQG)?5n#UUN=xXtv& z_O2eX1L!ud0WY?9)sUB!Oj8ZnUn13_sm^TPLflqOwP&9oB@3KdFX%%w$7A5=6-rJ{ zS{|s(h4@3ZY906p=yCY7+)@;jyH_QQ-5R@Wu0hlZ+p81SI^;AX*js?VvAr!radN?X z)+m3cifl1$NMtG+GE_A97Kzrf+_xYy_g)pv0)*_sk4tuSH23Ogw6Wb$V`L~}9v1H@ zV^d(qt;HQ$o1*NiDuB!3oD!|r1k$CWY}rpm-s z*+V4{Q_;qRbX2^PVbR2^>X{6cC4A$?=!U!e91+WL{X)6~aqI%`T1bbf#y-~7slh4` zS1lpEB&>ZH+Pi%1ODye4s0Ft$&`!bjX=s1e*Dmz6N4P&@?&iCYV_<_{0b1anea-oz z**PqiEpxtGGvWvzBRU_;aDjR)-|qD zWBS;X_QT>H-{LjKqDm0?5fhv%aN7bC%Ruw${)um*O-!_oe2vkqlSJvOjB36`_5>qb z^lgs2MQ5=X);Kdc0XILL#Vw%(6@qVqtCm29Y6&Bp39w#oY88@U z4#*43Ln4QZvHvd~kjLR+3$8fFUmJ-s!h6LoEZ@X>0g7MG0eL@0-7Zr5R$t0`72w^N^DOHwc)YMdOt+5&U z+`F|In?nKbH3#Bhi>+$4!qS+0%yn;-*fuL%nxS!(AXD*;>c!O%zEO5_Tb*$kflM2VKCod&DPcRKQ^2Ec~#jGs8L+$*9Rk*P; z9TRbG;KR)icl>#3{5V(A-q;*Jai)X2hn>MbYR=T39Xr#JiT%*B5bN9Btfkr2LnKxw zHm3PZ2hJKSeVBi9$CN$XF{bQH`xuZ?10 zvnsX5Gr%1<1Co?JQ8T*FoJn^p(!LYFyHp;c`TwDn|FP@8f4?~)vcLT6u)2Ot`Tfcd z|H`%-+plfW>$>f|^GrGhEWcB?+;DdJ6~CN5wBPbOb?Xh$@+*Ehb7;TiH!&zZo|qXe zyZ4_?6`XD#UBUA~O{>vsyirtYZiHx;A8B*OC))P)adq~lK3g*=yS_tRSBB2ugdRS6 zntE=%@82KCl^V8W+APmNWvLITEPi?6&>>5QRA-~T%x}*npPyPkQ>|$HmL< zto@!qUD^C#h8+Le=l0BG1f9bH;aJ_rG(5diiM>T#TT5F9PC-@k4~B_utXEAjkkNp( zo;_>Zy36n!*4c*chO-G2_#IRlnjaZK4^}4}^n23By*J>5dcyw_et$hD&U8^_Y08cx z>~nta!kIi7@vE(*bkU;_#62r`K#ndlsKl>Q*6?OaB6_Rr@7oW*Jk+-u>cP+7E=d^)U41GF)`B7de2s(0Z(VrWNF5@REnGvNuqZQU4Wm@F{ObJ; z+iaFG)Unagb5@_HQYG2+_0l zi{ncU+dezS|2N@_P51%qvxAM=_ivt%vEx(%P`;XX~XQ` zb`$1XHy+tB7-jKtU*KOFke_IH%gKc;nX~pdo;|Ce z=AJ9F;k{c;QYmSp=eHjc8mJ8J992{ z+d8NJU4Du5zv(+9t~oQ#c-6Zu!vy{d1bo^s&gjhpV~}A2_h0TfDGcXJ;0LI6M;>rF zekKgO&R_((2u~lz8QD@WY$M|;=e$1r*@j=ArwV89Kw(j%_Lk9v40 zQ0_(OJu7|!?a{5l&yIHv9u)GyW4v5xVdA|Fe%s#TSh9WqJmZYLJ}_*1O|X{&kM@uR z-`>B&Z`>Ei=G z<7GJ?#m~0aiK*@W()T#@Y0dX{Q(Ty-Y8_E7(C_|%g%gzT<2%oyAOrIc3_;b$9>9dz2gJu&5P)9fqKdq z0~&j8is+@Fx4Iua9{*+MV-@nj^p)T@R_uAeu86&z1K8tYDcfG3We=aq_~pU{1^Dk@ zN5^3gs=hP%xGiGuAe2(jD!~uS^?ZB#p@;vzz2Rsi%Rn1v>~S5qoxaB{dlR5cJ?8fd z5qnLrR}8*!#@^>6_MW%wo0x15xsE(=y6Y2J0H^q(Ayu; zTL3-wkH(pD`D{e55_H+kAE_x=OF6dSEb3ghC^z8QMEp*iRwGpy@$}La1F3=#`{vl-s8nOJnhZG&)8F2T$#YYQ&_mP*rJU+E&;RCcdR(Dhg-34 zkM~*=hGu4=G4G*AoRM)Mh;8q9vE%eXhv~ZlKa;+Kh`q;Pk9x)#d($HJR>9CoDAC?r z{EWRtVy{39lws}fTfj4p_V}xc=O6aE{}V|^J%TXbv1o~Cd%EcBi; zLNJ*=x;4h(qAB?9=rqSkVSod(YAix~IruS7 zE4cF_8p)@P5y&hrsKD9$|B3Gst)U_kWtSZDlTc%-AhiiKwtL)XB=BXLcD%-2waVNV zB79aPe3lBo$5+Wx_d=4mtPy^OFQJF~#dAy-xNH?3RUj_gnIb->+;yfKfkN&V9}b_R z!XNata@4g#l4CO$`*zxn`^AUDCnNDE)%R!&!*X!dYWu(f_lpmQAFjfiYll5!y{PN> z?Jswk|B;dKBO~b_=`>4t45twPr9tHI#c?ML$8X;mDIXn?<6^d49J~34!;i6~3_ms^ zAFI+=>1&O3Hi=)CkEt!qjN=RHu>Ff7;V<&P?bYRz?^~va-(7~ok5}P?_A%b!!fZMn zezGRyFTc|aho7LrW2hl+g7Yup)9u^53eZe`rx^~PtHKBMo9ld3!gK8Es;?V`0f>VR zUw@MVp^{$`kw@d79Fa%!mmiTw%Wq0V9<85CBl1fl>6;pnN89hTh&-qn8j9n33+F$2 z{Y{U^r$^$S5s^pxkAjFis2Lr+~J)$aB;u4(7d?1Gura`D!v?_#XC;m z;!czfS{k+D&O+xwAnys!;>X_SYe3^@_j~wJegHq7=kS8iw3I|E|Ed`e%- zp&@j!k`GtkN73HniXQ1q6**g468#6qMwDxMv{NN?O3BAK*9pB^(PN$UA^IX`yU;rR z@ycb`A4xzcQpZtA-9~D&Rdw~CCp`Q}`so=|kE(Vwk z&u2vg}SKoL; zZ=ukY#0o6p5W0z2g!Pqz?L>sXLF9dc?;@5v&ijSFn>Yvg5#+Yl4EKP@9}|2+P<`uN ziuw@xB_eKEzZ3i;5#iZ)F<(Ok#}SM1ye;%n!D|HD1l8URxNci`z2my#Tp6zu)jy!! zzepPGm2*#Qe<>o`(bdFD9ETs&uwK=5jr!V68uh~C16aPth$ts@-6G!^ye^P_-qZO_ z@lhhK^J79kMQo98ts%cd`1^?r;+J?~As$lBTQET$L9Z|hde$XDH`BCXcxMJEZ#fmW7KH>}I7fPypp>OgFb=Ci;31$iA2#yjQ zCzvOgFR1LH{V97u6+f_&a-hmTP~{7_TKG+ZT|~6oUcsG$eS*6LcMIMjxKHpP5$*jR z!3P8%5i%y8lDY zu`l(C1=ToUBFcv}aJ68QV7s6iA3)wK^fp1x&GUNREqI5Z8aIHyU+4pZ_Xyr6c$kRF zJR*2h@R;Cn!Dj`Z6MSCqG!gyBtAc4UO?!elg2M$l$4!0J9|8H9Ap4yn!3BcFf}F#q ze3@W_;3`4Yj}dZGx(wgM5$B`-w$(_7S{C@IJwZ1P=)w5qw;b z-vIKy@T}l-g3k+{6g(yPsvsWBR5*3+87>}m?ir9DQnQ~;3FZrOE|vTu!8w8%Y?t^G z#T@bzjx&mg>n=sab%v@|*9(e3wR$6kDCLZNBdDTP zx=gG3>gSZur-{gK4zD}d8%{*NrwLs^ zM7}G9UPeT|Reu8gPSVJ?>OVm5CS9b@Po}+lNYBCjo(TFV5&7QS+GUO&OVRn~kIgl^ zZG`vSm{};tkEPCi{@7|Z8axsp8F2ZSX~h{HL)}T)!v@E*$7XX^39e0#L$9ABZ@S6ccp%F{CE5j+fC36T@AI3I;w3tE&c?P);z3H-q!DE~}w zGfDuNgBZgtY<>8g_XNSnLn7xJ5XGD?^Y34D=7~9GDdR7py>Ut zbJ3%zqh7&-JB%Mo0>RYD1Mpf~>vO4UKZ{cBMWUf)t!FPV4b~Hf6!jYBH*8&~AD3D; z>#q>*Z|SPNb~pG6mDgX3)87)|U}?2qWLK7z%}eFiZ`$15($>8_b>-Blsbx6cCKViS zGbN>tuyIPz6*$d2)5dYpt2pUpo4T<#^<_1)oQy*({?6`GCX{2bRw;L=j}ONP*W4Sm|_#^*Q_KvIv5k}j%8 z8Qo<3(BYg@4_&Xa2T+donzUE_HTD*PGJXo~!0#|U;*5+v%pk)A9>8-U?-}fp*zd3_ zWxAH)XTrd%#+l!uN1Tye3)=W8%0)ro_TxJv!y{iP-qnbN@i1PZiT8T=8HVkOt_1dG z7$czRS=?9- z1NqJ?3qR%~2S1jvZSO9WGyeO|#OpJ}WAp#^?_gOIf#W+@+r^#1Hi`Tp$GT%t*M&dt zip;Y-arl#-cLnloUJM0yk>CU(3M60j__l=lvjrClmI|&AWILiBralz53U&)_7wi*! zyWl>-4-0-q5Y?x~!N^8?k2OL$_xR-UnQ9>O_cA^ikCxSe%-Zg(@yQSJzvFYr@_%)Fa(?5H&?p91X^Znle&zD_IYRlrIzBo0KQumR!mW^Z z!}A%R6r!gzj$Zzv;}f3Qdp_fne}&%pj8DD^y}$PO1h-Y+Sy}RV^w|8rm+^`2GGwj` zgItc&IEMJU8>4LMU1twc&N)VzGkd04o8w;70|gya$_=jt=j2 zI!1JG;Jdxk>DXWni4&dU!pE~;6yA&V;=o1_&v<{YRR1h_ZlRj8Pl?yscn-C7?PN?u zGW?BUbN!(H?yHzW^@I9MMl(s$FX!D~f&|l!kdtjoCO$MI+m>O|+LXYWo@u)@C?3t2 zYBN}3)9fH*IRAksE&VW-<_-tL{Q$1&9J?E1V}`>(cLRE^_^%+#Fnhl4#MmEma^F;t zIU6^ccrW}J=D_-CFdhF4*coQe*LTAPrsous#Q!jhm?ttltB9 zw|5ZTcCYs>Sl;Y?6Q;L#E}j@~^j?E>r}r=5-{k!moSVHTc=z!(!|$qt<6~Y25+C;t zfS=}VLT=N&TG-FiKwRUz-3WD&$L$g>_P&Tv z8)(;{X99X{*;q3$KGuR%i1MB$_jD2AJFb4l` z4y@;9@b-cACA4oHSU;Kafe)pZZYZwssg>v?#PbzuEXESuoK`a(*B1M5>Hg9Gb7j8oYjfm9YVT(oZ7US@b2)B-JVR zI5da!7(-`h`cgv=()2t-kJj{3LlL{sCE6--j84wp*qXY6H6NGvxmxtBJ}zX2+a9k7!> zqM0Sf6nQX~T*;6X&nWV~Sn^Q@z4AFl9*-q6Nml+^ktbrwuaT_!ts-~DlOtH*OaG+E zJ@Mp|lveZJ7tehto-Ag(%d!-CIG+3gr8OfJ`FuS2ahBThlp;^YlmA8Oib;yxmzM0H zw04Ff_opRC($rN&ihMjR`E!;_-6BQq$w;1I*!t@f*_WBzjr4d8EE(k2OL7}vZzYQ+ zo_m{@e3GWF*3w;GvYX1+XmYogyoQ!nY2`g$@{0`nCM~_gOBS-`uGQo|FL^6s^xmw= zyS(HNX==45_k%%B#j2fXC3NnWSPgI@AAl8u_Y$4hcSq1VK#IG%f-m+WCOns3oL zN+kJ-mAB@diaeM|@)%LCWv^CFB>D2)YyE&Ck0+AbS-))`R^*99GLz)mk1KN5;N*=A zyY2x+?irj+QriAGMLsk*If^CO@t7hH4^HwEEARUMROIu6llQSS)_+ftCkH3_65s25 zR+0O%l3!xMZuq$(_h%(%QM&P$ihMjPSw_p7ey7M|SxJ5z<#oNH$kSQL>1@n5q+tRV zRW>BaPoli;L5e&$BzZfFy=Ryr_hcupVnn^UTKR(HS6Eh?bxHQ+BpVoZi&S?`^4%<) zty;P(C;1VY+NR0fIZ3{o^|oK8E$1YwSU5X$*n4uE^sk^sGuRJhu=7g)4#`&#B>hM9 zDc&y(Pm`y^WF|7GLky))g&1pin!Gej&Lueyv1PG?TMkO~dD3UHjVpS*lios2DyZI- zO-D&)Iq7euq@90MguCfe$$p>D+i!SU<=!y)1w*Dk?uU57@N|eDhsl#+^3P#18wH%f z{yvL6Eo)9Pm7Y%tGm$=%z68YaKcfu28(*fk1%G01TZ7gyeC4c}&Y)HF;x#jmh>v+` zT;?~z9htTkVeuOK610R1c}#qKvFpY!d>nP1wt_w`4u>0{i8e{PC>kvYZ zR)gV>$JN51y<4482azWCHLbV`%2+huobnZ4Gm4|xfk98DYj2k`hL>UNHn$Y2bkp$T z+nt4YY8!hT8H>GxqS)TvahrjB*5@2f?49Fo21##HkDt%l#)RAf@<*tM(1#c~U6WkAyIoV|~(7lS^P6+T|>rC9->7-9llJRbR z40=4l%28`>4#GDNI;v>Wzi)Ds>wbud$#<1v9+XeOm*>)K`gF~$g+B|{{sF;9v#~(H zNRY_U>>7Qt3jy&s=%mln0Xv~oj(}fcz$&I^o=bmhZ|5XsXMwTfj7;NZ2hQDLvuN@;K2_IeMvlidy$z<&evqm$iI=Ic9w z6&7PLBzf+aY2_KMUkNVPjsKQ;_yY(C)&N*SligQn`Nx5c6eI_rJ>}C7V71HG9Dtiq z3-+bo0Y7%jE%3VTSiVY5AH~az9}hr!hFHZYDZ?ZeHdPa5Ox_Dygt-rhV z)?07G{oBn*Wo!j!ZTyUL%ZwPmy$b?7;kxCE%in3#I^rXj#>X$fYD-(`V9cGda9t$& zPhtQ3#ap&)jtvg!ejZ!n^JIfqJjoLZVq5spxLaNQ$#s#^`7tza)kiGoJ;LJ^XKol&{r*2@rdGUAm;B>) z_vCmNyR|#cEAC5Wrzhs<9jDc9;Xigxm@spj&fI`71TM{R{Dr(u>TTeT=md#%|Cmi3dS3S1<#-{GiKKk3ne@d_B9`oFt z-|WGy(txWq*xNiLd9?4rTX1f{wx(_E9`;I)S8y-;3|QgL^R&3;vzae>I2oYtkzoIH zV=G?0!{1%okLN+>-Z)a^OpP6Lf%~pRNX8F7P6co-M=bmyolX&uKk`B2($xM!U}1IP z(kD(k`4dm}bw38YtokxVm!5PKJ*9d|NIt20lBThjKJ_P5Ptf{8UsQdO&`v4kqpL?7 zeMLKuj;Ma}blRNwsXpxIfBM+a>Y+=M?Gq7tOjCAsHvFZhir0*3!cOJJt_p{A$49Yr z26BfJ#S0guR+g7Hmh|>Ex3mvQI6{}Vh3Tq|9lc9x1G%C%wsvj8s)io0O}Mhow&w1t z`>3Jq*t;Gv(0+n^-@Z8|132}EPiMZAqpqp~JFW-xISl4^nAQNWpc>KLw0buNKp%Bf#n+zI>BMVSu5bvmeWbj2X#aFD8* z*h{NoOgVEAlRibaagH~Yv*OCE_Ef4ECZ@ueen?U#x(wH+(x$JdARlp+Hm`b6D0fWp z@JBJs&K*_JUmi0erICg!u|K`^>I^@9X)1l;boD*RI%c1qjgnaL!eg`IFFEz`-yI%y zrYQkV0%fC4l7Rn}_9sUDar@9NoOlw8SMzKEU5~m@^mC-nC+jjRV(&(@_OUqKQO)xj zR=hB*-md|_&QIRV>o!q27_E!FC)+PuN%!?@#yWa(OannUW_Co+IZpoOM0`*Dt@G^8q+R^m@W^df@eh<807* zgyYutdhM(8R(1Zt>&+kMJI*&gP6|QS1Ng3=vR7&2gwFZu;*kitIv?#ioPQf)L<7Z< zr*+ROJ$4+me?c4)PMzJr`6c9u#o)Vs(sowcJI^v!;iTQLdVM!g9OtR4>Z2Ie&Z9n5 z8h9Ut?s=tw_ptNT&HoE@rEbra2Kzctb;L=p*HcHuI^4P1on5yoK54J#)h6T`Jy)Lo zk~;WH>h>?uRcScS`m*al^+nPq&Z{g_y4?LT`2%OBz;m335uTIX9PVT2jNM^c)~k8z zl`9&qtgLUWY~I+~iIaL>5FHNj)wFc*e4u8aN$SS~rxugCB=ysQnqc-x^Kjt&rzj!L zCADfEm^#-qcl1^*cd{^HYRDBU8=Q$k%X5S?$EK;U+zL>!36B~py2)-AwmEp!PKi?^<|}+;Jde#YU&OXi>(`)9lp2Hj7m)7fpG;UYBj^*TZ@kF8`gF< zuj^^-b!sTV%PP7wzn%I`*Z<~;2?h3-)HYZj ztA;)S3C(;soUZVVqk9aQsKN;@CJQR3RX7+V&kxR4uQ&%BiYHQC8KL z$N?+<=ce|jrGdS&hPq`=ePtOAe>wYe+2DkoAVo+(NqKqQlKOi8*qvFvugLdZ?)zr@ zzAK!SC3OwDB#r604yv-Mwz9Eo#mePI)?kVoxo9ZR0sMTJI^Z2(UDsMvTes8Jg|3BF zr;1h2YdMvuHk>rW<`v`@mBh1W#Qd=d`H1KtoxP zRw}7L0hVs=sc7EZ*{fN8Z)vhxSm2jLVQ^+q;mm*=6l7sgl7&H076xTmctsFwPT-wu zQi|jRY36}VEH-t6Wx0t+S`9LcE!m`;8|s!{sWX79vqbx9+BR(J-d@wZv3XtF1|FlV z3RTLUhOHZ!sUFWj|n!%si|SvSFv%H zRPp$vau#!0$#O|+kV950t`ieC~K3r%j(uPB{*zj^7D>p>CXvWpA`%POu^r6%K^ z`g+qU_0Y*~SW=K^SV771LayK5)6mhfUgxWfiEk)7EA6KjTLR@Upga5z&;6p|U5 zdUd*byYcR;r`M?}DOKfBsAE`CVXW6)Q=?hdowurt%|It!_p#Y~!0(f9XRSVNhlP>p38H?Bb>tsOnQVpS~YPL|YNy`&t~CO%vh z;=@HDz9N%)6n8jw6rp{;Q0?3w_p$u^sbqg^0l$A%ALR!*gDDdY8w@H@Wc8?u&@ode zZOK(*V|h)fY)!x~{;H50YwXleHcM9qlc{}7Q)H+YB!PvCdQgKe*<=y@dPCV99k!n> z5F~6xeT`}@XAOuMU3mN&jtyCBD4VIxvt8?9o+&XZ~e~$|F6~l&jFQOv*7Dmyj%fl!K}ubVj8*eSXEUq;=g!hSx#F;d>`L z{y>IOHdnZL@L0ZSBR8sKv&3VBj_#WB2JJD!gl=4Tc>j$8o2><6Qr}5e)=EJw3F_Lu zeiOtupKDs8YB}z_SI;JwI^*ekf@AcRe(OnZN_Hvj-F+$ zABj}0OD<3`lH>YXa}SZ>lixX1EMHmUKZhtY>akEB5bE@`U+Cbf4j=i})!nhFyQ6nI z%KC~>S)nNp_Ap8;*->L+PQe9o9ULF)aNh*`6QJmhpMbmf3N(i)^4c`7Ab2*xG)=+P3)| z$gtV^+_ZD%XE^kYGiCTrcSz^HyE6FiWOh7ue(ike@(H&`J6y_2-(T@- z@b2K;F|}t6_Q~m;9=Sv7L*<EP}J$%q>K9Dq7pH&U*MfJCwQcOkdN}Gu=G-C_>+h zgAmfi>Vd7rmJV6w{44=_cJ-hu$IR(1c~ll@N}i{}`Z6jGGi6S&AErMu7?z<^#RG7d zC$ofSGx_9V@@vh58CEb(4F@%M_kOs_BCFQVYvk!Z9OkTXRahJzc7|no(lN~AWFwEi zv*TA2kYSd-=Z1OV!CY7-W8Jz{XPH(@EHE({)sKvy!eZ;$E-0qR*j0s)EuA*CX#1MP zj!qjfo!JxN$u3X9Rn?3mqSuAh4Cg$yg0kXY%h^-(0q5)fH|@Rf?|SA|B^^_v%0>5v z`VMqBt8bjqi|!3obd7`8$c}S06fryNq~Yh`w;Vqc23}_mzUO@xh2o5CDQGMv_T8yw zef|yYaSUMWnLVWVj*o5}I(XhAj5DS;fkE3wuNHc%j1ti3-5$|92t6}LOLe374*2c# zJ-0^ZkLkk{v!A|yh2M_%DcHk*-x+&{!LjvTgi?R`I0QXA-WL%stAp|4m4YAdcj0Gw ztJTWD)aW4XApLy&6#nzkdl`Cm`e@I-FPeP(8hUp6UbV`FA5Tz^@o}#~+uj1$>#tnW zp=8_R9)=9h{PMnQ>`jc=Yk<91AveyX@8XEPEZF1Nf%Zn?XY6rb4*Zvw8TgQ53_f!J zZJe>EK7$3*w5tMK(CtPEX!Pbr;$47vQ;;)WB-M|%PU1E8_Q75r{F=6a#|O$cL>5-V@&i&a!+r&oI5Rh7l|J2@w*ZH_w$>DM!+$xaVCA+BKCG#_Qpfm zq;Fru-Zt3luU&DEVLN@hVb8RK36?$XA&UQg`tE?S{;pqs+hW_h!?Kra+52L|-r)i4 zJrc2Zmt}8~Wlw#D2|A7+-HWhi%8az}{~Y$1zjNtv4`lrJ^Gkchpp7&3PDj#r0O?CX ziS2I+ex^Q>v9P^qsC-@@#uY!Im;gR+%fjv7O{5{ z_8MqFoJrqXBlcc|y%cm01DMydN=r3-O~K)0-9#Umtr0u*VD6w%1_UTWs0m z-rM%|dkpsSAveyXZ&buyC+wN>D}k~}-~5QZ)38@*jENq}DwGn&8 zDcw#XQqH7rgXkH4QwrxaaNsA7d8F%z#Csd!?ZeHE|hhLH#XS~}Y z_R`h|<-&X$d;H+egrSY8`B<4^i~)^ocf?+nWshT0WA8x3-f`ITj1kM;{)oNduy-1A z=69K8?>{2;PE65yP*Kj9{!GMP7H+;LAf!ExtxfvAEcVQ`bNo`AKaEC6OOH7 zkTX0k0da$kM`0YP4Tm41`c#G=iC;|RCJ55sd6{hA)aSh=h9@1y7mVxpLggC5KEcfKX{n|vL% z#=!M=o@F>a69Q%U`a8dp`gy)quJ5CV=T(N=<0Ms{fj&p|5msI&g8EPa96p45gp) zRX9QpTpT@pSiex|2YI&d1WeDYi2f|4AG8mSa0AElDZ}v7$MY-0`d29Z zCSQdk)WGq4%dq}jr5}_(N1%a=JM$y@^Ob(kJ~+Y*9M8K9+h3^k&AnHTAZ`7{5&gvx z{l$SYd~qB~5Vl{U^i#f8iSMH)?vzFJ%ar~CU#rabY5gS;{Uu62sNW?KeU8)u7Y~gv z8UNBi2wz-{bOKgfjZ|#9R>jBrvvwQ-1TOBMF06TRb)upzuX8pAr0U&o5jqVx6#sSs zdH-OZlKA&tq1neJ(K8SWgeKUtCDDIyzJs(*f1&d&p?Qx<;`)DD z=wd}*?)+3}T^?7cv*H-ONXh3qzZbcVf4<{kOh$RJk}q^dT4OYp-`J3Rv18^Lboxr1 zMIzVfFLQY2B<-b?J+x)Ef|&5JVi?#vKpy)`oe^RCRiChKoelB{6i zss^(%-mC_YH)MSIrQVBmZD=uzqPp6;JG$B%TRPV}7I$+Oma(;TZo=w%a(lLR^tQA& zVqbbJ{_5S-NU@0Y5>qWw%U9&>S_c+8Z0udDSn_VoM9(Fnl9DC#X-)ejubkFS#d2zvH4$zu7WAm3mn@0%7>w zl~$6Ef1R$)y*)}@USi>8l~#znq9W6JF-0=+N-6{G%skZi1dj-QUGSLTcLkpn z{E6W6f}HnfI@u2rUlHU*M><0=OE4+Oevop`%MyX$yI!(3}rv z_y$34$Vj?LaIIjcV7K5l!J7nc6TDq;kKp?R?-KlwAp1qe^C`gx1V1l$Snw-?>P#!> zbC)HCV?Rm!wcwuwxp@-#;{>M(E)ZNMxLUANaHrr01V14-HLmRy3$764wuOv`^Ebqg z2|gtFRl)BH{!H+cU>r9XhFdJST<|S|oq{I>)t+I{zad?RyIJrb1@98PSMU+RZwNju z_`Kk&f(cx3Ob0*cB3>d`Bv>j~D|nq?r{E63U4rTiR@mW|bhP)N;1R(m1%D#=lAwcY znfez9a!WJPmkBNqtQNdhkb5LiZ=2vd1>Yz5VZjFk9}|2+@W+BD1^+C_-JodqBEe~b z^97d*zDclMkY9*0+&cu{E66Q`$^VStmju5pctY?ug4~>#`a>`!K^!MIRdAkQmEbDD zb%I+2-!6EE;N61v3(mom1MQayzFBaM;0=OX1p5SU7raC8E+W#rU+@6&2Fw+T{1L$~ z6SExWYl8ntgx+^W{xiYf334;J7zQqBf*uk2Ly1UtuJE~mIQ3=<&K6umgkBYKIQHlg zyov}r*NVJDu#0#hHjEbBMugtmME)M(zhCe}f*%pQmx%a2OH4V==LNq&gq^R7{Ck2w zB<4EKPXvEXgx-rH{{!(7%;5_DS>(fqfRA{_5~pHQXu(_}!cPN_PboBdz%ZSjc z6Zy5oLdUsIaE-{Jdu|Yuf$xr;8Ky-ihMN@k6%rK zts=i($gQ`9BiZIL=3{QosRQfq3pGO%j+*pJ&Cy9UL)c<;ug2OUM?fzy5PG4wtqD)K|AjujrROcM6}zxiM80ipNMw& zpG35;pAgZ0{zOE3NOHV^dgs36tltGh)MFhH^|h9Wdf7=tx#x4diWddbh$HoLFlo*Y zu$+-)g(rx8a(46E@ZOO0E$HWnx9T-YF|_Sdq!W71m1obcB0WXUe0{r|^*UPYgFlXP z@XJN-|0(Z#;IpdE`|o|>gIMJb_Ev^)8MN3=OvPzw`w$;g2+S!i%hSPRdZJV`hbZerP`ul#L^PGFn zdkOyAY}e24eDdadp7T8CIe+iD_xx{Ex;8LWVz_8Q902IJsnC*{6JSJZR01jjn5}g4$42ByN!>?!Cx)q zkuNl?k154M#bQOt7x2rK7CoRP9zcmVJc(Xl1Lc5?iYPb}3t!-0<|{g~n*#lwn66ptzn5b?YnQ+!47xZ(-LHx*@XGvot(PNkof zMgEWmN8HV6`e|tY`sohbuDC;Sm*Q^4y^8x3 zd2B7)O|GjT9#TB4ctnxwDaaonqJ2H4_=@6j#S@BeD)OjXw!_7WTz^2C>lKKViachV zbPW;h^$f)ZMQP9B&UFOj^8j#SClT#>w_=ZCpCae~$?sR(Nksd@ma;^6kj6Zee#OpYl{jei>{FEY7UcVt=1IGJ@9k2&PjRo}e#HZdj}!5JJf!%P;t|DX z6`xaliMR^+qxhQQ2}LgQB_C&63i8ZezHiGEvx=39;}xqEYl+>+7sZ8&EsDz&S19s~ zU%tP46gMm0q_|Cy_X|*Nr{XTfeMG$X_bVP$d_?ha#Y2ku9^~;aj(8==d#Q|w_X(Oz zc~6Wbmg@Tu?QjigFl&hw`rbjihbk)V-ZCQEuMQ&GBV>iNFFi!G51Wa2j{Avtj<*vV zkS-#gr`<$6FMEl2PWBU_{2&qa^)Vvq-610C%~M3wm!m|~gXf4SzX4*Ge%Bxp$4Mjq zP7sk_H12yiAdPh6Pq3JXe@MDu84*OeVwQ;fs8k$FgyVR{DkAa+O{8EA5&1Mjv6hH- zA4wN%BqHAyDz*@je{G7(h){;43U&~YpPh&+&B~YeKgwC&^We*Seg)#C{DVZ6v(iryS0SI2miIWy zS>D(1?VPntYcFDrfr_W*tX@jw@rbp>CR zSe0;*=MVSKFB*|a7M)Wx+8E(mPR-LJBWG4!)1Cjg_x?H}7E~&h`=sBM*Ev!$8RKeen2=YG6svi{m3=mye zHw?VIYay4P%At#|@rDXAUqEt`7aOWD^C|Ksd`|ooXZ}0^YNDY^GXb?!8LBK(K!1~rzw*q>l)S`H z*$h8klamcqnPC%^tTxox%mGqUWVoVYJZc!IsfJgZxjzBwQWHW$=27x$crikvqA|l< zOkQRr7iPXn$!Uga$#Ahja=M|~GQUr1hM|^azE8=S+*XySSdqbKke8fAUb5ntpShjP z*$gmQ@sgi;ik8|R(XrwcKeL`>oe}O&WIjvxIfmSp$ZTOE>kYX*k@*aBuECHy5}8*i zJlBvr6PX*xooC2hi41pxBzVJ4X z*W&P$_4PIDi@iJl>rE2Y#-Sf4_4vI)t@nocm%--0=u;^TLmt5I%23Y_tR5DC|HHjt zGB1jlf*)yKU`0wJ;{wBQXc(a~xcw;<4VO$!_^N5R#3AXMNc6z-2r3<{FU1aFF1~ zq36+|XNg9IzZW8i$8d>9r5{CPI(5}Yy2e9rJ9HK2*@)CzU0#VVOHG#Ieg&9X{P+sL zl9J=8W~oZ9AI_mekS6c18NnIYbrAFQ7L5SMDT{;D$q`-wb(HuYp|4J(oi%|k{?CN* z=(6!BUG4d6RCh13qXuIBCSMW-U-G?Mh1`E8^t#D);WZ;GVL;G>&mg~s0G{7s>B ziO*Km$JH{_I}*RWken7u-fAU>OU=Jk^R)+QxtVFXGxGQxJobhj@67dhXXvrmznvl8 z6BWo<&>RVPAoP5X_3WMT2DGMjr5*wgCpcdP(KF}6DesoMsKw9a=dipdA(!w*A!UQz zWMWPL`Co8JiF5ggdtHgS+@xv4>h+sCyF760ohJH~g(~9QD}tmtSBc1HSU11goZC$B z=wJ~}*T#*TdivUN2}%0~+?!%zwOH9%Qr8-9V<;x z$|`ZU@2{P;VquQkgM+x?-knXndBe}ouF1ckE_vfJL*yqjYm!?QjLA{(Bj3k8CnnJ5 z=H;`OH!rNMLWejC_Ey~Q5viL!D@WhV9MOG=w^Q~F-0yLHEP(GO{IwE=8Tr@GObm5` z{uiq+o4;~PcCPZpXm5% z$Ci%i9Vc;e_yOD&akBes-OD?^-i;d+dU5{v)3jls-nfFNr*&`be)!}seu4ABOHOu= zTm{bYqGZvy)KM#4M%(c!ocEq@rC+6F;Q-z7B#tXM8fkeZL0)v4xxJ4fGw)>2$;}@0 zZbh7G5vSw;ZT+x0w`V*#xO{OapFKtXHk`$Nq9ZeJOUKrmx8ij5VNZKcp6tGA9a4z2 z{_1EE!htKoP5%7Xlec!@{p9eEAKh}t$?oS@(lv^;hj7rGoG1k1jC9<7ksZZ*2!;<>$+SwAsbsnE{ejEnZi*;Go9@fx zg!mGqVJp)hw+Q^|=8z>l? zuk^2Zs`WyAuFQ3dYNGXL)8Xc-%Nxx7GTiYpt$WX)_1f^BAC#9{<}A$@a!OOqn&Mi& zrj4B|=dD|h)>+cX#`rDHtCw@7cZ$rrKWm&Hp*a7#SOU_2Zxf!J+58^-Nj&-8_b$)d z7JvNYdzbzso*Le}N#B1fJbq5TgZwPS>(|3a3}inf;nf;{{5T8EJ1K`6NWX*dQcif1 zFXiviA0zl)!sEB(Z_*#XBHi$0%_iJDk2j1D!MBik+Ul>{ux8!bO*rIkW$1<{uni}& z^j^EJ509WZmatR0Y2g#9%Y;KFdt-(vr(b*QXF2qoO>5TRVP>z%xGw2h*(rUWh*TN& zX^dl2Zvzevt-F5Zy7hA2D|;x0liNh_MtS4jvZ=4Wd*w#yLs%V4HZ!anrE_51uzDCP zl>0XIN*BPm>Dh~Y@~v;28qA@UO?~niA9|Gln2#8sL=Mw1N2`GL_-*#_XrD9{hhXy? z9dGb#7eQXG;z05eSZ(iEJVX}x^ptwPRY5HdWNBG-`JM1%@p1sXCJ;5k8SGdbh6z& z9WV4ZNO*7Mk8QRaPuo_*S=#ZsqC$I)<+fz(3`c$gxqjXBQ_tymlsg@d?}Ycl<2%Il z_kN$t7_Y>7Zk@D2gMY`wB;qZG%QlVJDK7f>x$e@y#vKNcJLRd|c%8Hw&N+NG>P{!f zRcxO?*DY%9UDxP4$8`-~ZLVYVn7gh}AYVIrROAHPnopQIX-buQ${(6im;B#;5a2ne zqa5I6|AWTNp&C2?o3A?08wES%@NFw5E=L~C82keK-cF9sxb*|8gj?r0SnI5q+$J#i zjcJXQn+As=))9E*LJZL3^Kl&P_$K!FCCPX##?ShJ)ms9`Z`edSJbux-`gWj!+zdDB zE5Og{TMj$**(jA^>dt;E!dc{qZ0}sT{gA67Lyi5?{U~Tx?zz**eJLi#eI3iJGN9G> zjhI{q!$8cE>=En4mEsFXEV2AO$GA76U4etBMri1&O@fX&E zn;_@Dd#v1TF*)vY7);-unB2kB$Q_Ky9f90fCP0l1m+MUM7e3FgLC%hwQPj%)Q03IS z7hq<%(LT&@qz;Lom z>hn=itRL!l5UVm4)1t=mxS!FD-z$#3^B`;Woe|S_9Qxen*XkP%Ial9n(AN(=jNd3n zAJ^kpKXCT?k;(nEsIk1tn7+QrX3ZP*Rp4jiH&yk8Z3Q;+J{&6#>a2^&J&ejnUDi;B zzXgzk_pk~00pzfpDKs{If1vs-qxfvICfd@BAI);ex%twG7sGM5Gi=sFn~veIJJI%@ z!bjCL@N10?m*_ZqGb%l=14`I-OvR7-it%HZuD<&a*hH%YJjV(uGOqh?<2)rduX1ya z=}G70C6*aQ5r>WEA?5nd#Q23VJ{oby@I0hk|7b)V!}E`F`Di2@lk|qg_*^y;8J>TX z>z^eR8J>5P8za_=$ndm8^Ulod!AO^;;?efFX8soRdB8C^0lKbXheB<;5u6OXqNDTN)?Zl6zcQKIk1fkPjQx2W+Rw>QCAxn3I z(mg^C_4<`I{37pspHulL7l@52<&Xtjmx>bgbI#h-Z zyHbXXI#Hpx87$^^GUmFa-fQVs!6O zlye?H?@*fGcjU{uVBn)l%X(nYa!vzqK;7k>2GDX&15nlj1JA+Bl6o#uyiBoC@e0K? ziklR-DSk}xK}9ZDq`qG&%6>`ET)ag0jH2wH46x*eG;kylg;k;aNs6--7b$Wr9ravI z#OrN?;zlC;Z&m&sil0#2ODx2?ZbjL51Nkp0|4GHaSCn%j@cMe5H1LN+$p2LNuPe$q z5{SF=obWeM`7;%p6|YiUODw@RjpB7g_}i@f+ZA^!?jz#$B>Q84 zvOfm$UsnEM#b*?cDIO={wIussfU*w;{(L-BjDLyZNFo}sa}`GuAvaF>(-fN&+lUvT zzA7#ITF%A(1Jb~LBK+T>{Ld-=1u=_#v5H?OLhi8gzpMC9#L=Gj&x-#_gxssjPoiOv z`bDh7zHG%2M97sZf1KhB#RlR9=%XkuBtm{E5&6-nxJmJ5A{y`86z?EH{-er&P*Kj^ zfd9W%`l#XrK98vHTyn_z+es`$ITKOOxZIWX=WmFp53dqYzNLJgP+sgGuw3R4k*}RZ zEA>80$rDn_@YVl5_m~f6H!ilpOKzHoQ;1(#0%9!5K$6DR0R2cBcJa* zB7zWvYC+M5^oTxS1Koj*iY`a0$f3NV25J2;(EnCMX5KC+fEwwL*5U-T}tm(+^e`xQ5M)k?x50#h^SYG6^|$$ zReVnIMa5%^FDafNqCUQlOPHW#0;$w=BD;`#SN|8%c`MkZT zcuesn#p8;vDZZ)bC5=C>Qf0d$`(S|ON@o?vDsrtb8i}YMEkx9dWki%$2QiCPzr@jIAb|O{nKaVhPo#2U5Va?; zN_$YKh#(~%2uiSwi08LlF-wFHR0~oi+r#mSRYZ8MR+RW7zB5PzYl(<=gJL5Q@n5JY z>B{1HBMp@FAw4Td13QQayi>88i1e*j>>(mjeTs;Rm%tc|q$AMw7PQ0<`7YstmT(I- z|3HgAqQA>*UZqqIMa59uu8 zqkL(1kX~tD7$3SL-P@Ee?F!N@?FabMejwd9bh^8-ve`+KCr`PormCjuvTU|0OJn{| zs;Zhgb!s*{<0t~@mm9& z4a)dkt$ralopRiFV_FXe$=hR$+%;Bis6U@_y*`7XDaUU=ejf{Edm~v?0nh(XC_4k> z0DezW_OS3wZ2P>TgCMbu;P1e~HiEgJhJ6qJ@@~0-a(*s9hvoed{3N^&@V|euh%3Wc zj2<1bj>Z+pugtLIUO&#V5H*IqG2-%W@#Y(|zmHkKrHWJ#J5(pW>(hgIa# zWRQ*qrr0p3OAAh~y{;1q(UHe5AvRv?!N=XMXJU&GF0x`1#%7Vm#FMrZ|A}E9(^zC4 z&{(7&*H}4=R?ea;&q!k>mAkGeHyxcfEaLh+I%266!R89>Hb>Ntu5dFe+vtYHYT+s@ zS=dEK&E-?qXoU^gMAIq^vN|khl~(6Q(anaMQ?PA?=*Awwu)ta~Bv5uY2-&^rmCtZK< z_xdHL9-5^$bg(r$aa}&KU8uY`f6!WV|o7p+ST_g^i{!)@k7!>eR=R;{lM9)LM5S` zHI~PZcUNBu{qhzFQ6H?Kz7eX=>ga&JPg*6Aqm{pNV{-qA%o+!GYpfjCETO9RSog7r z1cshNLphr1s?Sgu>ww&SmH^uNaw+88bhJ6?V4GsgZ8+?SGW5~i!K2ZhRU6KkwKOIvi^e;aEu*k(k=%{k^zTH2I|pN_1saZN_9 ze{*M5^lmnTA=Xn8Z}?n!3??~-Ycz8C<_;_No{c$m&4%R=xdy{ETvOp1u90vJ*F3m} zYZ#cqNDFIBWKLa!aOxTc#*k$?5mQCO1brly^1$0?pFM);v~DG*E3R%_qGuC z5|ODg&IsJEa$i#ZA;o7D2NY#~8~$Zp9qF7wcf@}&5%KCEBHWEc78=tHIkr7ShzPRY zl4hMFvQ7~z6~`*p5TT!SjM$*qsMw;|rno|}L$Qa5K>HMLQtVgUuDC;Sm!gzA{Ou== z^c+xpMDa1jLyCtLk0?t0fWHCK$cJN!uP7c@JfZleVoLKZP?YBY|KeCo`9Sjz`I04# z_>Lta+$!QA`42CWj}R3UJs?U+1Ivi;U#^%XLXVUSP~_0mh#ZtjIH0R3hj=U`f^H!~ zU$@fhiO{=E>Fq@5>%9>ho378Xr2rn_ZYJA~~ke90`U`KzEQkIwx#c?wELS ze8hWre8juNbzs?e^Ha!lARb45A}l^{J$lHvh_n5NA@F8aKtGUqj6~kW;9v;+SvV$- zkYk6yE9kO;E_Mh!0ev_XtdMR&!4-gL2>b?6&Jg%2XuTi5;QvU#1*9Lcbjll2oV@Tj z$n+un3x~+l9McYm$kPo7E*v6HPbYQposdsd6ndGtYgT*72~;V%Gw>;4CA`97KXoA& z(WK`vhIaft&H2id9e+>r&6u*|@976gT`VhaDhktlQ=}%`hX09*;xyx#nrNuf^fv0O zGSMhY-%j2n!z)k!l+-1L%BFe#RBE!3tW5us{;CacZ2ABtr^rlZ#rX6{@}?SIRr*o# zE|pb371im_lUHMSHR)37yez;cUZP?~nk$A<(_|e`MQ!@q^f&!1;Vn$FwMoq|{yNg~ zZ9mgco#}6qH_ODVJ3XG%Y(uS2e}Y{Q#BDrBWVWmgYM&HIIiq@%XYdyK$-cMz}wbeuHGAA-5&cchhf^@w+{d zW@=Ll3>RgX|1+dI?@!<*|5u?c2^r%y)Y|e+QiPMhd8~+1OBh+HC1-p2Q^^^HfBCgm zCew25YA@Byx-_IL@4`Qad-@3wd0`!@fTp~#4t<2wc*ZPI5v13Vy4X;K=}Sq4b!Z!7 zI??2Dsh9jb$*LCUAL>7h0DR6Znw65op?)3y`&WtMPW&GavPh;zAF%#q!-vcs#SE>vwolP13Q+O&kj}pG0%P%3zzeremI+mR0@7)Ub!KPF& z7-T4%M0;QE3Ot%co*eRGQzp8oBT0LYb{~CMLQTH~Pg~{e$J=0q3Aw^eP z%etBPO7z3YoEK2rg3)UipSy7M+T`#V$v@1_N~S-R+?*Y~cJ!_1SFBw)>!V^@yXoTY zPoF!hV(s215+fY{`+R?4bMuw<52rz4avkdN%*@0bkoVBIW}MBlYGlVniWfGYb9M4K zPeK~<^aagh7K~iwJz3d&?*@C?&Zy=JaXXK0uk}ynDMIDVTX7k97U|@Rl$nFvIKqvQ<)xgDja=}2gqjPd|HFT8^OlqDC zoDk|vLT3P-!v@Bwz6(X)7)M{F=o_V}OpH7G>2sU+Ts^uu@p3YWv#RQoKlhX8EGX$t zoJ_uYHe}1-_l)LyQLevE;ykNYOW~Fr7}0ztLiU~v{0?`buM>I{#{7cU$?PKP9j*^gMGkVaP98-B>X~jtbwD5ocVk^%{XkFR@+ak=#In!HoyJ9KM zYL{;Xw+4C_MKWJ``~7cjo)9vfpXRyg4|9^04?tc*xhgk5bj>MF0lW1BtM@DDtD;4X z<-G>l)wdJ+vT$R1IR|3(aTvn-fwOlZ3L#628p|ty#npEZ`WPwU( zw&=S5Hs(y350PaIz8e9|JredMm;zHrxYpEotIe2rlZicC^wL0o!d zM8L*5R;!WqWH@RG-&aiTv-p)De=kv*Z7KIrH!8hP_()&;JFv2o6R7de3+JOE#yV|Z z!?fjCv@7j0_bM>0M43Ycjv|fs+WADhKgKJ6vf?zwI>mX4t%}PO*}hWmdc|JFn-w2Z z{FWl~hVnmFJOg<{nsW(6nfnBC6*}ofiX7@BEpwef&L)tSxlJG!l9GNv@gYTy&eHvF z6~C{@QBAtPu9(DgPnu_l5YJP*Sdo(pbZ=6WJ-eX0lY{A23> z--wt5_?hA_)c>2x&!CbsT-kdI9H;bUit~tQG+Eaf51u`PbJ)F+Ods?fVR?hk_JZzw z+K5@jO2y6e$Htd5^gyj(p(3AGx{Ds5=mARog}y5Cfi;RV6dM%z43fW$h{RSl z>{0Afyh*WNk>5%xJ2yKF^RJv^g#a>n@Yz*o_LN0b zVs{*k2xn+5XLm@J@A^8r}*-#=#t7SrVqYn6D`+@OTpF@h#>EA zx&;M~fFDi6{tKwQdmxv;%Kj_33-Yfex10wER#4lAXyulq8Kn9Rl?r|zuF0DX6$EUO zleZYEFqn_zByTlTac~5>liLhc8l)+Co1w~hT9udlBSV!3OGw>rsBG{$sqKcU4EB?{ z!%$;`3#jEzLyZq^B=yIJstN`uxx-M^0jC<1cNwZCxP{c+hMEy{GDROTRBf<`Df+0P z8UjvcX7eB2N2VnAOqhJ4l!R#4Sf4EdZN@Qs&z%#bho!HW#{tA-r#1CE#{ zzh=l|e!ztV$*&voB|pfcs>coaiXUueik>j!ao-z~N{*%Xzq}vOOYcZs;%|g}CJP;@ zYJVpj@hE2QBRR$2BV;P`UHC{%RWiu*L1pSvB?}8%F({g^mdtmkd7l5bLUv^8 z$(`@ZQ5F?_nE}SRQ36Uf4k){B;h2z zyhq7-z;YOu0wPw(C{r}$_Fdq79RJ`y+lB!8YtPRA`V#H}6Ey3fW;@6VnogLDCI_c61_ zR%%-J2ylP#%n0Zkv0!U=#qo~%isK(nehiwggMH7OA>ZH9Jrpu|&t;m^3qIOC=eW0I zPe;0W_k8~T>!+v9{D1cbe*NoVSeoHhB^dC&BvK8&_`VT;H|P`v5#NY`XT^8$*{g9$ST67oJkoT0f_~ z?ZzGkq03Um#*8q=w!KWAYX)=gS+k+7{?af#Anm;0B-gR6zQ!dn-CE_+OWN9UNS&;` z#C3!pN9FZlFjdZka!=$u#dCUs&AWHgv7pw005d zjgx@3`Y4)BoOg#@+Z9bwZnt-B?85Fqt~-dPmpQB4gfqyUJi7!LxMsuB%iHERw&nzI zMUztukl@kfkxMwuP0u`)&&I8t+m}~gRbhdsl)U#+2OFQ>5G%=bJ%DlrVZ@iu-p7H`@v~TQfTetd}P~9L?_a?+B zb)egpES?{F$(bzwATOA(jg0Zx5K4lsYlsvzNO_{FBJY}9p_VHag=C`&HMkYMy?4#J z&0U?|lDVyOmwa$;LzPsBR<{DI*|2y~j?y-#-H>9;DZyCt$22-^Mtkp;u8r3*5UI1# zBO!7*Z4Kw~Z9T|suu+;zPHC~B0F^IN*xqGBYOAjf71c>5v~FA-Mj@(1(6FfM+C?ij zpaBUzz_FpOK8nfvwrl|bwYEm#w0HG&Z8pzCi_>yIs;z#i8#~FzDC6ck_k*AK$8XVjiza68oFAb64UQm2Ug>$8gVYhx@^=?IEyB!B>T>ze|ukQl% zdf`TW44$80Oy?iqM?cbC0lorHuK}&GpK8nD;Og53eS6`N`si-;T?0Gy+42~`c&h!F zgR{sH*W%~us~qcjwQ!?8OtXjjJ`B67Z#S5Xw>36?ABO|wtl0~>PD=nS?_;pL;dUb2 z9=I`F%ypO!Q;T9 z5;GTalPm$G9L-skZYtx4dX|{{pM~u$}jZ1EZmt6wkb9poQJh-0j%C3=;L|{ zYpj)MpCR&&VXl_vzMcm=tfG(gfnmD(+8{8+aj^OzmSbG^-^SVm*1gEMImh&5Vzc)d z%%8h!gZM;8hWoKGmFXBvs5ypf4P5g-vHzO!Vg4J#5{}F}*?(=H1-6Y`JK#QR)LVrg z%ZP0q_g~LanpJ)@(#y7kd`qv0(Hmm4+wRf-M`Qd?#ps7(^k2qk+g{l4Ux@KviqTA` z8($JG%`Jm2&9gIH`urGuNsQ)t0av~`MlXxeT``(_mhl(n=Z9l7x170ro+aVZ2V(Ts zWAwLU^!H-)PhvEt1#F`CnYuKw;A&G`qH z-yfsziqSkf*_HpZ82xCB{zi;G8l!&z+8RDT$6_@11X@G>8!_5<+D!6;7|kg~Yslxk zhc%?ffVSz8^AJwy?3%?r@ewmSwvhWt?RFhc9Y{H~yLHOg&mhA&?kLVF!!NOpf4oK?m^(P-c1d%F&vM4aa)w;o(Ttn{5D?MW1Bj^W2O{d}$GXX}k)BxR zPspf4n>!qkGXe!78mDP>dzfw~)a~iJz4*5JsKeVV@Zq@2`S?IoU z1I5*fJ&K%)r@#NBxI=NT;sHf|cT?^u#qTK|Q+!pCjREC~6w4JaQmj#wJ1HR7rt~UB zSe-P7X_lRYR7bsRM&QWChPdVN(MO?4A zRq;;6PbltJ{EFhY6u+y;_LzD&nNQ?=DRH=BR&k=@EX75ND-^F$+@dIVP(aUar9Y?m zsG_Wg1OHj2f1=2_eumHKY+|wEXvL|Da~0bZS1aDH_(jDh6nWkl^}V3@vf{54xx|9} z2E|szRf^wJ{E6brMD%(8Ls9NxzzUj^${&IUhWgG^97DwCuiU`^oJbn-)yki**rvEr zaf9Mk#qEmsD9W7+2EJU@)#en;^i6^|+YT=BmYGk8`hUq(a+M%H^GT_0q<)X#OU zFGM!U86p7@17d~5514Olo-Ga)(K^DcKL4Y1UEFA0_gA53##EXyfW-O8Z~D7H*UOF3 zvr6#M-9mTt)!K*`>AFC482LS&81H!<#EbQe@(H@mb)xAwCaUyo88kkdDR+sUaWWZq z1(2@B8TiC0rtgxNiu3JAUyAxltTAVUB`!1j84}a<%$ezW=FAM_H|1t}-XlabZtM^6 zE{Vs9wVrp#1J>dBC(hA!sb1GxBGE_bKG&>CPR!FY&FA9`eY!X588uD#ULuW7#Y@Cy zjN1?wntS3Bi!}a=HU5`t{E>P0Q4WpkUg8q7&m+;Q@kXXfyqAJWKFT|#Sg2U6Sf*I6 zSgD925`V}lsV6fOku;%^1VKba5J3u}+P$OonGnl~SD^kSeyEqFq%ja-<5#V;jnDrB DEx+U+ literal 0 HcmV?d00001 diff --git a/hardware/arduino/sam/cores/sam/libsam_sam3x8e_gcc_dbg.a.txt b/hardware/arduino/sam/cores/sam/libsam_sam3x8e_gcc_dbg.a.txt new file mode 100644 index 000000000..23232e39c --- /dev/null +++ b/hardware/arduino/sam/cores/sam/libsam_sam3x8e_gcc_dbg.a.txt @@ -0,0 +1,388 @@ + +adc.o: +00000000 T adc_configure_power_save +00000000 T adc_configure_sequence +00000000 T adc_configure_timing +00000000 T adc_configure_trigger +00000000 T adc_disable_all_channel +00000000 T adc_disable_anch +00000000 T adc_disable_channel +00000000 T adc_disable_channel_differential_input +00000000 T adc_disable_channel_input_offset +00000000 T adc_disable_interrupt +00000000 T adc_disable_tag +00000000 T adc_disable_ts +00000000 T adc_enable_all_channel +00000000 T adc_enable_anch +00000000 T adc_enable_channel +00000000 T adc_enable_channel_differential_input +00000000 T adc_enable_channel_input_offset +00000000 T adc_enable_interrupt +00000000 T adc_enable_tag +00000000 T adc_enable_ts +00000000 T adc_get_actual_adc_clock +00000000 T adc_get_channel_status +00000000 T adc_get_channel_value +00000000 T adc_get_comparison_mode +00000000 T adc_get_interrupt_mask +00000000 T adc_get_latest_value +00000000 T adc_get_overrun_status +00000000 T adc_get_pdc_base +00000000 T adc_get_status +00000000 T adc_get_tag +00000000 T adc_get_writeprotect_status +00000000 T adc_init +00000000 T adc_set_bias_current +00000000 T adc_set_channel_input_gain +00000000 T adc_set_comparison_channel +00000000 T adc_set_comparison_mode +00000000 T adc_set_comparison_window +00000000 T adc_set_resolution +00000000 T adc_set_writeprotect +00000000 T adc_start +00000000 T adc_start_sequencer +00000000 T adc_stop +00000000 T adc_stop_sequencer + +adc12_sam3u.o: + +interrupt_sam_nvic.o: +00000000 D g_interrupt_enabled + +pio.o: +00000000 T PIO_Clear +00000000 T PIO_Configure +00000000 T PIO_DisableInterrupt +00000000 T PIO_Get +00000000 T PIO_GetOutputDataStatus +00000000 T PIO_PullUp +00000000 T PIO_Set +00000000 T PIO_SetDebounceFilter +00000000 T PIO_SetInput +00000000 T PIO_SetOutput +00000000 T PIO_SetPeripheral + +pmc.o: +00000000 T pmc_clr_fast_startup_input +00000000 T pmc_disable_all_pck +00000000 T pmc_disable_all_periph_clk +00000000 T pmc_disable_interrupt +00000000 T pmc_disable_pck +00000000 T pmc_disable_periph_clk +00000000 T pmc_disable_pllack +00000000 T pmc_disable_udpck +00000000 T pmc_disable_upll_clock +00000000 T pmc_enable_all_pck +00000000 T pmc_enable_all_periph_clk +00000000 T pmc_enable_backupmode +00000000 T pmc_enable_interrupt +00000000 T pmc_enable_pck +00000000 T pmc_enable_periph_clk +00000000 T pmc_enable_pllack +00000000 T pmc_enable_sleepmode +00000000 T pmc_enable_udpck +00000000 T pmc_enable_upll_clock +00000000 T pmc_enable_waitmode +00000000 T pmc_get_interrupt_mask +00000000 T pmc_get_status +00000000 T pmc_get_writeprotect_status +00000000 T pmc_is_locked_pllack +00000000 T pmc_is_locked_upll +00000000 T pmc_is_pck_enabled +00000000 T pmc_is_periph_clk_enabled +00000000 T pmc_mck_set_prescaler +00000000 T pmc_mck_set_source +00000000 T pmc_osc_disable_fastrc +00000000 T pmc_osc_disable_xtal +00000000 T pmc_osc_enable_fastrc +00000000 T pmc_osc_is_ready_32kxtal +00000000 T pmc_osc_is_ready_mainck +00000000 T pmc_pck_set_prescaler +00000000 T pmc_pck_set_source +00000000 T pmc_set_fast_startup_input +00000000 T pmc_set_writeprotect +00000000 T pmc_switch_mainck_to_fastrc +00000000 T pmc_switch_mainck_to_xtal +00000000 T pmc_switch_mck_to_mainck +00000000 T pmc_switch_mck_to_pllack +00000000 T pmc_switch_mck_to_sclk +00000000 T pmc_switch_mck_to_upllck +00000000 T pmc_switch_pck_to_mainck +00000000 T pmc_switch_pck_to_pllack +00000000 T pmc_switch_pck_to_sclk +00000000 T pmc_switch_pck_to_upllck +00000000 T pmc_switch_sclk_to_32kxtal +00000000 T pmc_switch_udpck_to_pllack +00000000 T pmc_switch_udpck_to_upllck + +pwmc.o: +00000000 r C.19.7195 +00000000 t FindClockConfiguration +00000000 T PWMC_ConfigureChannel +00000000 T PWMC_ConfigureChannelExt +00000000 T PWMC_ConfigureClocks +00000000 T PWMC_ConfigureComparisonUnit +00000000 T PWMC_ConfigureEventLineMode +00000000 T PWMC_ConfigureSyncChannel +00000000 T PWMC_DisableChannel +00000000 T PWMC_DisableChannelIt +00000000 T PWMC_DisableIt +00000000 T PWMC_DisableOverrideOutput +00000000 T PWMC_EnableChannel +00000000 T PWMC_EnableChannelIt +00000000 T PWMC_EnableFaultProtection +00000000 T PWMC_EnableIt +00000000 T PWMC_EnableOverrideOutput +00000000 T PWMC_FaultClear +00000000 T PWMC_SetDeadTime +00000000 T PWMC_SetDutyCycle +00000000 T PWMC_SetFaultMode +00000000 T PWMC_SetFaultProtectionValue +00000000 T PWMC_SetOverrideValue +00000000 T PWMC_SetPeriod +00000000 T PWMC_SetSyncChannelUpdatePeriod +00000000 T PWMC_SetSyncChannelUpdateUnlock +00000000 T PWMC_WriteBuffer + U __assert_func +00000000 r __func__.5848 +00000000 r __func__.5859 +00000000 r __func__.5874 +00000000 r __func__.5885 +00000000 r __func__.5896 +00000000 r __func__.5903 +00000000 r __func__.5987 +00000000 r __func__.5993 + +rtc.o: +00000000 T RTC_ClearSCCR +00000000 T RTC_DisableIt +00000000 T RTC_EnableIt +00000000 T RTC_GetDate +00000000 T RTC_GetHourMode +00000000 T RTC_GetSR +00000000 T RTC_GetTime +00000000 T RTC_SetDate +00000000 T RTC_SetDateAlarm +00000000 T RTC_SetHourMode +00000000 T RTC_SetTime +00000000 T RTC_SetTimeAlarm + U __assert_func +00000000 r __func__.5845 +00000000 r __func__.5854 +00000000 r __func__.5859 + +rtt.o: +00000000 T RTT_EnableIT +00000000 T RTT_GetStatus +00000000 T RTT_GetTime +00000000 T RTT_SetAlarm +00000000 T RTT_SetPrescaler + U __assert_func +00000000 r __func__.5852 +00000000 r __func__.5860 + +spi.o: +00000000 T SPI_Configure +00000000 T SPI_ConfigureNPCS +00000000 T SPI_Disable +00000000 T SPI_DisableIt +00000000 T SPI_Enable +00000000 T SPI_EnableIt +00000000 T SPI_GetStatus +00000000 T SPI_IsFinished +00000000 T SPI_Read +00000000 T SPI_Write + U pmc_enable_periph_clk + +tc.o: +00000000 T TC_Configure +00000000 T TC_FindMckDivisor +00000000 T TC_Start +00000000 T TC_Stop + U __assert_func +00000000 r __func__.5847 +00000000 r __func__.5853 +00000000 r __func__.5859 + +timetick.o: +00000000 T GetTickCount +00000000 t NVIC_SetPriority +00000000 T Sleep +00000000 t SysTick_Config +00000000 T TimeTick_Configure +00000000 T TimeTick_Increment +00000000 T Wait +00000000 b _dwTickCount + +twi.o: +00000000 T TWI_ByteReceived +00000000 T TWI_ByteSent +00000000 T TWI_ConfigureMaster +00000000 T TWI_ConfigureSlave +00000000 T TWI_DisableIt +00000000 T TWI_EnableIt +00000000 T TWI_GetMaskedStatus +00000000 T TWI_GetStatus +00000000 T TWI_ReadByte +00000000 T TWI_SendSTOPCondition +00000000 T TWI_StartRead +00000000 T TWI_StartWrite +00000000 T TWI_Stop +00000000 T TWI_TransferComplete +00000000 T TWI_WriteByte + U __assert_func +00000000 r __func__.6229 +00000000 r __func__.6244 +00000000 r __func__.6248 +00000000 r __func__.6255 +00000000 r __func__.6259 +00000000 r __func__.6264 +00000000 r __func__.6272 +00000000 r __func__.6286 +00000000 r __func__.6291 +00000000 r __func__.6295 +00000000 r __func__.6300 +00000000 r __func__.6304 + +udp.o: + +udphs.o: + +uotghs.o: +00000000 t NVIC_EnableIRQ +00000000 t NVIC_SetPriority +00000000 T UDD_Attach +00000000 T UDD_ClearIN +00000000 T UDD_ClearOUT +00000000 T UDD_ClearSetupInt +00000000 T UDD_Detach +00000000 T UDD_FifoByteCount +00000000 T UDD_GetFrameNumber +00000000 T UDD_Init +00000000 T UDD_InitEP +00000000 T UDD_InitEndpoints +00000000 T UDD_ReadWriteAllowed +00000000 T UDD_ReceivedSetupInt +00000000 T UDD_Recv +00000000 T UDD_Recv8 +00000000 T UDD_ReleaseRX +00000000 T UDD_ReleaseTX +00000000 T UDD_Send8 +00000000 T UDD_SetAddress +00000000 T UDD_SetEP +00000000 T UDD_SetStack +00000000 T UDD_Stall +00000000 T UDD_WaitForINOrOUT +00000000 T UDD_WaitIN +00000000 T UDD_WaitOUT +00000000 T UOTGHS_Handler +00000000 t cpu_irq_is_enabled_flags +00000000 t cpu_irq_restore +00000000 t cpu_irq_save + U g_interrupt_enabled +00000000 b gpf_isr + U iprintf + U pmc_enable_periph_clk + U pmc_enable_udpck + U pmc_enable_upll_clock + U pmc_switch_udpck_to_upllck + U puts +00000000 B ul_ep +00000000 B ul_rcv_index +00000000 B ul_send_index + +usart.o: +00000000 T USART_Configure +00000000 T USART_DisableIt +00000000 T USART_EnableIt +00000000 T USART_GetChar +00000000 T USART_GetStatus +00000000 T USART_IsDataAvailable +00000000 T USART_IsRxReady +00000000 T USART_PutChar +00000000 T USART_Read +00000000 T USART_ReadBuffer +00000000 T USART_SetIrdaFilter +00000000 T USART_SetReceiverEnabled +00000000 T USART_SetTransmitterEnabled +00000000 T USART_Write +00000000 T USART_WriteBuffer + U __assert_func +00000000 r __func__.6150 + +wdt.o: +00000000 T WDT_Disable +00000000 T WDT_Enable +00000000 T WDT_GetPeriod +00000000 T WDT_GetStatus +00000000 T WDT_Restart + +system_sam3xa.o: +00000000 D SystemCoreClock +00000000 T SystemCoreClockUpdate +00000000 T SystemInit +00000000 T system_init_flash + +startup_sam3xa.o: +00000000 W ADC_Handler +00000000 W BusFault_Handler +00000000 W CAN0_Handler +00000000 W CAN1_Handler +00000000 W DACC_Handler +00000000 W DMAC_Handler +00000000 W DebugMon_Handler +00000000 T Dummy_Handler +00000000 W EFC0_Handler +00000000 W EFC1_Handler +00000000 W EMAC_Handler +00000000 W HSMCI_Handler +00000000 W HardFault_Handler +00000000 W MemManage_Handler +00000000 W NMI_Handler +00000000 W PIOA_Handler +00000000 W PIOB_Handler +00000000 W PIOC_Handler +00000000 W PIOD_Handler +00000000 W PMC_Handler +00000000 W PWM_Handler +00000000 W PendSV_Handler +00000000 W RSTC_Handler +00000000 W RTC_Handler +00000000 W RTT_Handler +00000000 T Reset_Handler +00000000 W SMC_Handler +00000000 W SPI0_Handler +00000000 W SSC_Handler +00000000 W SUPC_Handler +00000000 W SVC_Handler +00000000 W SysTick_Handler +00000000 W TC0_Handler +00000000 W TC1_Handler +00000000 W TC2_Handler +00000000 W TC3_Handler +00000000 W TC4_Handler +00000000 W TC5_Handler +00000000 W TC6_Handler +00000000 W TC7_Handler +00000000 W TC8_Handler +00000000 W TRNG_Handler +00000000 W TWI0_Handler +00000000 W TWI1_Handler +00000000 W UART_Handler +00000000 W UOTGHS_Handler +00000000 W USART0_Handler +00000000 W USART1_Handler +00000000 W USART2_Handler +00000000 W USART3_Handler +00000000 W UsageFault_Handler +00000000 W WDT_Handler + U __libc_init_array + U _erelocate + U _estack + U _etext + U _ezero + U _sfixed + U _srelocate + U _szero +00000000 R exception_table + U main