From 8980259e0d8cfd11271bfa4ada54ad952dbc4085 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 22 Sep 2010 09:04:33 -0400 Subject: [PATCH] Adding optiboot and Arduino Uno boards menu entry. --- boards.txt | 17 + bootloaders/optiboot/Makefile | 239 +++++++ bootloaders/optiboot/makeall | 13 + bootloaders/optiboot/optiboot.c | 536 ++++++++++++++++ bootloaders/optiboot/optiboot_atmega328.hex | 33 + bootloaders/optiboot/optiboot_atmega328.lst | 520 +++++++++++++++ .../optiboot/optiboot_atmega328_pro_8MHz.hex | 33 + .../optiboot/optiboot_atmega328_pro_8MHz.lst | 520 +++++++++++++++ bootloaders/optiboot/optiboot_diecimila.hex | 33 + bootloaders/optiboot/optiboot_diecimila.lst | 520 +++++++++++++++ bootloaders/optiboot/optiboot_lilypad.hex | 34 + bootloaders/optiboot/optiboot_lilypad.lst | 533 ++++++++++++++++ .../optiboot/optiboot_lilypad_resonator.hex | 34 + .../optiboot/optiboot_lilypad_resonator.lst | 533 ++++++++++++++++ bootloaders/optiboot/optiboot_luminet.hex | 42 ++ bootloaders/optiboot/optiboot_luminet.lst | 604 ++++++++++++++++++ bootloaders/optiboot/optiboot_pro_16MHz.hex | 33 + bootloaders/optiboot/optiboot_pro_16MHz.lst | 520 +++++++++++++++ bootloaders/optiboot/optiboot_pro_20mhz.hex | 33 + bootloaders/optiboot/optiboot_pro_20mhz.lst | 520 +++++++++++++++ bootloaders/optiboot/optiboot_pro_8MHz.hex | 34 + bootloaders/optiboot/optiboot_pro_8MHz.lst | 533 ++++++++++++++++ 22 files changed, 5917 insertions(+) create mode 100644 bootloaders/optiboot/Makefile create mode 100755 bootloaders/optiboot/makeall create mode 100644 bootloaders/optiboot/optiboot.c create mode 100644 bootloaders/optiboot/optiboot_atmega328.hex create mode 100644 bootloaders/optiboot/optiboot_atmega328.lst create mode 100644 bootloaders/optiboot/optiboot_atmega328_pro_8MHz.hex create mode 100644 bootloaders/optiboot/optiboot_atmega328_pro_8MHz.lst create mode 100644 bootloaders/optiboot/optiboot_diecimila.hex create mode 100644 bootloaders/optiboot/optiboot_diecimila.lst create mode 100644 bootloaders/optiboot/optiboot_lilypad.hex create mode 100644 bootloaders/optiboot/optiboot_lilypad.lst create mode 100644 bootloaders/optiboot/optiboot_lilypad_resonator.hex create mode 100644 bootloaders/optiboot/optiboot_lilypad_resonator.lst create mode 100644 bootloaders/optiboot/optiboot_luminet.hex create mode 100644 bootloaders/optiboot/optiboot_luminet.lst create mode 100644 bootloaders/optiboot/optiboot_pro_16MHz.hex create mode 100644 bootloaders/optiboot/optiboot_pro_16MHz.lst create mode 100644 bootloaders/optiboot/optiboot_pro_20mhz.hex create mode 100644 bootloaders/optiboot/optiboot_pro_20mhz.lst create mode 100644 bootloaders/optiboot/optiboot_pro_8MHz.hex create mode 100644 bootloaders/optiboot/optiboot_pro_8MHz.lst diff --git a/boards.txt b/boards.txt index 9ba8583..164e388 100644 --- a/boards.txt +++ b/boards.txt @@ -1,5 +1,22 @@ ############################################################## +uno.name=Arduino Uno +uno.upload.protocol=stk500 +uno.upload.maximum_size=32256 +uno.upload.speed=115200 +uno.bootloader.low_fuses=0xff +uno.bootloader.high_fuses=0xde +uno.bootloader.extended_fuses=0x05 +uno.bootloader.path=optiboot +uno.bootloader.file=optiboot_atmega328.hex +uno.bootloader.unlock_bits=0x3F +uno.bootloader.lock_bits=0x0F +uno.build.mcu=atmega328p +uno.build.f_cpu=16000000L +uno.build.core=arduino + +############################################################## + atmega328.name=Arduino Duemilanove or Nano w/ ATmega328 atmega328.upload.protocol=stk500 diff --git a/bootloaders/optiboot/Makefile b/bootloaders/optiboot/Makefile new file mode 100644 index 0000000..0fd6005 --- /dev/null +++ b/bootloaders/optiboot/Makefile @@ -0,0 +1,239 @@ +# Makefile for ATmegaBOOT +# E.Lins, 18.7.2005 +# $Id$ +# +# Instructions +# +# To make bootloader .hex file: +# make diecimila +# make lilypad +# make ng +# etc... +# +# To burn bootloader .hex file: +# make diecimila_isp +# make lilypad_isp +# make ng_isp +# etc... + +# program name should not be changed... +PROGRAM = optiboot + +# enter the parameters for the avrdude isp tool +ISPTOOL = stk500v2 +ISPPORT = usb +ISPSPEED = -b 115200 + +MCU_TARGET = atmega168 +LDSECTION = --section-start=.text=0x3e00 + +# the efuse should really be 0xf8; since, however, only the lower +# three bits of that byte are used on the atmega168, avrdude gets +# confused if you specify 1's for the higher bits, see: +# http://tinker.it/now/2007/02/24/the-tale-of-avrdude-atmega168-and-extended-bits-fuses/ +# +# similarly, the lock bits should be 0xff instead of 0x3f (to +# unlock the bootloader section) and 0xcf instead of 0x0f (to +# lock it), but since the high two bits of the lock byte are +# unused, avrdude would get confused. + +ISPFUSES = avrdude -c $(ISPTOOL) -p $(MCU_TARGET) -P $(ISPPORT) $(ISPSPEED) \ +-e -u -U lock:w:0x3f:m -U efuse:w:0x$(EFUSE):m -U hfuse:w:0x$(HFUSE):m -U lfuse:w:0x$(LFUSE):m +ISPFLASH = avrdude -c $(ISPTOOL) -p $(MCU_TARGET) -P $(ISPPORT) $(ISPSPEED) \ +-U flash:w:$(PROGRAM)_$(TARGET).hex -U lock:w:0x0f:m + +STK500 = "C:\Program Files\Atmel\AVR Tools\STK500\Stk500.exe" +STK500-1 = $(STK500) -e -d$(MCU_TARGET) -pf -vf -if$(PROGRAM)_$(TARGET).hex \ +-lFF -LFF -f$(HFUSE)$(LFUSE) -EF8 -ms -q -cUSB -I200kHz -s -wt +STK500-2 = $(STK500) -d$(MCU_TARGET) -ms -q -lCF -LCF -cUSB -I200kHz -s -wt + +OBJ = $(PROGRAM).o +OPTIMIZE = -Os -fno-inline-small-functions -fno-split-wide-types -mshort-calls + +DEFS = +LIBS = + +CC = avr-gcc + +# Override is only needed by avr-lib build system. + +override CFLAGS = -g -Wall $(OPTIMIZE) -mmcu=$(MCU_TARGET) -DF_CPU=$(AVR_FREQ) $(DEFS) +override LDFLAGS = -Wl,$(LDSECTION) -Wl,--relax -nostartfiles + +OBJCOPY = avr-objcopy +OBJDUMP = avr-objdump + +# 20MHz clocked platforms +# +# These are capable of 230400 baud, or 115200 baud on PC (Arduino Avrdude issue) +# + +pro20: TARGET = pro_20mhz +pro20: CFLAGS += '-DLED_START_FLASHES=3' '-DBAUD_RATE=115200' +pro20: AVR_FREQ = 20000000L +pro20: $(PROGRAM)_pro_20mhz.hex +pro20: $(PROGRAM)_pro_20mhz.lst + +pro20_isp: pro20 +pro20_isp: TARGET = pro_20mhz +pro20_isp: HFUSE = DD # 2.7V brownout +pro20_isp: LFUSE = C6 # Full swing xtal (20MHz) 258CK/14CK+4.1ms +pro20_isp: EFUSE = 02 # 512 byte boot +pro20_isp: isp + +# 16MHz clocked platforms +# +# These are capable of 230400 baud, or 115200 baud on PC (Arduino Avrdude issue) +# + +pro16: TARGET = pro_16MHz +pro16: CFLAGS += '-DLED_START_FLASHES=3' '-DBAUD_RATE=115200' +pro16: AVR_FREQ = 16000000L +pro16: $(PROGRAM)_pro_16MHz.hex +pro16: $(PROGRAM)_pro_16MHz.lst + +pro16_isp: pro16 +pro16_isp: TARGET = pro_16MHz +pro16_isp: HFUSE = DD # 2.7V brownout +pro16_isp: LFUSE = C6 # Full swing xtal (20MHz) 258CK/14CK+4.1ms +pro16_isp: EFUSE = 02 # 512 byte boot +pro16_isp: isp + +# Diecimila and NG use identical bootloaders +# +diecimila: TARGET = diecimila +diecimila: CFLAGS += '-DLED_START_FLASHES=3' '-DBAUD_RATE=115200' +diecimila: AVR_FREQ = 16000000L +diecimila: $(PROGRAM)_diecimila.hex +diecimila: $(PROGRAM)_diecimila.lst + +diecimila_isp: diecimila +diecimila_isp: TARGET = diecimila +diecimila_isp: HFUSE = DD # 2.7V brownout +diecimila_isp: LFUSE = FF # Low power xtal (16MHz) 16KCK/14CK+65ms +diecimila_isp: EFUSE = 02 # 512 byte boot +diecimila_isp: isp + +atmega328: TARGET = atmega328 +atmega328: MCU_TARGET = atmega328p +atmega328: CFLAGS += '-DLED_START_FLASHES=3' '-DBAUD_RATE=115200' +atmega328: AVR_FREQ = 16000000L +atmega328: LDSECTION = --section-start=.text=0x7e00 +atmega328: $(PROGRAM)_atmega328.hex +atmega328: $(PROGRAM)_atmega328.lst + +atmega328_isp: atmega328 +atmega328_isp: TARGET = atmega328 +atmega328_isp: MCU_TARGET = atmega328p +atmega328_isp: HFUSE = DE # 512 byte boot +atmega328_isp: LFUSE = FF # Low power xtal (16MHz) 16KCK/14CK+65ms +atmega328_isp: EFUSE = 05 # 2.7V brownout +atmega328_isp: isp + +# 8MHz clocked platforms +# +# These are capable of 115200 baud +# + +lilypad: TARGET = lilypad +lilypad: CFLAGS += '-DLED_START_FLASHES=3' '-DSOFT_UART' '-DBAUD_RATE=115200' +lilypad: AVR_FREQ = 8000000L +lilypad: $(PROGRAM)_lilypad.hex +lilypad: $(PROGRAM)_lilypad.lst + +lilypad_isp: lilypad +lilypad_isp: TARGET = lilypad +lilypad_isp: HFUSE = DD # 2.7V brownout +lilypad_isp: LFUSE = E2 # Internal 8MHz osc (8MHz) Slow rising power +lilypad_isp: EFUSE = 02 # 512 byte boot +lilypad_isp: isp + +lilypad_resonator: TARGET = lilypad_resonator +lilypad_resonator: CFLAGS += '-DLED_START_FLASHES=3' '-DSOFT_UART' '-DBAUD_RATE=115200' +lilypad_resonator: AVR_FREQ = 8000000L +lilypad_resonator: $(PROGRAM)_lilypad_resonator.hex +lilypad_resonator: $(PROGRAM)_lilypad_resonator.lst + +lilypad_resonator_isp: lilypad_resonator +lilypad_resonator_isp: TARGET = lilypad_resonator +lilypad_resonator_isp: HFUSE = DD # 2.7V brownout +lilypad_resonator_isp: LFUSE = C6 # Full swing xtal (20MHz) 258CK/14CK+4.1ms +lilypad_resonator_isp: EFUSE = 02 # 512 byte boot +lilypad_resonator_isp: isp + +pro8: TARGET = pro_8MHz +pro8: CFLAGS += '-DLED_START_FLASHES=3' '-DSOFT_UART' '-DBAUD_RATE=115200' +pro8: AVR_FREQ = 8000000L +pro8: $(PROGRAM)_pro_8MHz.hex +pro8: $(PROGRAM)_pro_8MHz.lst + +pro8_isp: pro8 +pro8_isp: TARGET = pro_8MHz +pro8_isp: HFUSE = DD # 2.7V brownout +pro8_isp: LFUSE = C6 # Full swing xtal (20MHz) 258CK/14CK+4.1ms +pro8_isp: EFUSE = 02 # 512 byte boot +pro8_isp: isp + +atmega328_pro8: TARGET = atmega328_pro_8MHz +atmega328_pro8: MCU_TARGET = atmega328p +atmega328_pro8: CFLAGS += '-DLED_START_FLASHES=3' '-DBAUD_RATE=115200' +atmega328_pro8: AVR_FREQ = 8000000L +atmega328_pro8: LDSECTION = --section-start=.text=0x7e00 +atmega328_pro8: $(PROGRAM)_atmega328_pro_8MHz.hex +atmega328_pro8: $(PROGRAM)_atmega328_pro_8MHz.lst + +atmega328_pro8_isp: atmega328_pro8 +atmega328_pro8_isp: TARGET = atmega328_pro_8MHz +atmega328_pro8_isp: MCU_TARGET = atmega328p +atmega328_pro8_isp: HFUSE = DE # 512 byte boot +atmega328_pro8_isp: LFUSE = FF # Low power xtal (16MHz) 16KCK/14CK+65ms +atmega328_pro8_isp: EFUSE = 05 # 2.7V brownout +atmega328_pro8_isp: isp + +# 1MHz clocked platforms +# +# These are capable of 9600 baud +# + +luminet: TARGET = luminet +luminet: MCU_TARGET = attiny84 +luminet: CFLAGS += '-DLED_START_FLASHES=3' '-DSOFT_UART' '-DBAUD_RATE=9600' +luminet: CFLAGS += '-DVIRTUAL_BOOT_PARTITION' +luminet: AVR_FREQ = 1000000L +luminet: LDSECTION = --section-start=.text=0x1d00 +luminet: $(PROGRAM)_luminet.hex +luminet: $(PROGRAM)_luminet.lst + +luminet_isp: luminet +luminet_isp: TARGET = luminet +luminet_isp: MCU_TARGET = attiny84 +luminet_isp: HFUSE = DF # Brownout disabled +luminet_isp: LFUSE = 62 # 1MHz internal oscillator, slowly rising power +luminet_isp: EFUSE = FE # Self-programming enable +luminet_isp: isp + +isp: $(TARGET) + $(ISPFUSES) + $(ISPFLASH) + +isp-stk500: $(PROGRAM)_$(TARGET).hex + $(STK500-1) + $(STK500-2) + +%.elf: $(OBJ) + $(CC) $(CFLAGS) $(LDFLAGS) -o $@ $^ $(LIBS) + +clean: + rm -rf *.o *.elf *.lst *.map *.sym *.lss *.eep *.srec *.bin *.hex + +%.lst: %.elf + $(OBJDUMP) -h -S $< > $@ + +%.hex: %.elf + $(OBJCOPY) -j .text -j .data -O ihex $< $@ + +%.srec: %.elf + $(OBJCOPY) -j .text -j .data -O srec $< $@ + +%.bin: %.elf + $(OBJCOPY) -j .text -j .data -O binary $< $@ diff --git a/bootloaders/optiboot/makeall b/bootloaders/optiboot/makeall new file mode 100755 index 0000000..7a0b82d --- /dev/null +++ b/bootloaders/optiboot/makeall @@ -0,0 +1,13 @@ +#!/bin/bash +make clean +make lilypad +make lilypad_resonator +make pro8 +make pro16 +make pro20 +make diecimila +make ng +make atmega328 +make atmega328_pro8 +make luminet + diff --git a/bootloaders/optiboot/optiboot.c b/bootloaders/optiboot/optiboot.c new file mode 100644 index 0000000..af92995 --- /dev/null +++ b/bootloaders/optiboot/optiboot.c @@ -0,0 +1,536 @@ +/**********************************************************/ +/* Optiboot bootloader for Arduino */ +/* */ +/* Heavily optimised bootloader that is faster and */ +/* smaller than the Arduino standard bootloader */ +/* */ +/* Enhancements: */ +/* Fits in 512 bytes, saving 1.5K of code space */ +/* Background page erasing speeds up programming */ +/* Higher baud rate speeds up programming */ +/* Written almost entirely in C */ +/* Customisable timeout with accurate timeconstant */ +/* */ +/* What you lose: */ +/* Implements a skeleton STK500 protocol which is */ +/* missing several features including EEPROM */ +/* programming and non-page-aligned writes */ +/* High baud rate breaks compatibility with standard */ +/* Arduino flash settings */ +/* */ +/* Currently supports: */ +/* ATmega168 based devices (Diecimila etc) */ +/* ATmega328P based devices (Duemilanove etc) */ +/* */ +/* Does not support: */ +/* ATmega1280 based devices (eg. Mega) */ +/* */ +/* Assumptions: */ +/* The code makes several assumptions that reduce the */ +/* code size. They are all true after a hardware reset, */ +/* but may not be true if the bootloader is called by */ +/* other means or on other hardware. */ +/* No interrupts can occur */ +/* UART and Timer 1 are set to their reset state */ +/* SP points to RAMEND */ +/* */ +/* Code builds on code, libraries and optimisations from: */ +/* stk500boot.c by Jason P. Kyle */ +/* Arduino bootloader http://arduino.cc */ +/* Spiff's 1K bootloader http://spiffie.org/know/arduino_1k_bootloader/bootloader.shtml */ +/* avr-libc project http://nongnu.org/avr-libc */ +/* Adaboot http://www.ladyada.net/library/arduino/bootloader.html */ +/* AVR305 Atmel Application Note */ +/* */ +/* This program is free software; you can redistribute it */ +/* and/or modify it under the terms of the GNU General */ +/* Public License as published by the Free Software */ +/* Foundation; either version 2 of the License, or */ +/* (at your option) any later version. */ +/* */ +/* This program is distributed in the hope that it will */ +/* be useful, but WITHOUT ANY WARRANTY; without even the */ +/* implied warranty of MERCHANTABILITY or FITNESS FOR A */ +/* PARTICULAR PURPOSE. See the GNU General Public */ +/* License for more details. */ +/* */ +/* You should have received a copy of the GNU General */ +/* Public License along with this program; if not, write */ +/* to the Free Software Foundation, Inc., */ +/* 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA */ +/* */ +/* Licence can be viewed at */ +/* http://www.fsf.org/licenses/gpl.txt */ +/* */ +/**********************************************************/ + +#include +#include +#include +#include + +//#define LED_DATA_FLASH + +#ifndef LED_START_FLASHES +#define LED_START_FLASHES 0 +#endif + +/* Build-time variables */ +/* BAUD_RATE Programming baud rate */ +/* LED_NO_FLASHES Number of LED flashes on boot */ +/* FLASH_TIME_MS Duration of each LED flash */ +/* BOOT_TIMEOUT_MS Serial port wait time before exiting bootloader */ + +/* set the UART baud rate */ +#ifndef BAUD_RATE +#define BAUD_RATE 19200 +#endif + +#if defined(__AVR_ATmega168__) || defined(__AVR_ATmega328P__) +/* Onboard LED is connected to pin PB5 in Arduino NG, Diecimila, and Duemilanove */ +#define LED_DDR DDRB +#define LED_PORT PORTB +#define LED_PIN PINB +#define LED PINB5 + +/* Ports for soft UART */ +#ifdef SOFT_UART +#define UART_PORT PORTD +#define UART_PIN PIND +#define UART_DDR DDRD +#define UART_TX_BIT 1 +#define UART_RX_BIT 0 +#endif +#endif + +#if defined(__AVR_ATtiny84__) +/* Onboard LED is connected to pin PB5 in Arduino NG, Diecimila, and Duemilanove */ +#define LED_DDR DDRA +#define LED_PORT PORTA +#define LED_PIN PINA +#define LED PINA4 + +/* Ports for soft UART - left port only for now*/ +#ifdef SOFT_UART +#define UART_PORT PORTA +#define UART_PIN PINA +#define UART_DDR DDRA +#define UART_TX_BIT 2 +#define UART_RX_BIT 3 +#endif +#endif + +/* STK500 constants list, from AVRDUDE */ +#define STK_OK 0x10 +#define STK_FAILED 0x11 // Not used +#define STK_UNKNOWN 0x12 // Not used +#define STK_NODEVICE 0x13 // Not used +#define STK_INSYNC 0x14 // ' ' +#define STK_NOSYNC 0x15 // Not used +#define ADC_CHANNEL_ERROR 0x16 // Not used +#define ADC_MEASURE_OK 0x17 // Not used +#define PWM_CHANNEL_ERROR 0x18 // Not used +#define PWM_ADJUST_OK 0x19 // Not used +#define CRC_EOP 0x20 // 'SPACE' +#define STK_GET_SYNC 0x30 // '0' +#define STK_GET_SIGN_ON 0x31 // '1' +#define STK_SET_PARAMETER 0x40 // '@' +#define STK_GET_PARAMETER 0x41 // 'A' +#define STK_SET_DEVICE 0x42 // 'B' +#define STK_SET_DEVICE_EXT 0x45 // 'E' +#define STK_ENTER_PROGMODE 0x50 // 'P' +#define STK_LEAVE_PROGMODE 0x51 // 'Q' +#define STK_CHIP_ERASE 0x52 // 'R' +#define STK_CHECK_AUTOINC 0x53 // 'S' +#define STK_LOAD_ADDRESS 0x55 // 'U' +#define STK_UNIVERSAL 0x56 // 'V' +#define STK_PROG_FLASH 0x60 // '`' +#define STK_PROG_DATA 0x61 // 'a' +#define STK_PROG_FUSE 0x62 // 'b' +#define STK_PROG_LOCK 0x63 // 'c' +#define STK_PROG_PAGE 0x64 // 'd' +#define STK_PROG_FUSE_EXT 0x65 // 'e' +#define STK_READ_FLASH 0x70 // 'p' +#define STK_READ_DATA 0x71 // 'q' +#define STK_READ_FUSE 0x72 // 'r' +#define STK_READ_LOCK 0x73 // 's' +#define STK_READ_PAGE 0x74 // 't' +#define STK_READ_SIGN 0x75 // 'u' +#define STK_READ_OSCCAL 0x76 // 'v' +#define STK_READ_FUSE_EXT 0x77 // 'w' +#define STK_READ_OSCCAL_EXT 0x78 // 'x' + +/* Watchdog settings */ +#define WATCHDOG_OFF (0) +#define WATCHDOG_16MS (_BV(WDE)) +#define WATCHDOG_32MS (_BV(WDP0) | _BV(WDE)) +#define WATCHDOG_64MS (_BV(WDP1) | _BV(WDE)) +#define WATCHDOG_125MS (_BV(WDP1) | _BV(WDP0) | _BV(WDE)) +#define WATCHDOG_250MS (_BV(WDP2) | _BV(WDE)) +#define WATCHDOG_500MS (_BV(WDP2) | _BV(WDP0) | _BV(WDE)) +#define WATCHDOG_1S (_BV(WDP2) | _BV(WDP1) | _BV(WDE)) +#define WATCHDOG_2S (_BV(WDP2) | _BV(WDP1) | _BV(WDP0) | _BV(WDE)) +#define WATCHDOG_4S (_BV(WDE3) | _BV(WDE)) +#define WATCHDOG_8S (_BV(WDE3) | _BV(WDE0) | _BV(WDE)) + +/* Function Prototypes */ +/* The main function is in init9, which removes the interrupt vector table */ +/* we don't need. It is also 'naked', which means the compiler does not */ +/* generate any entry or exit code itself. */ +int main(void) __attribute__ ((naked)) __attribute__ ((section (".init9"))); +void putch(char); +uint8_t getch(void); +static inline void getNch(uint8_t); /* "static inline" is a compiler hint to reduce code size */ +void verifySpace(); +static inline void flash_led(uint8_t); +uint8_t getLen(); +static inline void watchdogReset(); +void watchdogConfig(uint8_t x); +#ifdef SOFT_UART +void uartDelay() __attribute__ ((naked)); +#endif +void appStart() __attribute__ ((naked)); + +/* C zero initialises all global variables. However, that requires */ +/* These definitions are NOT zero initialised, but that doesn't matter */ +/* This allows us to drop the zero init code, saving us memory */ +#define buff ((uint8_t*)(0x100)) +#define address (*(uint16_t*)(0x200)) +#define length (*(uint8_t*)(0x202)) +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + // After the zero init loop, this is the first code to run. + // + // This code makes the following assumptions: + // No interrupts will execute + // SP points to RAMEND + // r1 contains zero + // + // If not, uncomment the following instructions: + // cli(); + // SP=RAMEND; // This is done by hardware reset + // asm volatile ("clr __zero_reg__"); + + uint8_t ch; + +#if LED_START_FLASHES > 0 + // Set up Timer 1 for timeout counter + TCCR1B = _BV(CS12) | _BV(CS10); // div 1024 +#endif +#ifndef SOFT_UART + UCSR0A = _BV(U2X0); //Double speed mode USART0 + UCSR0B = _BV(RXEN0) | _BV(TXEN0); + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); +#endif + + // Adaboot no-wait mod + ch = MCUSR; + MCUSR = 0; + if (!(ch & _BV(EXTRF))) appStart(); + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + +#ifdef SOFT_UART + /* Set TX pin as output */ + UART_DDR |= _BV(UART_TX_BIT); +#endif + +#if LED_START_FLASHES > 0 + /* Flash onboard LED to signal entering of bootloader */ + flash_led(LED_START_FLASHES * 2); +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + putch(0x03); + } + else if(ch == STK_SET_DEVICE) { + // SET DEVICE is ignored + getNch(20); + } + else if(ch == STK_SET_DEVICE_EXT) { + // SET DEVICE EXT is ignored + getNch(5); + } + else if(ch == STK_LOAD_ADDRESS) { + // LOAD ADDRESS + address = getch(); + address = (address & 0xff) | (getch() << 8); + address += address; // Convert from word address to byte address + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + // UNIVERSAL command is ignored + getNch(4); + putch(0x00); + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + while (--length); + + // Read command terminator, start reply + verifySpace(); + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + +#ifdef VIRTUAL_BOOT_PARTITION + if ((uint16_t)(void*)address == 0) { + // This is the reset vector page. We need to live-patch the code so the + // bootloader runs. + // + // Move RESET vector to WDT vector + uint16_t vect = buff[0] | (buff[1]<<8); + rstVect = vect; + wdtVect = buff[10] | (buff[11]<<8); + vect -= 4; // Instruction is a relative jump (rjmp), so recalculate. + buff[10] = vect & 0xff; + buff[11] = vect >> 8; + + // Add jump to bootloader at RESET vector + buff[0] = 0x7f; + buff[1] = 0xce; // rjmp 0x1d00 instruction + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + // READ PAGE - we only read flash + getLen(); + verifySpace(); +#ifdef VIRTUAL_BOOT_PARTITION + do { + // Undo vector patch in bottom page so verify passes + if (address == 0) ch=rstVect & 0xff; + else if (address == 1) ch=rstVect >> 8; + else if (address == 10) ch=wdtVect & 0xff; + else if (address == 11) ch=wdtVect >> 8; + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + while (--length); +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + putch(SIGNATURE_0); + putch(SIGNATURE_1); + putch(SIGNATURE_2); + } + else if (ch == 'Q') { + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + } + putch(STK_OK); + } +} + +void putch(char ch) { +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + UDR0 = ch; +#else + __asm__ __volatile__ ( + " com %[ch]\n" // ones complement, carry set + " sec\n" + "1: brcc 2f\n" + " cbi %[uartPort],%[uartBit]\n" + " rjmp 3f\n" + "2: sbi %[uartPort],%[uartBit]\n" + " nop\n" + "3: rcall uartDelay\n" + " rcall uartDelay\n" + " lsr %[ch]\n" + " dec %[bitcnt]\n" + " brne 1b\n" + : + : + [bitcnt] "d" (10), + [ch] "r" (ch), + [uartPort] "I" (_SFR_IO_ADDR(UART_PORT)), + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + +uint8_t getch(void) { + uint8_t ch; + + watchdogReset(); + +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + +#ifdef SOFT_UART + __asm__ __volatile__ ( + "1: sbic %[uartPin],%[uartBit]\n" // Wait for start edge + " rjmp 1b\n" + " rcall uartDelay\n" // Get to middle of start bit + "2: rcall uartDelay\n" // Wait 1 bit period + " rcall uartDelay\n" // Wait 1 bit period + " clc\n" + " sbic %[uartPin],%[uartBit]\n" + " sec\n" + " dec %[bitCnt]\n" + " breq 3f\n" + " ror %[ch]\n" + " rjmp 2b\n" + "3:\n" + : + [ch] "=r" (ch) + : + [bitCnt] "d" (9), + [uartPin] "I" (_SFR_IO_ADDR(UART_PIN)), + [uartBit] "I" (UART_RX_BIT) + : + "r25" +); +#else + while(!(UCSR0A & _BV(RXC0))); + ch = UDR0; +#endif + +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + +#ifdef SOFT_UART +//#define UART_B_VALUE (((F_CPU/BAUD_RATE)-23)/6) +#define UART_B_VALUE (((F_CPU/BAUD_RATE)-20)/6) +#if UART_B_VALUE > 255 +#error Baud rate too slow for soft UART +#endif + +void uartDelay() { + __asm__ __volatile__ ( + "ldi r25,%[count]\n" + "1:dec r25\n" + "brne 1b\n" + "ret\n" + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + putch(STK_INSYNC); +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + length = getch(); + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + WDTCSR = x; +} + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + __asm__ __volatile__ ( +#ifdef VIRTUAL_BOOT_PARTITION + // Jump to WDT vector + "ldi r30,5\n" + "clr r31\n" +#else + // Jump to RST vector + "clr r30\n" + "clr r31\n" +#endif + "ijmp\n" + ); +} diff --git a/bootloaders/optiboot/optiboot_atmega328.hex b/bootloaders/optiboot/optiboot_atmega328.hex new file mode 100644 index 0000000..b1c8567 --- /dev/null +++ b/bootloaders/optiboot/optiboot_atmega328.hex @@ -0,0 +1,33 @@ +:107E000085E08093810082E08093C00088E18093C8 +:107E1000C10086E08093C20080E18093C40084B7F3 +:107E200014BE81FFD0D08DE0C8D0259A86E020E333 +:107E30003CEF91E0309385002093840096BBB09B8B +:107E4000FECF1D9AA8958150A9F7DD24D394A5E013 +:107E5000EA2EF1E1FF2EA4D0813421F481E0BED0DE +:107E600083E024C0823411F484E103C0853419F422 +:107E700085E0B4D08AC08535A1F492D0082F10E0F7 +:107E800010930102009300028BD090E0982F882776 +:107E9000802B912B880F991F9093010280930002F1 +:107EA00073C0863529F484E099D080E071D06DC02C +:107EB000843609F043C07CD0E0910002F0910102C9 +:107EC00083E080935700E895C0E0D1E069D08993C2 +:107ED000809102028150809302028823B9F778D002 +:107EE00007B600FCFDCF4091000250910102A0E0D6 +:107EF000B1E02C9130E011968C91119790E0982F81 +:107F00008827822B932B1296FA010C01D0925700EE +:107F1000E89511244E5F5F4FF1E0A038BF0749F7A5 +:107F2000E0910002F0910102E0925700E89507B657 +:107F300000FCFDCFF0925700E89527C08437B9F4D4 +:107F400037D046D0E0910002F09101023196F093D3 +:107F50000102E09300023197E4918E2F19D08091B5 +:107F60000202815080930202882361F70EC0853798 +:107F700039F42ED08EE10CD085E90AD08FE096CF6F +:107F8000813511F488E019D023D080E101D063CF8E +:107F9000982F8091C00085FFFCCF9093C600089574 +:107FA000A8958091C00087FFFCCF8091C6000895FE +:107FB000F7DFF6DF80930202F3CFE0E6F0E098E12E +:107FC00090838083089580E0F8DFEE27FF270994EF +:107FD000E7DF803209F0F7DF84E1DACF1F93182F53 +:0C7FE000DFDF1150E9F7F4DF1F91089576 +:0400000300007E007B +:00000001FF diff --git a/bootloaders/optiboot/optiboot_atmega328.lst b/bootloaders/optiboot/optiboot_atmega328.lst new file mode 100644 index 0000000..888871d --- /dev/null +++ b/bootloaders/optiboot/optiboot_atmega328.lst @@ -0,0 +1,520 @@ + +optiboot_atmega328.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001ec 00007e00 00007e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000240 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 0000006a 00000000 00000000 00000268 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000269 00000000 00000000 000002d2 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000196 00000000 00000000 0000053b 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003d3 00000000 00000000 000006d1 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 00000090 00000000 00000000 00000aa4 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 00000135 00000000 00000000 00000b34 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001d1 00000000 00000000 00000c69 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000068 00000000 00000000 00000e3a 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00007e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 7e00: 85 e0 ldi r24, 0x05 ; 5 + 7e02: 80 93 81 00 sts 0x0081, r24 +#if LED_START_FLASHES > 0 + // Set up Timer 1 for timeout counter + TCCR1B = _BV(CS12) | _BV(CS10); // div 1024 +#endif +#ifndef SOFT_UART + UCSR0A = _BV(U2X0); //Double speed mode USART0 + 7e06: 82 e0 ldi r24, 0x02 ; 2 + 7e08: 80 93 c0 00 sts 0x00C0, r24 + UCSR0B = _BV(RXEN0) | _BV(TXEN0); + 7e0c: 88 e1 ldi r24, 0x18 ; 24 + 7e0e: 80 93 c1 00 sts 0x00C1, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + 7e12: 86 e0 ldi r24, 0x06 ; 6 + 7e14: 80 93 c2 00 sts 0x00C2, r24 + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); + 7e18: 80 e1 ldi r24, 0x10 ; 16 + 7e1a: 80 93 c4 00 sts 0x00C4, r24 +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 7e1e: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 7e20: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 7e22: 81 ff sbrs r24, 1 + 7e24: d0 d0 rcall .+416 ; 0x7fc6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 7e26: 8d e0 ldi r24, 0x0D ; 13 + 7e28: c8 d0 rcall .+400 ; 0x7fba + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 7e2a: 25 9a sbi 0x04, 5 ; 4 + 7e2c: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 7e2e: 20 e3 ldi r18, 0x30 ; 48 + 7e30: 3c ef ldi r19, 0xFC ; 252 + TIFR1 = _BV(TOV1); + 7e32: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 7e34: 30 93 85 00 sts 0x0085, r19 + 7e38: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 7e3c: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 7e3e: b0 9b sbis 0x16, 0 ; 22 + 7e40: fe cf rjmp .-4 ; 0x7e3e + LED_PIN |= _BV(LED); + 7e42: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 7e44: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 7e46: 81 50 subi r24, 0x01 ; 1 + 7e48: a9 f7 brne .-22 ; 0x7e34 + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 7e4a: dd 24 eor r13, r13 + 7e4c: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 7e4e: a5 e0 ldi r26, 0x05 ; 5 + 7e50: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 7e52: f1 e1 ldi r31, 0x11 ; 17 + 7e54: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 7e56: a4 d0 rcall .+328 ; 0x7fa0 + + if(ch == STK_GET_PARAMETER) { + 7e58: 81 34 cpi r24, 0x41 ; 65 + 7e5a: 21 f4 brne .+8 ; 0x7e64 + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 7e5c: 81 e0 ldi r24, 0x01 ; 1 + 7e5e: be d0 rcall .+380 ; 0x7fdc + putch(0x03); + 7e60: 83 e0 ldi r24, 0x03 ; 3 + 7e62: 24 c0 rjmp .+72 ; 0x7eac + } + else if(ch == STK_SET_DEVICE) { + 7e64: 82 34 cpi r24, 0x42 ; 66 + 7e66: 11 f4 brne .+4 ; 0x7e6c + // SET DEVICE is ignored + getNch(20); + 7e68: 84 e1 ldi r24, 0x14 ; 20 + 7e6a: 03 c0 rjmp .+6 ; 0x7e72 + } + else if(ch == STK_SET_DEVICE_EXT) { + 7e6c: 85 34 cpi r24, 0x45 ; 69 + 7e6e: 19 f4 brne .+6 ; 0x7e76 + // SET DEVICE EXT is ignored + getNch(5); + 7e70: 85 e0 ldi r24, 0x05 ; 5 + 7e72: b4 d0 rcall .+360 ; 0x7fdc + 7e74: 8a c0 rjmp .+276 ; 0x7f8a + } + else if(ch == STK_LOAD_ADDRESS) { + 7e76: 85 35 cpi r24, 0x55 ; 85 + 7e78: a1 f4 brne .+40 ; 0x7ea2 + // LOAD ADDRESS + address = getch(); + 7e7a: 92 d0 rcall .+292 ; 0x7fa0 + 7e7c: 08 2f mov r16, r24 + 7e7e: 10 e0 ldi r17, 0x00 ; 0 + 7e80: 10 93 01 02 sts 0x0201, r17 + 7e84: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 7e88: 8b d0 rcall .+278 ; 0x7fa0 + 7e8a: 90 e0 ldi r25, 0x00 ; 0 + 7e8c: 98 2f mov r25, r24 + 7e8e: 88 27 eor r24, r24 + 7e90: 80 2b or r24, r16 + 7e92: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 7e94: 88 0f add r24, r24 + 7e96: 99 1f adc r25, r25 + 7e98: 90 93 01 02 sts 0x0201, r25 + 7e9c: 80 93 00 02 sts 0x0200, r24 + 7ea0: 73 c0 rjmp .+230 ; 0x7f88 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 7ea2: 86 35 cpi r24, 0x56 ; 86 + 7ea4: 29 f4 brne .+10 ; 0x7eb0 + // UNIVERSAL command is ignored + getNch(4); + 7ea6: 84 e0 ldi r24, 0x04 ; 4 + 7ea8: 99 d0 rcall .+306 ; 0x7fdc + putch(0x00); + 7eaa: 80 e0 ldi r24, 0x00 ; 0 + 7eac: 71 d0 rcall .+226 ; 0x7f90 + 7eae: 6d c0 rjmp .+218 ; 0x7f8a + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 7eb0: 84 36 cpi r24, 0x64 ; 100 + 7eb2: 09 f0 breq .+2 ; 0x7eb6 + 7eb4: 43 c0 rjmp .+134 ; 0x7f3c + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 7eb6: 7c d0 rcall .+248 ; 0x7fb0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 7eb8: e0 91 00 02 lds r30, 0x0200 + 7ebc: f0 91 01 02 lds r31, 0x0201 + 7ec0: 83 e0 ldi r24, 0x03 ; 3 + 7ec2: 80 93 57 00 sts 0x0057, r24 + 7ec6: e8 95 spm + 7ec8: c0 e0 ldi r28, 0x00 ; 0 + 7eca: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 7ecc: 69 d0 rcall .+210 ; 0x7fa0 + 7ece: 89 93 st Y+, r24 + while (--length); + 7ed0: 80 91 02 02 lds r24, 0x0202 + 7ed4: 81 50 subi r24, 0x01 ; 1 + 7ed6: 80 93 02 02 sts 0x0202, r24 + 7eda: 88 23 and r24, r24 + 7edc: b9 f7 brne .-18 ; 0x7ecc + + // Read command terminator, start reply + verifySpace(); + 7ede: 78 d0 rcall .+240 ; 0x7fd0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 7ee0: 07 b6 in r0, 0x37 ; 55 + 7ee2: 00 fc sbrc r0, 0 + 7ee4: fd cf rjmp .-6 ; 0x7ee0 + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 7ee6: 40 91 00 02 lds r20, 0x0200 + 7eea: 50 91 01 02 lds r21, 0x0201 + 7eee: a0 e0 ldi r26, 0x00 ; 0 + 7ef0: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 7ef2: 2c 91 ld r18, X + 7ef4: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 7ef6: 11 96 adiw r26, 0x01 ; 1 + 7ef8: 8c 91 ld r24, X + 7efa: 11 97 sbiw r26, 0x01 ; 1 + 7efc: 90 e0 ldi r25, 0x00 ; 0 + 7efe: 98 2f mov r25, r24 + 7f00: 88 27 eor r24, r24 + 7f02: 82 2b or r24, r18 + 7f04: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 7f06: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 7f08: fa 01 movw r30, r20 + 7f0a: 0c 01 movw r0, r24 + 7f0c: d0 92 57 00 sts 0x0057, r13 + 7f10: e8 95 spm + 7f12: 11 24 eor r1, r1 + addrPtr += 2; + 7f14: 4e 5f subi r20, 0xFE ; 254 + 7f16: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 7f18: f1 e0 ldi r31, 0x01 ; 1 + 7f1a: a0 38 cpi r26, 0x80 ; 128 + 7f1c: bf 07 cpc r27, r31 + 7f1e: 49 f7 brne .-46 ; 0x7ef2 + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 7f20: e0 91 00 02 lds r30, 0x0200 + 7f24: f0 91 01 02 lds r31, 0x0201 + 7f28: e0 92 57 00 sts 0x0057, r14 + 7f2c: e8 95 spm + boot_spm_busy_wait(); + 7f2e: 07 b6 in r0, 0x37 ; 55 + 7f30: 00 fc sbrc r0, 0 + 7f32: fd cf rjmp .-6 ; 0x7f2e + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 7f34: f0 92 57 00 sts 0x0057, r15 + 7f38: e8 95 spm + 7f3a: 27 c0 rjmp .+78 ; 0x7f8a +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 7f3c: 84 37 cpi r24, 0x74 ; 116 + 7f3e: b9 f4 brne .+46 ; 0x7f6e + // READ PAGE - we only read flash + getLen(); + 7f40: 37 d0 rcall .+110 ; 0x7fb0 + verifySpace(); + 7f42: 46 d0 rcall .+140 ; 0x7fd0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 7f44: e0 91 00 02 lds r30, 0x0200 + 7f48: f0 91 01 02 lds r31, 0x0201 + 7f4c: 31 96 adiw r30, 0x01 ; 1 + 7f4e: f0 93 01 02 sts 0x0201, r31 + 7f52: e0 93 00 02 sts 0x0200, r30 + 7f56: 31 97 sbiw r30, 0x01 ; 1 + 7f58: e4 91 lpm r30, Z+ + 7f5a: 8e 2f mov r24, r30 + 7f5c: 19 d0 rcall .+50 ; 0x7f90 + while (--length); + 7f5e: 80 91 02 02 lds r24, 0x0202 + 7f62: 81 50 subi r24, 0x01 ; 1 + 7f64: 80 93 02 02 sts 0x0202, r24 + 7f68: 88 23 and r24, r24 + 7f6a: 61 f7 brne .-40 ; 0x7f44 + 7f6c: 0e c0 rjmp .+28 ; 0x7f8a +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 7f6e: 85 37 cpi r24, 0x75 ; 117 + 7f70: 39 f4 brne .+14 ; 0x7f80 + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 7f72: 2e d0 rcall .+92 ; 0x7fd0 + putch(SIGNATURE_0); + 7f74: 8e e1 ldi r24, 0x1E ; 30 + 7f76: 0c d0 rcall .+24 ; 0x7f90 + putch(SIGNATURE_1); + 7f78: 85 e9 ldi r24, 0x95 ; 149 + 7f7a: 0a d0 rcall .+20 ; 0x7f90 + putch(SIGNATURE_2); + 7f7c: 8f e0 ldi r24, 0x0F ; 15 + 7f7e: 96 cf rjmp .-212 ; 0x7eac + } + else if (ch == 'Q') { + 7f80: 81 35 cpi r24, 0x51 ; 81 + 7f82: 11 f4 brne .+4 ; 0x7f88 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 7f84: 88 e0 ldi r24, 0x08 ; 8 + 7f86: 19 d0 rcall .+50 ; 0x7fba + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 7f88: 23 d0 rcall .+70 ; 0x7fd0 + } + putch(STK_OK); + 7f8a: 80 e1 ldi r24, 0x10 ; 16 + 7f8c: 01 d0 rcall .+2 ; 0x7f90 + 7f8e: 63 cf rjmp .-314 ; 0x7e56 + +00007f90 : + } +} + +void putch(char ch) { + 7f90: 98 2f mov r25, r24 +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + 7f92: 80 91 c0 00 lds r24, 0x00C0 + 7f96: 85 ff sbrs r24, 5 + 7f98: fc cf rjmp .-8 ; 0x7f92 + UDR0 = ch; + 7f9a: 90 93 c6 00 sts 0x00C6, r25 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 7f9e: 08 95 ret + +00007fa0 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 7fa0: a8 95 wdr + [uartBit] "I" (UART_RX_BIT) + : + "r25" +); +#else + while(!(UCSR0A & _BV(RXC0))); + 7fa2: 80 91 c0 00 lds r24, 0x00C0 + 7fa6: 87 ff sbrs r24, 7 + 7fa8: fc cf rjmp .-8 ; 0x7fa2 + ch = UDR0; + 7faa: 80 91 c6 00 lds r24, 0x00C6 +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 7fae: 08 95 ret + +00007fb0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 7fb0: f7 df rcall .-18 ; 0x7fa0 + length = getch(); + 7fb2: f6 df rcall .-20 ; 0x7fa0 + 7fb4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 7fb8: f3 cf rjmp .-26 ; 0x7fa0 + +00007fba : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 7fba: e0 e6 ldi r30, 0x60 ; 96 + 7fbc: f0 e0 ldi r31, 0x00 ; 0 + 7fbe: 98 e1 ldi r25, 0x18 ; 24 + 7fc0: 90 83 st Z, r25 + WDTCSR = x; + 7fc2: 80 83 st Z, r24 +} + 7fc4: 08 95 ret + +00007fc6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 7fc6: 80 e0 ldi r24, 0x00 ; 0 + 7fc8: f8 df rcall .-16 ; 0x7fba + __asm__ __volatile__ ( + 7fca: ee 27 eor r30, r30 + 7fcc: ff 27 eor r31, r31 + 7fce: 09 94 ijmp + +00007fd0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 7fd0: e7 df rcall .-50 ; 0x7fa0 + 7fd2: 80 32 cpi r24, 0x20 ; 32 + 7fd4: 09 f0 breq .+2 ; 0x7fd8 + 7fd6: f7 df rcall .-18 ; 0x7fc6 + putch(STK_INSYNC); + 7fd8: 84 e1 ldi r24, 0x14 ; 20 +} + 7fda: da cf rjmp .-76 ; 0x7f90 + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 7fdc: 1f 93 push r17 + 7fde: 18 2f mov r17, r24 + +00007fe0 : + do getch(); while (--count); + 7fe0: df df rcall .-66 ; 0x7fa0 + 7fe2: 11 50 subi r17, 0x01 ; 1 + 7fe4: e9 f7 brne .-6 ; 0x7fe0 + verifySpace(); + 7fe6: f4 df rcall .-24 ; 0x7fd0 +} + 7fe8: 1f 91 pop r17 + 7fea: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_atmega328_pro_8MHz.hex b/bootloaders/optiboot/optiboot_atmega328_pro_8MHz.hex new file mode 100644 index 0000000..d6ac145 --- /dev/null +++ b/bootloaders/optiboot/optiboot_atmega328_pro_8MHz.hex @@ -0,0 +1,33 @@ +:107E000085E08093810082E08093C00088E18093C8 +:107E1000C10086E08093C20088E08093C40084B7EC +:107E200014BE81FFD0D08DE0C8D0259A86E028E12D +:107E30003EEF91E0309385002093840096BBB09B89 +:107E4000FECF1D9AA8958150A9F7DD24D394A5E013 +:107E5000EA2EF1E1FF2EA4D0813421F481E0BED0DE +:107E600083E024C0823411F484E103C0853419F422 +:107E700085E0B4D08AC08535A1F492D0082F10E0F7 +:107E800010930102009300028BD090E0982F882776 +:107E9000802B912B880F991F9093010280930002F1 +:107EA00073C0863529F484E099D080E071D06DC02C +:107EB000843609F043C07CD0E0910002F0910102C9 +:107EC00083E080935700E895C0E0D1E069D08993C2 +:107ED000809102028150809302028823B9F778D002 +:107EE00007B600FCFDCF4091000250910102A0E0D6 +:107EF000B1E02C9130E011968C91119790E0982F81 +:107F00008827822B932B1296FA010C01D0925700EE +:107F1000E89511244E5F5F4FF1E0A038BF0749F7A5 +:107F2000E0910002F0910102E0925700E89507B657 +:107F300000FCFDCFF0925700E89527C08437B9F4D4 +:107F400037D046D0E0910002F09101023196F093D3 +:107F50000102E09300023197E4918E2F19D08091B5 +:107F60000202815080930202882361F70EC0853798 +:107F700039F42ED08EE10CD085E90AD08FE096CF6F +:107F8000813511F488E019D023D080E101D063CF8E +:107F9000982F8091C00085FFFCCF9093C600089574 +:107FA000A8958091C00087FFFCCF8091C6000895FE +:107FB000F7DFF6DF80930202F3CFE0E6F0E098E12E +:107FC00090838083089580E0F8DFEE27FF270994EF +:107FD000E7DF803209F0F7DF84E1DACF1F93182F53 +:0C7FE000DFDF1150E9F7F4DF1F91089576 +:0400000300007E007B +:00000001FF diff --git a/bootloaders/optiboot/optiboot_atmega328_pro_8MHz.lst b/bootloaders/optiboot/optiboot_atmega328_pro_8MHz.lst new file mode 100644 index 0000000..46eda68 --- /dev/null +++ b/bootloaders/optiboot/optiboot_atmega328_pro_8MHz.lst @@ -0,0 +1,520 @@ + +optiboot_atmega328_pro_8MHz.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001ec 00007e00 00007e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000240 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 0000006a 00000000 00000000 00000268 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000269 00000000 00000000 000002d2 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000196 00000000 00000000 0000053b 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003d3 00000000 00000000 000006d1 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 00000090 00000000 00000000 00000aa4 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 00000135 00000000 00000000 00000b34 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001d1 00000000 00000000 00000c69 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000068 00000000 00000000 00000e3a 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00007e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 7e00: 85 e0 ldi r24, 0x05 ; 5 + 7e02: 80 93 81 00 sts 0x0081, r24 +#if LED_START_FLASHES > 0 + // Set up Timer 1 for timeout counter + TCCR1B = _BV(CS12) | _BV(CS10); // div 1024 +#endif +#ifndef SOFT_UART + UCSR0A = _BV(U2X0); //Double speed mode USART0 + 7e06: 82 e0 ldi r24, 0x02 ; 2 + 7e08: 80 93 c0 00 sts 0x00C0, r24 + UCSR0B = _BV(RXEN0) | _BV(TXEN0); + 7e0c: 88 e1 ldi r24, 0x18 ; 24 + 7e0e: 80 93 c1 00 sts 0x00C1, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + 7e12: 86 e0 ldi r24, 0x06 ; 6 + 7e14: 80 93 c2 00 sts 0x00C2, r24 + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); + 7e18: 88 e0 ldi r24, 0x08 ; 8 + 7e1a: 80 93 c4 00 sts 0x00C4, r24 +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 7e1e: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 7e20: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 7e22: 81 ff sbrs r24, 1 + 7e24: d0 d0 rcall .+416 ; 0x7fc6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 7e26: 8d e0 ldi r24, 0x0D ; 13 + 7e28: c8 d0 rcall .+400 ; 0x7fba + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 7e2a: 25 9a sbi 0x04, 5 ; 4 + 7e2c: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 7e2e: 28 e1 ldi r18, 0x18 ; 24 + 7e30: 3e ef ldi r19, 0xFE ; 254 + TIFR1 = _BV(TOV1); + 7e32: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 7e34: 30 93 85 00 sts 0x0085, r19 + 7e38: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 7e3c: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 7e3e: b0 9b sbis 0x16, 0 ; 22 + 7e40: fe cf rjmp .-4 ; 0x7e3e + LED_PIN |= _BV(LED); + 7e42: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 7e44: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 7e46: 81 50 subi r24, 0x01 ; 1 + 7e48: a9 f7 brne .-22 ; 0x7e34 + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 7e4a: dd 24 eor r13, r13 + 7e4c: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 7e4e: a5 e0 ldi r26, 0x05 ; 5 + 7e50: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 7e52: f1 e1 ldi r31, 0x11 ; 17 + 7e54: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 7e56: a4 d0 rcall .+328 ; 0x7fa0 + + if(ch == STK_GET_PARAMETER) { + 7e58: 81 34 cpi r24, 0x41 ; 65 + 7e5a: 21 f4 brne .+8 ; 0x7e64 + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 7e5c: 81 e0 ldi r24, 0x01 ; 1 + 7e5e: be d0 rcall .+380 ; 0x7fdc + putch(0x03); + 7e60: 83 e0 ldi r24, 0x03 ; 3 + 7e62: 24 c0 rjmp .+72 ; 0x7eac + } + else if(ch == STK_SET_DEVICE) { + 7e64: 82 34 cpi r24, 0x42 ; 66 + 7e66: 11 f4 brne .+4 ; 0x7e6c + // SET DEVICE is ignored + getNch(20); + 7e68: 84 e1 ldi r24, 0x14 ; 20 + 7e6a: 03 c0 rjmp .+6 ; 0x7e72 + } + else if(ch == STK_SET_DEVICE_EXT) { + 7e6c: 85 34 cpi r24, 0x45 ; 69 + 7e6e: 19 f4 brne .+6 ; 0x7e76 + // SET DEVICE EXT is ignored + getNch(5); + 7e70: 85 e0 ldi r24, 0x05 ; 5 + 7e72: b4 d0 rcall .+360 ; 0x7fdc + 7e74: 8a c0 rjmp .+276 ; 0x7f8a + } + else if(ch == STK_LOAD_ADDRESS) { + 7e76: 85 35 cpi r24, 0x55 ; 85 + 7e78: a1 f4 brne .+40 ; 0x7ea2 + // LOAD ADDRESS + address = getch(); + 7e7a: 92 d0 rcall .+292 ; 0x7fa0 + 7e7c: 08 2f mov r16, r24 + 7e7e: 10 e0 ldi r17, 0x00 ; 0 + 7e80: 10 93 01 02 sts 0x0201, r17 + 7e84: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 7e88: 8b d0 rcall .+278 ; 0x7fa0 + 7e8a: 90 e0 ldi r25, 0x00 ; 0 + 7e8c: 98 2f mov r25, r24 + 7e8e: 88 27 eor r24, r24 + 7e90: 80 2b or r24, r16 + 7e92: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 7e94: 88 0f add r24, r24 + 7e96: 99 1f adc r25, r25 + 7e98: 90 93 01 02 sts 0x0201, r25 + 7e9c: 80 93 00 02 sts 0x0200, r24 + 7ea0: 73 c0 rjmp .+230 ; 0x7f88 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 7ea2: 86 35 cpi r24, 0x56 ; 86 + 7ea4: 29 f4 brne .+10 ; 0x7eb0 + // UNIVERSAL command is ignored + getNch(4); + 7ea6: 84 e0 ldi r24, 0x04 ; 4 + 7ea8: 99 d0 rcall .+306 ; 0x7fdc + putch(0x00); + 7eaa: 80 e0 ldi r24, 0x00 ; 0 + 7eac: 71 d0 rcall .+226 ; 0x7f90 + 7eae: 6d c0 rjmp .+218 ; 0x7f8a + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 7eb0: 84 36 cpi r24, 0x64 ; 100 + 7eb2: 09 f0 breq .+2 ; 0x7eb6 + 7eb4: 43 c0 rjmp .+134 ; 0x7f3c + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 7eb6: 7c d0 rcall .+248 ; 0x7fb0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 7eb8: e0 91 00 02 lds r30, 0x0200 + 7ebc: f0 91 01 02 lds r31, 0x0201 + 7ec0: 83 e0 ldi r24, 0x03 ; 3 + 7ec2: 80 93 57 00 sts 0x0057, r24 + 7ec6: e8 95 spm + 7ec8: c0 e0 ldi r28, 0x00 ; 0 + 7eca: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 7ecc: 69 d0 rcall .+210 ; 0x7fa0 + 7ece: 89 93 st Y+, r24 + while (--length); + 7ed0: 80 91 02 02 lds r24, 0x0202 + 7ed4: 81 50 subi r24, 0x01 ; 1 + 7ed6: 80 93 02 02 sts 0x0202, r24 + 7eda: 88 23 and r24, r24 + 7edc: b9 f7 brne .-18 ; 0x7ecc + + // Read command terminator, start reply + verifySpace(); + 7ede: 78 d0 rcall .+240 ; 0x7fd0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 7ee0: 07 b6 in r0, 0x37 ; 55 + 7ee2: 00 fc sbrc r0, 0 + 7ee4: fd cf rjmp .-6 ; 0x7ee0 + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 7ee6: 40 91 00 02 lds r20, 0x0200 + 7eea: 50 91 01 02 lds r21, 0x0201 + 7eee: a0 e0 ldi r26, 0x00 ; 0 + 7ef0: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 7ef2: 2c 91 ld r18, X + 7ef4: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 7ef6: 11 96 adiw r26, 0x01 ; 1 + 7ef8: 8c 91 ld r24, X + 7efa: 11 97 sbiw r26, 0x01 ; 1 + 7efc: 90 e0 ldi r25, 0x00 ; 0 + 7efe: 98 2f mov r25, r24 + 7f00: 88 27 eor r24, r24 + 7f02: 82 2b or r24, r18 + 7f04: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 7f06: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 7f08: fa 01 movw r30, r20 + 7f0a: 0c 01 movw r0, r24 + 7f0c: d0 92 57 00 sts 0x0057, r13 + 7f10: e8 95 spm + 7f12: 11 24 eor r1, r1 + addrPtr += 2; + 7f14: 4e 5f subi r20, 0xFE ; 254 + 7f16: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 7f18: f1 e0 ldi r31, 0x01 ; 1 + 7f1a: a0 38 cpi r26, 0x80 ; 128 + 7f1c: bf 07 cpc r27, r31 + 7f1e: 49 f7 brne .-46 ; 0x7ef2 + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 7f20: e0 91 00 02 lds r30, 0x0200 + 7f24: f0 91 01 02 lds r31, 0x0201 + 7f28: e0 92 57 00 sts 0x0057, r14 + 7f2c: e8 95 spm + boot_spm_busy_wait(); + 7f2e: 07 b6 in r0, 0x37 ; 55 + 7f30: 00 fc sbrc r0, 0 + 7f32: fd cf rjmp .-6 ; 0x7f2e + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 7f34: f0 92 57 00 sts 0x0057, r15 + 7f38: e8 95 spm + 7f3a: 27 c0 rjmp .+78 ; 0x7f8a +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 7f3c: 84 37 cpi r24, 0x74 ; 116 + 7f3e: b9 f4 brne .+46 ; 0x7f6e + // READ PAGE - we only read flash + getLen(); + 7f40: 37 d0 rcall .+110 ; 0x7fb0 + verifySpace(); + 7f42: 46 d0 rcall .+140 ; 0x7fd0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 7f44: e0 91 00 02 lds r30, 0x0200 + 7f48: f0 91 01 02 lds r31, 0x0201 + 7f4c: 31 96 adiw r30, 0x01 ; 1 + 7f4e: f0 93 01 02 sts 0x0201, r31 + 7f52: e0 93 00 02 sts 0x0200, r30 + 7f56: 31 97 sbiw r30, 0x01 ; 1 + 7f58: e4 91 lpm r30, Z+ + 7f5a: 8e 2f mov r24, r30 + 7f5c: 19 d0 rcall .+50 ; 0x7f90 + while (--length); + 7f5e: 80 91 02 02 lds r24, 0x0202 + 7f62: 81 50 subi r24, 0x01 ; 1 + 7f64: 80 93 02 02 sts 0x0202, r24 + 7f68: 88 23 and r24, r24 + 7f6a: 61 f7 brne .-40 ; 0x7f44 + 7f6c: 0e c0 rjmp .+28 ; 0x7f8a +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 7f6e: 85 37 cpi r24, 0x75 ; 117 + 7f70: 39 f4 brne .+14 ; 0x7f80 + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 7f72: 2e d0 rcall .+92 ; 0x7fd0 + putch(SIGNATURE_0); + 7f74: 8e e1 ldi r24, 0x1E ; 30 + 7f76: 0c d0 rcall .+24 ; 0x7f90 + putch(SIGNATURE_1); + 7f78: 85 e9 ldi r24, 0x95 ; 149 + 7f7a: 0a d0 rcall .+20 ; 0x7f90 + putch(SIGNATURE_2); + 7f7c: 8f e0 ldi r24, 0x0F ; 15 + 7f7e: 96 cf rjmp .-212 ; 0x7eac + } + else if (ch == 'Q') { + 7f80: 81 35 cpi r24, 0x51 ; 81 + 7f82: 11 f4 brne .+4 ; 0x7f88 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 7f84: 88 e0 ldi r24, 0x08 ; 8 + 7f86: 19 d0 rcall .+50 ; 0x7fba + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 7f88: 23 d0 rcall .+70 ; 0x7fd0 + } + putch(STK_OK); + 7f8a: 80 e1 ldi r24, 0x10 ; 16 + 7f8c: 01 d0 rcall .+2 ; 0x7f90 + 7f8e: 63 cf rjmp .-314 ; 0x7e56 + +00007f90 : + } +} + +void putch(char ch) { + 7f90: 98 2f mov r25, r24 +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + 7f92: 80 91 c0 00 lds r24, 0x00C0 + 7f96: 85 ff sbrs r24, 5 + 7f98: fc cf rjmp .-8 ; 0x7f92 + UDR0 = ch; + 7f9a: 90 93 c6 00 sts 0x00C6, r25 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 7f9e: 08 95 ret + +00007fa0 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 7fa0: a8 95 wdr + [uartBit] "I" (UART_RX_BIT) + : + "r25" +); +#else + while(!(UCSR0A & _BV(RXC0))); + 7fa2: 80 91 c0 00 lds r24, 0x00C0 + 7fa6: 87 ff sbrs r24, 7 + 7fa8: fc cf rjmp .-8 ; 0x7fa2 + ch = UDR0; + 7faa: 80 91 c6 00 lds r24, 0x00C6 +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 7fae: 08 95 ret + +00007fb0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 7fb0: f7 df rcall .-18 ; 0x7fa0 + length = getch(); + 7fb2: f6 df rcall .-20 ; 0x7fa0 + 7fb4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 7fb8: f3 cf rjmp .-26 ; 0x7fa0 + +00007fba : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 7fba: e0 e6 ldi r30, 0x60 ; 96 + 7fbc: f0 e0 ldi r31, 0x00 ; 0 + 7fbe: 98 e1 ldi r25, 0x18 ; 24 + 7fc0: 90 83 st Z, r25 + WDTCSR = x; + 7fc2: 80 83 st Z, r24 +} + 7fc4: 08 95 ret + +00007fc6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 7fc6: 80 e0 ldi r24, 0x00 ; 0 + 7fc8: f8 df rcall .-16 ; 0x7fba + __asm__ __volatile__ ( + 7fca: ee 27 eor r30, r30 + 7fcc: ff 27 eor r31, r31 + 7fce: 09 94 ijmp + +00007fd0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 7fd0: e7 df rcall .-50 ; 0x7fa0 + 7fd2: 80 32 cpi r24, 0x20 ; 32 + 7fd4: 09 f0 breq .+2 ; 0x7fd8 + 7fd6: f7 df rcall .-18 ; 0x7fc6 + putch(STK_INSYNC); + 7fd8: 84 e1 ldi r24, 0x14 ; 20 +} + 7fda: da cf rjmp .-76 ; 0x7f90 + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 7fdc: 1f 93 push r17 + 7fde: 18 2f mov r17, r24 + +00007fe0 : + do getch(); while (--count); + 7fe0: df df rcall .-66 ; 0x7fa0 + 7fe2: 11 50 subi r17, 0x01 ; 1 + 7fe4: e9 f7 brne .-6 ; 0x7fe0 + verifySpace(); + 7fe6: f4 df rcall .-24 ; 0x7fd0 +} + 7fe8: 1f 91 pop r17 + 7fea: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_diecimila.hex b/bootloaders/optiboot/optiboot_diecimila.hex new file mode 100644 index 0000000..1e93414 --- /dev/null +++ b/bootloaders/optiboot/optiboot_diecimila.hex @@ -0,0 +1,33 @@ +:103E000085E08093810082E08093C00088E1809308 +:103E1000C10086E08093C20080E18093C40084B733 +:103E200014BE81FFD0D08DE0C8D0259A86E020E373 +:103E30003CEF91E0309385002093840096BBB09BCB +:103E4000FECF1D9AA8958150A9F7DD24D394A5E053 +:103E5000EA2EF1E1FF2EA4D0813421F481E0BED01E +:103E600083E024C0823411F484E103C0853419F462 +:103E700085E0B4D08AC08535A1F492D0082F10E037 +:103E800010930102009300028BD090E0982F8827B6 +:103E9000802B912B880F991F909301028093000231 +:103EA00073C0863529F484E099D080E071D06DC06C +:103EB000843609F043C07CD0E0910002F091010209 +:103EC00083E080935700E895C0E0D1E069D0899302 +:103ED000809102028150809302028823B9F778D042 +:103EE00007B600FCFDCF4091000250910102A0E016 +:103EF000B1E02C9130E011968C91119790E0982FC1 +:103F00008827822B932B1296FA010C01D09257002E +:103F1000E89511244E5F5F4FF1E0A038BF0749F7E5 +:103F2000E0910002F0910102E0925700E89507B697 +:103F300000FCFDCFF0925700E89527C08437B9F414 +:103F400037D046D0E0910002F09101023196F09313 +:103F50000102E09300023197E4918E2F19D08091F5 +:103F60000202815080930202882361F70EC08537D8 +:103F700039F42ED08EE10CD084E90AD086E096CFB9 +:103F8000813511F488E019D023D080E101D063CFCE +:103F9000982F8091C00085FFFCCF9093C6000895B4 +:103FA000A8958091C00087FFFCCF8091C60008953E +:103FB000F7DFF6DF80930202F3CFE0E6F0E098E16E +:103FC00090838083089580E0F8DFEE27FF2709942F +:103FD000E7DF803209F0F7DF84E1DACF1F93182F93 +:0C3FE000DFDF1150E9F7F4DF1F910895B6 +:0400000300003E00BB +:00000001FF diff --git a/bootloaders/optiboot/optiboot_diecimila.lst b/bootloaders/optiboot/optiboot_diecimila.lst new file mode 100644 index 0000000..1121893 --- /dev/null +++ b/bootloaders/optiboot/optiboot_diecimila.lst @@ -0,0 +1,520 @@ + +optiboot_diecimila.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001ec 00003e00 00003e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000240 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 0000006a 00000000 00000000 00000268 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000269 00000000 00000000 000002d2 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000196 00000000 00000000 0000053b 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003d3 00000000 00000000 000006d1 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 00000090 00000000 00000000 00000aa4 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 00000135 00000000 00000000 00000b34 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001d1 00000000 00000000 00000c69 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000068 00000000 00000000 00000e3a 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00003e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3e00: 85 e0 ldi r24, 0x05 ; 5 + 3e02: 80 93 81 00 sts 0x0081, r24 +#if LED_START_FLASHES > 0 + // Set up Timer 1 for timeout counter + TCCR1B = _BV(CS12) | _BV(CS10); // div 1024 +#endif +#ifndef SOFT_UART + UCSR0A = _BV(U2X0); //Double speed mode USART0 + 3e06: 82 e0 ldi r24, 0x02 ; 2 + 3e08: 80 93 c0 00 sts 0x00C0, r24 + UCSR0B = _BV(RXEN0) | _BV(TXEN0); + 3e0c: 88 e1 ldi r24, 0x18 ; 24 + 3e0e: 80 93 c1 00 sts 0x00C1, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + 3e12: 86 e0 ldi r24, 0x06 ; 6 + 3e14: 80 93 c2 00 sts 0x00C2, r24 + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); + 3e18: 80 e1 ldi r24, 0x10 ; 16 + 3e1a: 80 93 c4 00 sts 0x00C4, r24 +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 3e1e: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 3e20: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 3e22: 81 ff sbrs r24, 1 + 3e24: d0 d0 rcall .+416 ; 0x3fc6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 3e26: 8d e0 ldi r24, 0x0D ; 13 + 3e28: c8 d0 rcall .+400 ; 0x3fba + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 3e2a: 25 9a sbi 0x04, 5 ; 4 + 3e2c: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e2e: 20 e3 ldi r18, 0x30 ; 48 + 3e30: 3c ef ldi r19, 0xFC ; 252 + TIFR1 = _BV(TOV1); + 3e32: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e34: 30 93 85 00 sts 0x0085, r19 + 3e38: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 3e3c: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 3e3e: b0 9b sbis 0x16, 0 ; 22 + 3e40: fe cf rjmp .-4 ; 0x3e3e + LED_PIN |= _BV(LED); + 3e42: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3e44: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 3e46: 81 50 subi r24, 0x01 ; 1 + 3e48: a9 f7 brne .-22 ; 0x3e34 + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e4a: dd 24 eor r13, r13 + 3e4c: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3e4e: a5 e0 ldi r26, 0x05 ; 5 + 3e50: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3e52: f1 e1 ldi r31, 0x11 ; 17 + 3e54: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 3e56: a4 d0 rcall .+328 ; 0x3fa0 + + if(ch == STK_GET_PARAMETER) { + 3e58: 81 34 cpi r24, 0x41 ; 65 + 3e5a: 21 f4 brne .+8 ; 0x3e64 + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e5c: 81 e0 ldi r24, 0x01 ; 1 + 3e5e: be d0 rcall .+380 ; 0x3fdc + putch(0x03); + 3e60: 83 e0 ldi r24, 0x03 ; 3 + 3e62: 24 c0 rjmp .+72 ; 0x3eac + } + else if(ch == STK_SET_DEVICE) { + 3e64: 82 34 cpi r24, 0x42 ; 66 + 3e66: 11 f4 brne .+4 ; 0x3e6c + // SET DEVICE is ignored + getNch(20); + 3e68: 84 e1 ldi r24, 0x14 ; 20 + 3e6a: 03 c0 rjmp .+6 ; 0x3e72 + } + else if(ch == STK_SET_DEVICE_EXT) { + 3e6c: 85 34 cpi r24, 0x45 ; 69 + 3e6e: 19 f4 brne .+6 ; 0x3e76 + // SET DEVICE EXT is ignored + getNch(5); + 3e70: 85 e0 ldi r24, 0x05 ; 5 + 3e72: b4 d0 rcall .+360 ; 0x3fdc + 3e74: 8a c0 rjmp .+276 ; 0x3f8a + } + else if(ch == STK_LOAD_ADDRESS) { + 3e76: 85 35 cpi r24, 0x55 ; 85 + 3e78: a1 f4 brne .+40 ; 0x3ea2 + // LOAD ADDRESS + address = getch(); + 3e7a: 92 d0 rcall .+292 ; 0x3fa0 + 3e7c: 08 2f mov r16, r24 + 3e7e: 10 e0 ldi r17, 0x00 ; 0 + 3e80: 10 93 01 02 sts 0x0201, r17 + 3e84: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 3e88: 8b d0 rcall .+278 ; 0x3fa0 + 3e8a: 90 e0 ldi r25, 0x00 ; 0 + 3e8c: 98 2f mov r25, r24 + 3e8e: 88 27 eor r24, r24 + 3e90: 80 2b or r24, r16 + 3e92: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 3e94: 88 0f add r24, r24 + 3e96: 99 1f adc r25, r25 + 3e98: 90 93 01 02 sts 0x0201, r25 + 3e9c: 80 93 00 02 sts 0x0200, r24 + 3ea0: 73 c0 rjmp .+230 ; 0x3f88 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 3ea2: 86 35 cpi r24, 0x56 ; 86 + 3ea4: 29 f4 brne .+10 ; 0x3eb0 + // UNIVERSAL command is ignored + getNch(4); + 3ea6: 84 e0 ldi r24, 0x04 ; 4 + 3ea8: 99 d0 rcall .+306 ; 0x3fdc + putch(0x00); + 3eaa: 80 e0 ldi r24, 0x00 ; 0 + 3eac: 71 d0 rcall .+226 ; 0x3f90 + 3eae: 6d c0 rjmp .+218 ; 0x3f8a + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 3eb0: 84 36 cpi r24, 0x64 ; 100 + 3eb2: 09 f0 breq .+2 ; 0x3eb6 + 3eb4: 43 c0 rjmp .+134 ; 0x3f3c + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 3eb6: 7c d0 rcall .+248 ; 0x3fb0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 3eb8: e0 91 00 02 lds r30, 0x0200 + 3ebc: f0 91 01 02 lds r31, 0x0201 + 3ec0: 83 e0 ldi r24, 0x03 ; 3 + 3ec2: 80 93 57 00 sts 0x0057, r24 + 3ec6: e8 95 spm + 3ec8: c0 e0 ldi r28, 0x00 ; 0 + 3eca: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 3ecc: 69 d0 rcall .+210 ; 0x3fa0 + 3ece: 89 93 st Y+, r24 + while (--length); + 3ed0: 80 91 02 02 lds r24, 0x0202 + 3ed4: 81 50 subi r24, 0x01 ; 1 + 3ed6: 80 93 02 02 sts 0x0202, r24 + 3eda: 88 23 and r24, r24 + 3edc: b9 f7 brne .-18 ; 0x3ecc + + // Read command terminator, start reply + verifySpace(); + 3ede: 78 d0 rcall .+240 ; 0x3fd0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 3ee0: 07 b6 in r0, 0x37 ; 55 + 3ee2: 00 fc sbrc r0, 0 + 3ee4: fd cf rjmp .-6 ; 0x3ee0 + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 3ee6: 40 91 00 02 lds r20, 0x0200 + 3eea: 50 91 01 02 lds r21, 0x0201 + 3eee: a0 e0 ldi r26, 0x00 ; 0 + 3ef0: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 3ef2: 2c 91 ld r18, X + 3ef4: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 3ef6: 11 96 adiw r26, 0x01 ; 1 + 3ef8: 8c 91 ld r24, X + 3efa: 11 97 sbiw r26, 0x01 ; 1 + 3efc: 90 e0 ldi r25, 0x00 ; 0 + 3efe: 98 2f mov r25, r24 + 3f00: 88 27 eor r24, r24 + 3f02: 82 2b or r24, r18 + 3f04: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3f06: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 3f08: fa 01 movw r30, r20 + 3f0a: 0c 01 movw r0, r24 + 3f0c: d0 92 57 00 sts 0x0057, r13 + 3f10: e8 95 spm + 3f12: 11 24 eor r1, r1 + addrPtr += 2; + 3f14: 4e 5f subi r20, 0xFE ; 254 + 3f16: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 3f18: f1 e0 ldi r31, 0x01 ; 1 + 3f1a: a0 38 cpi r26, 0x80 ; 128 + 3f1c: bf 07 cpc r27, r31 + 3f1e: 49 f7 brne .-46 ; 0x3ef2 + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3f20: e0 91 00 02 lds r30, 0x0200 + 3f24: f0 91 01 02 lds r31, 0x0201 + 3f28: e0 92 57 00 sts 0x0057, r14 + 3f2c: e8 95 spm + boot_spm_busy_wait(); + 3f2e: 07 b6 in r0, 0x37 ; 55 + 3f30: 00 fc sbrc r0, 0 + 3f32: fd cf rjmp .-6 ; 0x3f2e + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3f34: f0 92 57 00 sts 0x0057, r15 + 3f38: e8 95 spm + 3f3a: 27 c0 rjmp .+78 ; 0x3f8a +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 3f3c: 84 37 cpi r24, 0x74 ; 116 + 3f3e: b9 f4 brne .+46 ; 0x3f6e + // READ PAGE - we only read flash + getLen(); + 3f40: 37 d0 rcall .+110 ; 0x3fb0 + verifySpace(); + 3f42: 46 d0 rcall .+140 ; 0x3fd0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 3f44: e0 91 00 02 lds r30, 0x0200 + 3f48: f0 91 01 02 lds r31, 0x0201 + 3f4c: 31 96 adiw r30, 0x01 ; 1 + 3f4e: f0 93 01 02 sts 0x0201, r31 + 3f52: e0 93 00 02 sts 0x0200, r30 + 3f56: 31 97 sbiw r30, 0x01 ; 1 + 3f58: e4 91 lpm r30, Z+ + 3f5a: 8e 2f mov r24, r30 + 3f5c: 19 d0 rcall .+50 ; 0x3f90 + while (--length); + 3f5e: 80 91 02 02 lds r24, 0x0202 + 3f62: 81 50 subi r24, 0x01 ; 1 + 3f64: 80 93 02 02 sts 0x0202, r24 + 3f68: 88 23 and r24, r24 + 3f6a: 61 f7 brne .-40 ; 0x3f44 + 3f6c: 0e c0 rjmp .+28 ; 0x3f8a +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 3f6e: 85 37 cpi r24, 0x75 ; 117 + 3f70: 39 f4 brne .+14 ; 0x3f80 + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 3f72: 2e d0 rcall .+92 ; 0x3fd0 + putch(SIGNATURE_0); + 3f74: 8e e1 ldi r24, 0x1E ; 30 + 3f76: 0c d0 rcall .+24 ; 0x3f90 + putch(SIGNATURE_1); + 3f78: 84 e9 ldi r24, 0x94 ; 148 + 3f7a: 0a d0 rcall .+20 ; 0x3f90 + putch(SIGNATURE_2); + 3f7c: 86 e0 ldi r24, 0x06 ; 6 + 3f7e: 96 cf rjmp .-212 ; 0x3eac + } + else if (ch == 'Q') { + 3f80: 81 35 cpi r24, 0x51 ; 81 + 3f82: 11 f4 brne .+4 ; 0x3f88 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 3f84: 88 e0 ldi r24, 0x08 ; 8 + 3f86: 19 d0 rcall .+50 ; 0x3fba + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 3f88: 23 d0 rcall .+70 ; 0x3fd0 + } + putch(STK_OK); + 3f8a: 80 e1 ldi r24, 0x10 ; 16 + 3f8c: 01 d0 rcall .+2 ; 0x3f90 + 3f8e: 63 cf rjmp .-314 ; 0x3e56 + +00003f90 : + } +} + +void putch(char ch) { + 3f90: 98 2f mov r25, r24 +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + 3f92: 80 91 c0 00 lds r24, 0x00C0 + 3f96: 85 ff sbrs r24, 5 + 3f98: fc cf rjmp .-8 ; 0x3f92 + UDR0 = ch; + 3f9a: 90 93 c6 00 sts 0x00C6, r25 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 3f9e: 08 95 ret + +00003fa0 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3fa0: a8 95 wdr + [uartBit] "I" (UART_RX_BIT) + : + "r25" +); +#else + while(!(UCSR0A & _BV(RXC0))); + 3fa2: 80 91 c0 00 lds r24, 0x00C0 + 3fa6: 87 ff sbrs r24, 7 + 3fa8: fc cf rjmp .-8 ; 0x3fa2 + ch = UDR0; + 3faa: 80 91 c6 00 lds r24, 0x00C6 +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 3fae: 08 95 ret + +00003fb0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 3fb0: f7 df rcall .-18 ; 0x3fa0 + length = getch(); + 3fb2: f6 df rcall .-20 ; 0x3fa0 + 3fb4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 3fb8: f3 cf rjmp .-26 ; 0x3fa0 + +00003fba : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 3fba: e0 e6 ldi r30, 0x60 ; 96 + 3fbc: f0 e0 ldi r31, 0x00 ; 0 + 3fbe: 98 e1 ldi r25, 0x18 ; 24 + 3fc0: 90 83 st Z, r25 + WDTCSR = x; + 3fc2: 80 83 st Z, r24 +} + 3fc4: 08 95 ret + +00003fc6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 3fc6: 80 e0 ldi r24, 0x00 ; 0 + 3fc8: f8 df rcall .-16 ; 0x3fba + __asm__ __volatile__ ( + 3fca: ee 27 eor r30, r30 + 3fcc: ff 27 eor r31, r31 + 3fce: 09 94 ijmp + +00003fd0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 3fd0: e7 df rcall .-50 ; 0x3fa0 + 3fd2: 80 32 cpi r24, 0x20 ; 32 + 3fd4: 09 f0 breq .+2 ; 0x3fd8 + 3fd6: f7 df rcall .-18 ; 0x3fc6 + putch(STK_INSYNC); + 3fd8: 84 e1 ldi r24, 0x14 ; 20 +} + 3fda: da cf rjmp .-76 ; 0x3f90 + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 3fdc: 1f 93 push r17 + 3fde: 18 2f mov r17, r24 + +00003fe0 : + do getch(); while (--count); + 3fe0: df df rcall .-66 ; 0x3fa0 + 3fe2: 11 50 subi r17, 0x01 ; 1 + 3fe4: e9 f7 brne .-6 ; 0x3fe0 + verifySpace(); + 3fe6: f4 df rcall .-24 ; 0x3fd0 +} + 3fe8: 1f 91 pop r17 + 3fea: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_lilypad.hex b/bootloaders/optiboot/optiboot_lilypad.hex new file mode 100644 index 0000000..9d31a7a --- /dev/null +++ b/bootloaders/optiboot/optiboot_lilypad.hex @@ -0,0 +1,34 @@ +:103E000085E08093810084B714BE81FFE4D08DE00B +:103E1000DCD0259A519A86E028E13EEF91E030937C +:103E200085002093840096BBB09BFECF1D9AA89579 +:103E30008150A9F7DD24D394A5E0EA2EF1E1FF2E0D +:103E4000ABD0813421F481E0D1D083E024C082342E +:103E500011F484E103C0853419F485E0C7D08AC029 +:103E60008535A1F499D0082F10E01093010200933A +:103E7000000292D090E0982F8827802B912B880FFA +:103E8000991F909301028093000273C0863529F434 +:103E900084E0ACD080E071D06DC0843609F043C0BE +:103EA0008FD0E0910002F091010283E080935700EF +:103EB000E895C0E0D1E070D08993809102028150F2 +:103EC000809302028823B9F78BD007B600FCFDCFA0 +:103ED0004091000250910102A0E0B1E02C9130E04D +:103EE00011968C91119790E0982F8827822B932B15 +:103EF0001296FA010C01D0925700E89511244E5FFA +:103F00005F4FF1E0A038BF0749F7E0910002F09160 +:103F10000102E0925700E89507B600FCFDCFF09251 +:103F20005700E89527C08437B9F44AD059D0E091BA +:103F30000002F09101023196F0930102E093000239 +:103F40003197E4918E2F19D0809102028150809395 +:103F50000202882361F70EC0853739F441D08EE123 +:103F60000CD084E90AD086E096CF813511F488E040 +:103F70002CD036D080E101D063CF2AE030E08095AC +:103F8000089410F4599802C0599A000015D014D022 +:103F900086952A95B1F70895A89529E030E04899CB +:103FA000FECF0AD009D008D08894489908942A9561 +:103FB00011F08795F7CF089598E09A95F1F7089555 +:103FC000EBDFEADF80930202E7CFE0E6F0E098E182 +:103FD00090838083089580E0F8DFEE27FF2709941F +:103FE000DBDF803209F0F7DF84E1C7CF1F93182FA2 +:0C3FF000D3DF1150E9F7F4DF1F910895B2 +:0400000300003E00BB +:00000001FF diff --git a/bootloaders/optiboot/optiboot_lilypad.lst b/bootloaders/optiboot/optiboot_lilypad.lst new file mode 100644 index 0000000..0e46bd1 --- /dev/null +++ b/bootloaders/optiboot/optiboot_lilypad.lst @@ -0,0 +1,533 @@ + +optiboot_lilypad.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001fc 00003e00 00003e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000250 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 00000078 00000000 00000000 00000278 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000277 00000000 00000000 000002f0 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000194 00000000 00000000 00000567 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003bb 00000000 00000000 000006fb 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 000000a0 00000000 00000000 00000ab8 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 0000013f 00000000 00000000 00000b58 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001a0 00000000 00000000 00000c97 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000070 00000000 00000000 00000e37 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00003e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3e00: 85 e0 ldi r24, 0x05 ; 5 + 3e02: 80 93 81 00 sts 0x0081, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 3e06: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 3e08: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 3e0a: 81 ff sbrs r24, 1 + 3e0c: e4 d0 rcall .+456 ; 0x3fd6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 3e0e: 8d e0 ldi r24, 0x0D ; 13 + 3e10: dc d0 rcall .+440 ; 0x3fca + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 3e12: 25 9a sbi 0x04, 5 ; 4 + +#ifdef SOFT_UART + /* Set TX pin as output */ + UART_DDR |= _BV(UART_TX_BIT); + 3e14: 51 9a sbi 0x0a, 1 ; 10 + 3e16: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e18: 28 e1 ldi r18, 0x18 ; 24 + 3e1a: 3e ef ldi r19, 0xFE ; 254 + TIFR1 = _BV(TOV1); + 3e1c: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e1e: 30 93 85 00 sts 0x0085, r19 + 3e22: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 3e26: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 3e28: b0 9b sbis 0x16, 0 ; 22 + 3e2a: fe cf rjmp .-4 ; 0x3e28 + LED_PIN |= _BV(LED); + 3e2c: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3e2e: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 3e30: 81 50 subi r24, 0x01 ; 1 + 3e32: a9 f7 brne .-22 ; 0x3e1e + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e34: dd 24 eor r13, r13 + 3e36: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3e38: a5 e0 ldi r26, 0x05 ; 5 + 3e3a: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3e3c: f1 e1 ldi r31, 0x11 ; 17 + 3e3e: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 3e40: ab d0 rcall .+342 ; 0x3f98 + + if(ch == STK_GET_PARAMETER) { + 3e42: 81 34 cpi r24, 0x41 ; 65 + 3e44: 21 f4 brne .+8 ; 0x3e4e + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e46: 81 e0 ldi r24, 0x01 ; 1 + 3e48: d1 d0 rcall .+418 ; 0x3fec + putch(0x03); + 3e4a: 83 e0 ldi r24, 0x03 ; 3 + 3e4c: 24 c0 rjmp .+72 ; 0x3e96 + } + else if(ch == STK_SET_DEVICE) { + 3e4e: 82 34 cpi r24, 0x42 ; 66 + 3e50: 11 f4 brne .+4 ; 0x3e56 + // SET DEVICE is ignored + getNch(20); + 3e52: 84 e1 ldi r24, 0x14 ; 20 + 3e54: 03 c0 rjmp .+6 ; 0x3e5c + } + else if(ch == STK_SET_DEVICE_EXT) { + 3e56: 85 34 cpi r24, 0x45 ; 69 + 3e58: 19 f4 brne .+6 ; 0x3e60 + // SET DEVICE EXT is ignored + getNch(5); + 3e5a: 85 e0 ldi r24, 0x05 ; 5 + 3e5c: c7 d0 rcall .+398 ; 0x3fec + 3e5e: 8a c0 rjmp .+276 ; 0x3f74 + } + else if(ch == STK_LOAD_ADDRESS) { + 3e60: 85 35 cpi r24, 0x55 ; 85 + 3e62: a1 f4 brne .+40 ; 0x3e8c + // LOAD ADDRESS + address = getch(); + 3e64: 99 d0 rcall .+306 ; 0x3f98 + 3e66: 08 2f mov r16, r24 + 3e68: 10 e0 ldi r17, 0x00 ; 0 + 3e6a: 10 93 01 02 sts 0x0201, r17 + 3e6e: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 3e72: 92 d0 rcall .+292 ; 0x3f98 + 3e74: 90 e0 ldi r25, 0x00 ; 0 + 3e76: 98 2f mov r25, r24 + 3e78: 88 27 eor r24, r24 + 3e7a: 80 2b or r24, r16 + 3e7c: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 3e7e: 88 0f add r24, r24 + 3e80: 99 1f adc r25, r25 + 3e82: 90 93 01 02 sts 0x0201, r25 + 3e86: 80 93 00 02 sts 0x0200, r24 + 3e8a: 73 c0 rjmp .+230 ; 0x3f72 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 3e8c: 86 35 cpi r24, 0x56 ; 86 + 3e8e: 29 f4 brne .+10 ; 0x3e9a + // UNIVERSAL command is ignored + getNch(4); + 3e90: 84 e0 ldi r24, 0x04 ; 4 + 3e92: ac d0 rcall .+344 ; 0x3fec + putch(0x00); + 3e94: 80 e0 ldi r24, 0x00 ; 0 + 3e96: 71 d0 rcall .+226 ; 0x3f7a + 3e98: 6d c0 rjmp .+218 ; 0x3f74 + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 3e9a: 84 36 cpi r24, 0x64 ; 100 + 3e9c: 09 f0 breq .+2 ; 0x3ea0 + 3e9e: 43 c0 rjmp .+134 ; 0x3f26 + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 3ea0: 8f d0 rcall .+286 ; 0x3fc0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 3ea2: e0 91 00 02 lds r30, 0x0200 + 3ea6: f0 91 01 02 lds r31, 0x0201 + 3eaa: 83 e0 ldi r24, 0x03 ; 3 + 3eac: 80 93 57 00 sts 0x0057, r24 + 3eb0: e8 95 spm + 3eb2: c0 e0 ldi r28, 0x00 ; 0 + 3eb4: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 3eb6: 70 d0 rcall .+224 ; 0x3f98 + 3eb8: 89 93 st Y+, r24 + while (--length); + 3eba: 80 91 02 02 lds r24, 0x0202 + 3ebe: 81 50 subi r24, 0x01 ; 1 + 3ec0: 80 93 02 02 sts 0x0202, r24 + 3ec4: 88 23 and r24, r24 + 3ec6: b9 f7 brne .-18 ; 0x3eb6 + + // Read command terminator, start reply + verifySpace(); + 3ec8: 8b d0 rcall .+278 ; 0x3fe0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 3eca: 07 b6 in r0, 0x37 ; 55 + 3ecc: 00 fc sbrc r0, 0 + 3ece: fd cf rjmp .-6 ; 0x3eca + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 3ed0: 40 91 00 02 lds r20, 0x0200 + 3ed4: 50 91 01 02 lds r21, 0x0201 + 3ed8: a0 e0 ldi r26, 0x00 ; 0 + 3eda: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 3edc: 2c 91 ld r18, X + 3ede: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 3ee0: 11 96 adiw r26, 0x01 ; 1 + 3ee2: 8c 91 ld r24, X + 3ee4: 11 97 sbiw r26, 0x01 ; 1 + 3ee6: 90 e0 ldi r25, 0x00 ; 0 + 3ee8: 98 2f mov r25, r24 + 3eea: 88 27 eor r24, r24 + 3eec: 82 2b or r24, r18 + 3eee: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3ef0: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 3ef2: fa 01 movw r30, r20 + 3ef4: 0c 01 movw r0, r24 + 3ef6: d0 92 57 00 sts 0x0057, r13 + 3efa: e8 95 spm + 3efc: 11 24 eor r1, r1 + addrPtr += 2; + 3efe: 4e 5f subi r20, 0xFE ; 254 + 3f00: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 3f02: f1 e0 ldi r31, 0x01 ; 1 + 3f04: a0 38 cpi r26, 0x80 ; 128 + 3f06: bf 07 cpc r27, r31 + 3f08: 49 f7 brne .-46 ; 0x3edc + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3f0a: e0 91 00 02 lds r30, 0x0200 + 3f0e: f0 91 01 02 lds r31, 0x0201 + 3f12: e0 92 57 00 sts 0x0057, r14 + 3f16: e8 95 spm + boot_spm_busy_wait(); + 3f18: 07 b6 in r0, 0x37 ; 55 + 3f1a: 00 fc sbrc r0, 0 + 3f1c: fd cf rjmp .-6 ; 0x3f18 + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3f1e: f0 92 57 00 sts 0x0057, r15 + 3f22: e8 95 spm + 3f24: 27 c0 rjmp .+78 ; 0x3f74 +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 3f26: 84 37 cpi r24, 0x74 ; 116 + 3f28: b9 f4 brne .+46 ; 0x3f58 + // READ PAGE - we only read flash + getLen(); + 3f2a: 4a d0 rcall .+148 ; 0x3fc0 + verifySpace(); + 3f2c: 59 d0 rcall .+178 ; 0x3fe0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 3f2e: e0 91 00 02 lds r30, 0x0200 + 3f32: f0 91 01 02 lds r31, 0x0201 + 3f36: 31 96 adiw r30, 0x01 ; 1 + 3f38: f0 93 01 02 sts 0x0201, r31 + 3f3c: e0 93 00 02 sts 0x0200, r30 + 3f40: 31 97 sbiw r30, 0x01 ; 1 + 3f42: e4 91 lpm r30, Z+ + 3f44: 8e 2f mov r24, r30 + 3f46: 19 d0 rcall .+50 ; 0x3f7a + while (--length); + 3f48: 80 91 02 02 lds r24, 0x0202 + 3f4c: 81 50 subi r24, 0x01 ; 1 + 3f4e: 80 93 02 02 sts 0x0202, r24 + 3f52: 88 23 and r24, r24 + 3f54: 61 f7 brne .-40 ; 0x3f2e + 3f56: 0e c0 rjmp .+28 ; 0x3f74 +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 3f58: 85 37 cpi r24, 0x75 ; 117 + 3f5a: 39 f4 brne .+14 ; 0x3f6a + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 3f5c: 41 d0 rcall .+130 ; 0x3fe0 + putch(SIGNATURE_0); + 3f5e: 8e e1 ldi r24, 0x1E ; 30 + 3f60: 0c d0 rcall .+24 ; 0x3f7a + putch(SIGNATURE_1); + 3f62: 84 e9 ldi r24, 0x94 ; 148 + 3f64: 0a d0 rcall .+20 ; 0x3f7a + putch(SIGNATURE_2); + 3f66: 86 e0 ldi r24, 0x06 ; 6 + 3f68: 96 cf rjmp .-212 ; 0x3e96 + } + else if (ch == 'Q') { + 3f6a: 81 35 cpi r24, 0x51 ; 81 + 3f6c: 11 f4 brne .+4 ; 0x3f72 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 3f6e: 88 e0 ldi r24, 0x08 ; 8 + 3f70: 2c d0 rcall .+88 ; 0x3fca + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 3f72: 36 d0 rcall .+108 ; 0x3fe0 + } + putch(STK_OK); + 3f74: 80 e1 ldi r24, 0x10 ; 16 + 3f76: 01 d0 rcall .+2 ; 0x3f7a + 3f78: 63 cf rjmp .-314 ; 0x3e40 + +00003f7a : +void putch(char ch) { +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + UDR0 = ch; +#else + __asm__ __volatile__ ( + 3f7a: 2a e0 ldi r18, 0x0A ; 10 + 3f7c: 30 e0 ldi r19, 0x00 ; 0 + 3f7e: 80 95 com r24 + 3f80: 08 94 sec + 3f82: 10 f4 brcc .+4 ; 0x3f88 + 3f84: 59 98 cbi 0x0b, 1 ; 11 + 3f86: 02 c0 rjmp .+4 ; 0x3f8c + 3f88: 59 9a sbi 0x0b, 1 ; 11 + 3f8a: 00 00 nop + 3f8c: 15 d0 rcall .+42 ; 0x3fb8 + 3f8e: 14 d0 rcall .+40 ; 0x3fb8 + 3f90: 86 95 lsr r24 + 3f92: 2a 95 dec r18 + 3f94: b1 f7 brne .-20 ; 0x3f82 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 3f96: 08 95 ret + +00003f98 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3f98: a8 95 wdr +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 3f9a: 29 e0 ldi r18, 0x09 ; 9 + 3f9c: 30 e0 ldi r19, 0x00 ; 0 + 3f9e: 48 99 sbic 0x09, 0 ; 9 + 3fa0: fe cf rjmp .-4 ; 0x3f9e + 3fa2: 0a d0 rcall .+20 ; 0x3fb8 + 3fa4: 09 d0 rcall .+18 ; 0x3fb8 + 3fa6: 08 d0 rcall .+16 ; 0x3fb8 + 3fa8: 88 94 clc + 3faa: 48 99 sbic 0x09, 0 ; 9 + 3fac: 08 94 sec + 3fae: 2a 95 dec r18 + 3fb0: 11 f0 breq .+4 ; 0x3fb6 + 3fb2: 87 95 ror r24 + 3fb4: f7 cf rjmp .-18 ; 0x3fa4 + 3fb6: 08 95 ret + +00003fb8 : +#if UART_B_VALUE > 255 +#error Baud rate too slow for soft UART +#endif + +void uartDelay() { + __asm__ __volatile__ ( + 3fb8: 98 e0 ldi r25, 0x08 ; 8 + 3fba: 9a 95 dec r25 + 3fbc: f1 f7 brne .-4 ; 0x3fba + 3fbe: 08 95 ret + +00003fc0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 3fc0: eb df rcall .-42 ; 0x3f98 + length = getch(); + 3fc2: ea df rcall .-44 ; 0x3f98 + 3fc4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 3fc8: e7 cf rjmp .-50 ; 0x3f98 + +00003fca : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 3fca: e0 e6 ldi r30, 0x60 ; 96 + 3fcc: f0 e0 ldi r31, 0x00 ; 0 + 3fce: 98 e1 ldi r25, 0x18 ; 24 + 3fd0: 90 83 st Z, r25 + WDTCSR = x; + 3fd2: 80 83 st Z, r24 +} + 3fd4: 08 95 ret + +00003fd6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 3fd6: 80 e0 ldi r24, 0x00 ; 0 + 3fd8: f8 df rcall .-16 ; 0x3fca + __asm__ __volatile__ ( + 3fda: ee 27 eor r30, r30 + 3fdc: ff 27 eor r31, r31 + 3fde: 09 94 ijmp + +00003fe0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 3fe0: db df rcall .-74 ; 0x3f98 + 3fe2: 80 32 cpi r24, 0x20 ; 32 + 3fe4: 09 f0 breq .+2 ; 0x3fe8 + 3fe6: f7 df rcall .-18 ; 0x3fd6 + putch(STK_INSYNC); + 3fe8: 84 e1 ldi r24, 0x14 ; 20 +} + 3fea: c7 cf rjmp .-114 ; 0x3f7a + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 3fec: 1f 93 push r17 + 3fee: 18 2f mov r17, r24 + +00003ff0 : + do getch(); while (--count); + 3ff0: d3 df rcall .-90 ; 0x3f98 + 3ff2: 11 50 subi r17, 0x01 ; 1 + 3ff4: e9 f7 brne .-6 ; 0x3ff0 + verifySpace(); + 3ff6: f4 df rcall .-24 ; 0x3fe0 +} + 3ff8: 1f 91 pop r17 + 3ffa: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_lilypad_resonator.hex b/bootloaders/optiboot/optiboot_lilypad_resonator.hex new file mode 100644 index 0000000..9d31a7a --- /dev/null +++ b/bootloaders/optiboot/optiboot_lilypad_resonator.hex @@ -0,0 +1,34 @@ +:103E000085E08093810084B714BE81FFE4D08DE00B +:103E1000DCD0259A519A86E028E13EEF91E030937C +:103E200085002093840096BBB09BFECF1D9AA89579 +:103E30008150A9F7DD24D394A5E0EA2EF1E1FF2E0D +:103E4000ABD0813421F481E0D1D083E024C082342E +:103E500011F484E103C0853419F485E0C7D08AC029 +:103E60008535A1F499D0082F10E01093010200933A +:103E7000000292D090E0982F8827802B912B880FFA +:103E8000991F909301028093000273C0863529F434 +:103E900084E0ACD080E071D06DC0843609F043C0BE +:103EA0008FD0E0910002F091010283E080935700EF +:103EB000E895C0E0D1E070D08993809102028150F2 +:103EC000809302028823B9F78BD007B600FCFDCFA0 +:103ED0004091000250910102A0E0B1E02C9130E04D +:103EE00011968C91119790E0982F8827822B932B15 +:103EF0001296FA010C01D0925700E89511244E5FFA +:103F00005F4FF1E0A038BF0749F7E0910002F09160 +:103F10000102E0925700E89507B600FCFDCFF09251 +:103F20005700E89527C08437B9F44AD059D0E091BA +:103F30000002F09101023196F0930102E093000239 +:103F40003197E4918E2F19D0809102028150809395 +:103F50000202882361F70EC0853739F441D08EE123 +:103F60000CD084E90AD086E096CF813511F488E040 +:103F70002CD036D080E101D063CF2AE030E08095AC +:103F8000089410F4599802C0599A000015D014D022 +:103F900086952A95B1F70895A89529E030E04899CB +:103FA000FECF0AD009D008D08894489908942A9561 +:103FB00011F08795F7CF089598E09A95F1F7089555 +:103FC000EBDFEADF80930202E7CFE0E6F0E098E182 +:103FD00090838083089580E0F8DFEE27FF2709941F +:103FE000DBDF803209F0F7DF84E1C7CF1F93182FA2 +:0C3FF000D3DF1150E9F7F4DF1F910895B2 +:0400000300003E00BB +:00000001FF diff --git a/bootloaders/optiboot/optiboot_lilypad_resonator.lst b/bootloaders/optiboot/optiboot_lilypad_resonator.lst new file mode 100644 index 0000000..80ecb83 --- /dev/null +++ b/bootloaders/optiboot/optiboot_lilypad_resonator.lst @@ -0,0 +1,533 @@ + +optiboot_lilypad_resonator.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001fc 00003e00 00003e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000250 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 00000078 00000000 00000000 00000278 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000277 00000000 00000000 000002f0 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000194 00000000 00000000 00000567 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003bb 00000000 00000000 000006fb 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 000000a0 00000000 00000000 00000ab8 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 0000013f 00000000 00000000 00000b58 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001a0 00000000 00000000 00000c97 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000070 00000000 00000000 00000e37 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00003e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3e00: 85 e0 ldi r24, 0x05 ; 5 + 3e02: 80 93 81 00 sts 0x0081, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 3e06: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 3e08: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 3e0a: 81 ff sbrs r24, 1 + 3e0c: e4 d0 rcall .+456 ; 0x3fd6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 3e0e: 8d e0 ldi r24, 0x0D ; 13 + 3e10: dc d0 rcall .+440 ; 0x3fca + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 3e12: 25 9a sbi 0x04, 5 ; 4 + +#ifdef SOFT_UART + /* Set TX pin as output */ + UART_DDR |= _BV(UART_TX_BIT); + 3e14: 51 9a sbi 0x0a, 1 ; 10 + 3e16: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e18: 28 e1 ldi r18, 0x18 ; 24 + 3e1a: 3e ef ldi r19, 0xFE ; 254 + TIFR1 = _BV(TOV1); + 3e1c: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e1e: 30 93 85 00 sts 0x0085, r19 + 3e22: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 3e26: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 3e28: b0 9b sbis 0x16, 0 ; 22 + 3e2a: fe cf rjmp .-4 ; 0x3e28 + LED_PIN |= _BV(LED); + 3e2c: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3e2e: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 3e30: 81 50 subi r24, 0x01 ; 1 + 3e32: a9 f7 brne .-22 ; 0x3e1e + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e34: dd 24 eor r13, r13 + 3e36: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3e38: a5 e0 ldi r26, 0x05 ; 5 + 3e3a: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3e3c: f1 e1 ldi r31, 0x11 ; 17 + 3e3e: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 3e40: ab d0 rcall .+342 ; 0x3f98 + + if(ch == STK_GET_PARAMETER) { + 3e42: 81 34 cpi r24, 0x41 ; 65 + 3e44: 21 f4 brne .+8 ; 0x3e4e + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e46: 81 e0 ldi r24, 0x01 ; 1 + 3e48: d1 d0 rcall .+418 ; 0x3fec + putch(0x03); + 3e4a: 83 e0 ldi r24, 0x03 ; 3 + 3e4c: 24 c0 rjmp .+72 ; 0x3e96 + } + else if(ch == STK_SET_DEVICE) { + 3e4e: 82 34 cpi r24, 0x42 ; 66 + 3e50: 11 f4 brne .+4 ; 0x3e56 + // SET DEVICE is ignored + getNch(20); + 3e52: 84 e1 ldi r24, 0x14 ; 20 + 3e54: 03 c0 rjmp .+6 ; 0x3e5c + } + else if(ch == STK_SET_DEVICE_EXT) { + 3e56: 85 34 cpi r24, 0x45 ; 69 + 3e58: 19 f4 brne .+6 ; 0x3e60 + // SET DEVICE EXT is ignored + getNch(5); + 3e5a: 85 e0 ldi r24, 0x05 ; 5 + 3e5c: c7 d0 rcall .+398 ; 0x3fec + 3e5e: 8a c0 rjmp .+276 ; 0x3f74 + } + else if(ch == STK_LOAD_ADDRESS) { + 3e60: 85 35 cpi r24, 0x55 ; 85 + 3e62: a1 f4 brne .+40 ; 0x3e8c + // LOAD ADDRESS + address = getch(); + 3e64: 99 d0 rcall .+306 ; 0x3f98 + 3e66: 08 2f mov r16, r24 + 3e68: 10 e0 ldi r17, 0x00 ; 0 + 3e6a: 10 93 01 02 sts 0x0201, r17 + 3e6e: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 3e72: 92 d0 rcall .+292 ; 0x3f98 + 3e74: 90 e0 ldi r25, 0x00 ; 0 + 3e76: 98 2f mov r25, r24 + 3e78: 88 27 eor r24, r24 + 3e7a: 80 2b or r24, r16 + 3e7c: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 3e7e: 88 0f add r24, r24 + 3e80: 99 1f adc r25, r25 + 3e82: 90 93 01 02 sts 0x0201, r25 + 3e86: 80 93 00 02 sts 0x0200, r24 + 3e8a: 73 c0 rjmp .+230 ; 0x3f72 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 3e8c: 86 35 cpi r24, 0x56 ; 86 + 3e8e: 29 f4 brne .+10 ; 0x3e9a + // UNIVERSAL command is ignored + getNch(4); + 3e90: 84 e0 ldi r24, 0x04 ; 4 + 3e92: ac d0 rcall .+344 ; 0x3fec + putch(0x00); + 3e94: 80 e0 ldi r24, 0x00 ; 0 + 3e96: 71 d0 rcall .+226 ; 0x3f7a + 3e98: 6d c0 rjmp .+218 ; 0x3f74 + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 3e9a: 84 36 cpi r24, 0x64 ; 100 + 3e9c: 09 f0 breq .+2 ; 0x3ea0 + 3e9e: 43 c0 rjmp .+134 ; 0x3f26 + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 3ea0: 8f d0 rcall .+286 ; 0x3fc0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 3ea2: e0 91 00 02 lds r30, 0x0200 + 3ea6: f0 91 01 02 lds r31, 0x0201 + 3eaa: 83 e0 ldi r24, 0x03 ; 3 + 3eac: 80 93 57 00 sts 0x0057, r24 + 3eb0: e8 95 spm + 3eb2: c0 e0 ldi r28, 0x00 ; 0 + 3eb4: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 3eb6: 70 d0 rcall .+224 ; 0x3f98 + 3eb8: 89 93 st Y+, r24 + while (--length); + 3eba: 80 91 02 02 lds r24, 0x0202 + 3ebe: 81 50 subi r24, 0x01 ; 1 + 3ec0: 80 93 02 02 sts 0x0202, r24 + 3ec4: 88 23 and r24, r24 + 3ec6: b9 f7 brne .-18 ; 0x3eb6 + + // Read command terminator, start reply + verifySpace(); + 3ec8: 8b d0 rcall .+278 ; 0x3fe0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 3eca: 07 b6 in r0, 0x37 ; 55 + 3ecc: 00 fc sbrc r0, 0 + 3ece: fd cf rjmp .-6 ; 0x3eca + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 3ed0: 40 91 00 02 lds r20, 0x0200 + 3ed4: 50 91 01 02 lds r21, 0x0201 + 3ed8: a0 e0 ldi r26, 0x00 ; 0 + 3eda: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 3edc: 2c 91 ld r18, X + 3ede: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 3ee0: 11 96 adiw r26, 0x01 ; 1 + 3ee2: 8c 91 ld r24, X + 3ee4: 11 97 sbiw r26, 0x01 ; 1 + 3ee6: 90 e0 ldi r25, 0x00 ; 0 + 3ee8: 98 2f mov r25, r24 + 3eea: 88 27 eor r24, r24 + 3eec: 82 2b or r24, r18 + 3eee: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3ef0: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 3ef2: fa 01 movw r30, r20 + 3ef4: 0c 01 movw r0, r24 + 3ef6: d0 92 57 00 sts 0x0057, r13 + 3efa: e8 95 spm + 3efc: 11 24 eor r1, r1 + addrPtr += 2; + 3efe: 4e 5f subi r20, 0xFE ; 254 + 3f00: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 3f02: f1 e0 ldi r31, 0x01 ; 1 + 3f04: a0 38 cpi r26, 0x80 ; 128 + 3f06: bf 07 cpc r27, r31 + 3f08: 49 f7 brne .-46 ; 0x3edc + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3f0a: e0 91 00 02 lds r30, 0x0200 + 3f0e: f0 91 01 02 lds r31, 0x0201 + 3f12: e0 92 57 00 sts 0x0057, r14 + 3f16: e8 95 spm + boot_spm_busy_wait(); + 3f18: 07 b6 in r0, 0x37 ; 55 + 3f1a: 00 fc sbrc r0, 0 + 3f1c: fd cf rjmp .-6 ; 0x3f18 + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3f1e: f0 92 57 00 sts 0x0057, r15 + 3f22: e8 95 spm + 3f24: 27 c0 rjmp .+78 ; 0x3f74 +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 3f26: 84 37 cpi r24, 0x74 ; 116 + 3f28: b9 f4 brne .+46 ; 0x3f58 + // READ PAGE - we only read flash + getLen(); + 3f2a: 4a d0 rcall .+148 ; 0x3fc0 + verifySpace(); + 3f2c: 59 d0 rcall .+178 ; 0x3fe0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 3f2e: e0 91 00 02 lds r30, 0x0200 + 3f32: f0 91 01 02 lds r31, 0x0201 + 3f36: 31 96 adiw r30, 0x01 ; 1 + 3f38: f0 93 01 02 sts 0x0201, r31 + 3f3c: e0 93 00 02 sts 0x0200, r30 + 3f40: 31 97 sbiw r30, 0x01 ; 1 + 3f42: e4 91 lpm r30, Z+ + 3f44: 8e 2f mov r24, r30 + 3f46: 19 d0 rcall .+50 ; 0x3f7a + while (--length); + 3f48: 80 91 02 02 lds r24, 0x0202 + 3f4c: 81 50 subi r24, 0x01 ; 1 + 3f4e: 80 93 02 02 sts 0x0202, r24 + 3f52: 88 23 and r24, r24 + 3f54: 61 f7 brne .-40 ; 0x3f2e + 3f56: 0e c0 rjmp .+28 ; 0x3f74 +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 3f58: 85 37 cpi r24, 0x75 ; 117 + 3f5a: 39 f4 brne .+14 ; 0x3f6a + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 3f5c: 41 d0 rcall .+130 ; 0x3fe0 + putch(SIGNATURE_0); + 3f5e: 8e e1 ldi r24, 0x1E ; 30 + 3f60: 0c d0 rcall .+24 ; 0x3f7a + putch(SIGNATURE_1); + 3f62: 84 e9 ldi r24, 0x94 ; 148 + 3f64: 0a d0 rcall .+20 ; 0x3f7a + putch(SIGNATURE_2); + 3f66: 86 e0 ldi r24, 0x06 ; 6 + 3f68: 96 cf rjmp .-212 ; 0x3e96 + } + else if (ch == 'Q') { + 3f6a: 81 35 cpi r24, 0x51 ; 81 + 3f6c: 11 f4 brne .+4 ; 0x3f72 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 3f6e: 88 e0 ldi r24, 0x08 ; 8 + 3f70: 2c d0 rcall .+88 ; 0x3fca + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 3f72: 36 d0 rcall .+108 ; 0x3fe0 + } + putch(STK_OK); + 3f74: 80 e1 ldi r24, 0x10 ; 16 + 3f76: 01 d0 rcall .+2 ; 0x3f7a + 3f78: 63 cf rjmp .-314 ; 0x3e40 + +00003f7a : +void putch(char ch) { +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + UDR0 = ch; +#else + __asm__ __volatile__ ( + 3f7a: 2a e0 ldi r18, 0x0A ; 10 + 3f7c: 30 e0 ldi r19, 0x00 ; 0 + 3f7e: 80 95 com r24 + 3f80: 08 94 sec + 3f82: 10 f4 brcc .+4 ; 0x3f88 + 3f84: 59 98 cbi 0x0b, 1 ; 11 + 3f86: 02 c0 rjmp .+4 ; 0x3f8c + 3f88: 59 9a sbi 0x0b, 1 ; 11 + 3f8a: 00 00 nop + 3f8c: 15 d0 rcall .+42 ; 0x3fb8 + 3f8e: 14 d0 rcall .+40 ; 0x3fb8 + 3f90: 86 95 lsr r24 + 3f92: 2a 95 dec r18 + 3f94: b1 f7 brne .-20 ; 0x3f82 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 3f96: 08 95 ret + +00003f98 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3f98: a8 95 wdr +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 3f9a: 29 e0 ldi r18, 0x09 ; 9 + 3f9c: 30 e0 ldi r19, 0x00 ; 0 + 3f9e: 48 99 sbic 0x09, 0 ; 9 + 3fa0: fe cf rjmp .-4 ; 0x3f9e + 3fa2: 0a d0 rcall .+20 ; 0x3fb8 + 3fa4: 09 d0 rcall .+18 ; 0x3fb8 + 3fa6: 08 d0 rcall .+16 ; 0x3fb8 + 3fa8: 88 94 clc + 3faa: 48 99 sbic 0x09, 0 ; 9 + 3fac: 08 94 sec + 3fae: 2a 95 dec r18 + 3fb0: 11 f0 breq .+4 ; 0x3fb6 + 3fb2: 87 95 ror r24 + 3fb4: f7 cf rjmp .-18 ; 0x3fa4 + 3fb6: 08 95 ret + +00003fb8 : +#if UART_B_VALUE > 255 +#error Baud rate too slow for soft UART +#endif + +void uartDelay() { + __asm__ __volatile__ ( + 3fb8: 98 e0 ldi r25, 0x08 ; 8 + 3fba: 9a 95 dec r25 + 3fbc: f1 f7 brne .-4 ; 0x3fba + 3fbe: 08 95 ret + +00003fc0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 3fc0: eb df rcall .-42 ; 0x3f98 + length = getch(); + 3fc2: ea df rcall .-44 ; 0x3f98 + 3fc4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 3fc8: e7 cf rjmp .-50 ; 0x3f98 + +00003fca : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 3fca: e0 e6 ldi r30, 0x60 ; 96 + 3fcc: f0 e0 ldi r31, 0x00 ; 0 + 3fce: 98 e1 ldi r25, 0x18 ; 24 + 3fd0: 90 83 st Z, r25 + WDTCSR = x; + 3fd2: 80 83 st Z, r24 +} + 3fd4: 08 95 ret + +00003fd6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 3fd6: 80 e0 ldi r24, 0x00 ; 0 + 3fd8: f8 df rcall .-16 ; 0x3fca + __asm__ __volatile__ ( + 3fda: ee 27 eor r30, r30 + 3fdc: ff 27 eor r31, r31 + 3fde: 09 94 ijmp + +00003fe0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 3fe0: db df rcall .-74 ; 0x3f98 + 3fe2: 80 32 cpi r24, 0x20 ; 32 + 3fe4: 09 f0 breq .+2 ; 0x3fe8 + 3fe6: f7 df rcall .-18 ; 0x3fd6 + putch(STK_INSYNC); + 3fe8: 84 e1 ldi r24, 0x14 ; 20 +} + 3fea: c7 cf rjmp .-114 ; 0x3f7a + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 3fec: 1f 93 push r17 + 3fee: 18 2f mov r17, r24 + +00003ff0 : + do getch(); while (--count); + 3ff0: d3 df rcall .-90 ; 0x3f98 + 3ff2: 11 50 subi r17, 0x01 ; 1 + 3ff4: e9 f7 brne .-6 ; 0x3ff0 + verifySpace(); + 3ff6: f4 df rcall .-24 ; 0x3fe0 +} + 3ff8: 1f 91 pop r17 + 3ffa: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_luminet.hex b/bootloaders/optiboot/optiboot_luminet.hex new file mode 100644 index 0000000..0e51124 --- /dev/null +++ b/bootloaders/optiboot/optiboot_luminet.hex @@ -0,0 +1,42 @@ +:101D000085E08EBD84B714BE81FF27D18DE021D13F +:101D1000D49AD29A86E023EC3FEF91E03DBD2CBDF2 +:101D20009BB9589BFECFCC9AA8958150B9F7CC248B +:101D3000C39485E0E82E0FE7D02E1EECF12EF0D0F4 +:101D4000813421F481E014D183E024C0823411F481 +:101D500084E103C0853419F485E00AD1CFC085350C +:101D6000A1F4DED0082F10E01093010200930002CE +:101D7000D7D090E0982F8827802B912B880F991F20 +:101D80009093010280930002B8C0863529F484E064 +:101D9000EFD080E0B6D0B2C0843609F06EC0D4D0A7 +:101DA000E0910002F091010283E080935700E895F2 +:101DB000C0E0D1E0B5D08993809102028150809338 +:101DC00002028823B9F7CED007B600FCFDCF809180 +:101DD000000290910102892B41F580910001209130 +:101DE000010130E0322F222790E0282B392B30934D +:101DF00005022093040240910A0180910B0190E0BA +:101E0000982F882750E0842B952B9093070280937E +:101E100006022450304020930A01232F33272093B9 +:101E20000B01D0920001F09201014091000250910B +:101E30000102A0E0B1E02C9130E011968C91119755 +:101E400090E0982F8827822B932B1296FA010C0191 +:101E5000C0925700E89511244E5F5F4FF1E0A03427 +:101E6000BF0749F7E0910002F0910102E0925700AC +:101E7000E89507B600FCFDCF41C0843789F564D0F2 +:101E800071D0E0910002F0910102309719F4209195 +:101E9000040213C0E130F10519F4209105020DC0D0 +:101EA000EA30F10519F42091060207C0EB30F10584 +:101EB00019F42091070201C02491809100029091B1 +:101EC000010201969093010280930002822F19D0A3 +:101ED00080910202815080930202882391F60EC005 +:101EE000853739F43FD08EE10CD083E90AD08CE0FD +:101EF00051CF813511F488E02CD034D080E101D06D +:101F00001ECF2AE030E08095089410F4DA9802C0E1 +:101F1000DA9A000015D014D086952A95B1F7089565 +:101F2000A89529E030E0CB99FECF0AD009D008D09F +:101F30008894CB9908942A9511F08795F7CF089546 +:101F40009EE09A95F1F70895EBDFEADF80930202B5 +:101F5000E7CF98E191BD81BD089580E0FADFE5E02B +:101F6000FF270994DDDF803209F0F7DF84E1C9CF74 +:101F70001F93182FD5DF1150E9F7F4DF1F91089553 +:0400000300001D00DC +:00000001FF diff --git a/bootloaders/optiboot/optiboot_luminet.lst b/bootloaders/optiboot/optiboot_luminet.lst new file mode 100644 index 0000000..59468cb --- /dev/null +++ b/bootloaders/optiboot/optiboot_luminet.lst @@ -0,0 +1,604 @@ + +optiboot_luminet.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 00000280 00001d00 00001d00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 000002d4 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 00000078 00000000 00000000 000002fc 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000289 00000000 00000000 00000374 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 000001a1 00000000 00000000 000005fd 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 00000435 00000000 00000000 0000079e 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 000000a0 00000000 00000000 00000bd4 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 00000144 00000000 00000000 00000c74 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 00000194 00000000 00000000 00000db8 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000088 00000000 00000000 00000f4c 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00001d00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 1d00: 85 e0 ldi r24, 0x05 ; 5 + 1d02: 8e bd out 0x2e, r24 ; 46 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 1d04: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 1d06: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 1d08: 81 ff sbrs r24, 1 + 1d0a: 27 d1 rcall .+590 ; 0x1f5a + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 1d0c: 8d e0 ldi r24, 0x0D ; 13 + 1d0e: 21 d1 rcall .+578 ; 0x1f52 + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 1d10: d4 9a sbi 0x1a, 4 ; 26 + +#ifdef SOFT_UART + /* Set TX pin as output */ + UART_DDR |= _BV(UART_TX_BIT); + 1d12: d2 9a sbi 0x1a, 2 ; 26 + 1d14: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 1d16: 23 ec ldi r18, 0xC3 ; 195 + 1d18: 3f ef ldi r19, 0xFF ; 255 + TIFR1 = _BV(TOV1); + 1d1a: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 1d1c: 3d bd out 0x2d, r19 ; 45 + 1d1e: 2c bd out 0x2c, r18 ; 44 + TIFR1 = _BV(TOV1); + 1d20: 9b b9 out 0x0b, r25 ; 11 + while(!(TIFR1 & _BV(TOV1))); + 1d22: 58 9b sbis 0x0b, 0 ; 11 + 1d24: fe cf rjmp .-4 ; 0x1d22 + LED_PIN |= _BV(LED); + 1d26: cc 9a sbi 0x19, 4 ; 25 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 1d28: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 1d2a: 81 50 subi r24, 0x01 ; 1 + 1d2c: b9 f7 brne .-18 ; 0x1d1c + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 1d2e: cc 24 eor r12, r12 + 1d30: c3 94 inc r12 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 1d32: 85 e0 ldi r24, 0x05 ; 5 + 1d34: e8 2e mov r14, r24 + vect -= 4; // Instruction is a relative jump (rjmp), so recalculate. + buff[10] = vect & 0xff; + buff[11] = vect >> 8; + + // Add jump to bootloader at RESET vector + buff[0] = 0x7f; + 1d36: 0f e7 ldi r16, 0x7F ; 127 + 1d38: d0 2e mov r13, r16 + buff[1] = 0xce; // rjmp 0x1d00 instruction + 1d3a: 1e ec ldi r17, 0xCE ; 206 + 1d3c: f1 2e mov r15, r17 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 1d3e: f0 d0 rcall .+480 ; 0x1f20 + + if(ch == STK_GET_PARAMETER) { + 1d40: 81 34 cpi r24, 0x41 ; 65 + 1d42: 21 f4 brne .+8 ; 0x1d4c + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 1d44: 81 e0 ldi r24, 0x01 ; 1 + 1d46: 14 d1 rcall .+552 ; 0x1f70 + putch(0x03); + 1d48: 83 e0 ldi r24, 0x03 ; 3 + 1d4a: 24 c0 rjmp .+72 ; 0x1d94 + } + else if(ch == STK_SET_DEVICE) { + 1d4c: 82 34 cpi r24, 0x42 ; 66 + 1d4e: 11 f4 brne .+4 ; 0x1d54 + // SET DEVICE is ignored + getNch(20); + 1d50: 84 e1 ldi r24, 0x14 ; 20 + 1d52: 03 c0 rjmp .+6 ; 0x1d5a + } + else if(ch == STK_SET_DEVICE_EXT) { + 1d54: 85 34 cpi r24, 0x45 ; 69 + 1d56: 19 f4 brne .+6 ; 0x1d5e + // SET DEVICE EXT is ignored + getNch(5); + 1d58: 85 e0 ldi r24, 0x05 ; 5 + 1d5a: 0a d1 rcall .+532 ; 0x1f70 + 1d5c: cf c0 rjmp .+414 ; 0x1efc + } + else if(ch == STK_LOAD_ADDRESS) { + 1d5e: 85 35 cpi r24, 0x55 ; 85 + 1d60: a1 f4 brne .+40 ; 0x1d8a + // LOAD ADDRESS + address = getch(); + 1d62: de d0 rcall .+444 ; 0x1f20 + 1d64: 08 2f mov r16, r24 + 1d66: 10 e0 ldi r17, 0x00 ; 0 + 1d68: 10 93 01 02 sts 0x0201, r17 + 1d6c: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 1d70: d7 d0 rcall .+430 ; 0x1f20 + 1d72: 90 e0 ldi r25, 0x00 ; 0 + 1d74: 98 2f mov r25, r24 + 1d76: 88 27 eor r24, r24 + 1d78: 80 2b or r24, r16 + 1d7a: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 1d7c: 88 0f add r24, r24 + 1d7e: 99 1f adc r25, r25 + 1d80: 90 93 01 02 sts 0x0201, r25 + 1d84: 80 93 00 02 sts 0x0200, r24 + 1d88: b8 c0 rjmp .+368 ; 0x1efa + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 1d8a: 86 35 cpi r24, 0x56 ; 86 + 1d8c: 29 f4 brne .+10 ; 0x1d98 + // UNIVERSAL command is ignored + getNch(4); + 1d8e: 84 e0 ldi r24, 0x04 ; 4 + 1d90: ef d0 rcall .+478 ; 0x1f70 + putch(0x00); + 1d92: 80 e0 ldi r24, 0x00 ; 0 + 1d94: b6 d0 rcall .+364 ; 0x1f02 + 1d96: b2 c0 rjmp .+356 ; 0x1efc + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 1d98: 84 36 cpi r24, 0x64 ; 100 + 1d9a: 09 f0 breq .+2 ; 0x1d9e + 1d9c: 6e c0 rjmp .+220 ; 0x1e7a + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 1d9e: d4 d0 rcall .+424 ; 0x1f48 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 1da0: e0 91 00 02 lds r30, 0x0200 + 1da4: f0 91 01 02 lds r31, 0x0201 + 1da8: 83 e0 ldi r24, 0x03 ; 3 + 1daa: 80 93 57 00 sts 0x0057, r24 + 1dae: e8 95 spm + 1db0: c0 e0 ldi r28, 0x00 ; 0 + 1db2: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 1db4: b5 d0 rcall .+362 ; 0x1f20 + 1db6: 89 93 st Y+, r24 + while (--length); + 1db8: 80 91 02 02 lds r24, 0x0202 + 1dbc: 81 50 subi r24, 0x01 ; 1 + 1dbe: 80 93 02 02 sts 0x0202, r24 + 1dc2: 88 23 and r24, r24 + 1dc4: b9 f7 brne .-18 ; 0x1db4 + + // Read command terminator, start reply + verifySpace(); + 1dc6: ce d0 rcall .+412 ; 0x1f64 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 1dc8: 07 b6 in r0, 0x37 ; 55 + 1dca: 00 fc sbrc r0, 0 + 1dcc: fd cf rjmp .-6 ; 0x1dc8 + +#ifdef VIRTUAL_BOOT_PARTITION + if ((uint16_t)(void*)address == 0) { + 1dce: 80 91 00 02 lds r24, 0x0200 + 1dd2: 90 91 01 02 lds r25, 0x0201 + 1dd6: 89 2b or r24, r25 + 1dd8: 41 f5 brne .+80 ; 0x1e2a + // This is the reset vector page. We need to live-patch the code so the + // bootloader runs. + // + // Move RESET vector to WDT vector + uint16_t vect = buff[0] | (buff[1]<<8); + 1dda: 80 91 00 01 lds r24, 0x0100 + 1dde: 20 91 01 01 lds r18, 0x0101 + 1de2: 30 e0 ldi r19, 0x00 ; 0 + 1de4: 32 2f mov r19, r18 + 1de6: 22 27 eor r18, r18 + 1de8: 90 e0 ldi r25, 0x00 ; 0 + 1dea: 28 2b or r18, r24 + 1dec: 39 2b or r19, r25 + rstVect = vect; + 1dee: 30 93 05 02 sts 0x0205, r19 + 1df2: 20 93 04 02 sts 0x0204, r18 + wdtVect = buff[10] | (buff[11]<<8); + 1df6: 40 91 0a 01 lds r20, 0x010A + 1dfa: 80 91 0b 01 lds r24, 0x010B + 1dfe: 90 e0 ldi r25, 0x00 ; 0 + 1e00: 98 2f mov r25, r24 + 1e02: 88 27 eor r24, r24 + 1e04: 50 e0 ldi r21, 0x00 ; 0 + 1e06: 84 2b or r24, r20 + 1e08: 95 2b or r25, r21 + 1e0a: 90 93 07 02 sts 0x0207, r25 + 1e0e: 80 93 06 02 sts 0x0206, r24 + vect -= 4; // Instruction is a relative jump (rjmp), so recalculate. + 1e12: 24 50 subi r18, 0x04 ; 4 + 1e14: 30 40 sbci r19, 0x00 ; 0 + buff[10] = vect & 0xff; + 1e16: 20 93 0a 01 sts 0x010A, r18 + buff[11] = vect >> 8; + 1e1a: 23 2f mov r18, r19 + 1e1c: 33 27 eor r19, r19 + 1e1e: 20 93 0b 01 sts 0x010B, r18 + + // Add jump to bootloader at RESET vector + buff[0] = 0x7f; + 1e22: d0 92 00 01 sts 0x0100, r13 + buff[1] = 0xce; // rjmp 0x1d00 instruction + 1e26: f0 92 01 01 sts 0x0101, r15 + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 1e2a: 40 91 00 02 lds r20, 0x0200 + 1e2e: 50 91 01 02 lds r21, 0x0201 + 1e32: a0 e0 ldi r26, 0x00 ; 0 + 1e34: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 1e36: 2c 91 ld r18, X + 1e38: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 1e3a: 11 96 adiw r26, 0x01 ; 1 + 1e3c: 8c 91 ld r24, X + 1e3e: 11 97 sbiw r26, 0x01 ; 1 + 1e40: 90 e0 ldi r25, 0x00 ; 0 + 1e42: 98 2f mov r25, r24 + 1e44: 88 27 eor r24, r24 + 1e46: 82 2b or r24, r18 + 1e48: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 1e4a: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 1e4c: fa 01 movw r30, r20 + 1e4e: 0c 01 movw r0, r24 + 1e50: c0 92 57 00 sts 0x0057, r12 + 1e54: e8 95 spm + 1e56: 11 24 eor r1, r1 + addrPtr += 2; + 1e58: 4e 5f subi r20, 0xFE ; 254 + 1e5a: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 1e5c: f1 e0 ldi r31, 0x01 ; 1 + 1e5e: a0 34 cpi r26, 0x40 ; 64 + 1e60: bf 07 cpc r27, r31 + 1e62: 49 f7 brne .-46 ; 0x1e36 + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 1e64: e0 91 00 02 lds r30, 0x0200 + 1e68: f0 91 01 02 lds r31, 0x0201 + 1e6c: e0 92 57 00 sts 0x0057, r14 + 1e70: e8 95 spm + boot_spm_busy_wait(); + 1e72: 07 b6 in r0, 0x37 ; 55 + 1e74: 00 fc sbrc r0, 0 + 1e76: fd cf rjmp .-6 ; 0x1e72 + 1e78: 41 c0 rjmp .+130 ; 0x1efc + boot_rww_enable(); +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 1e7a: 84 37 cpi r24, 0x74 ; 116 + 1e7c: 89 f5 brne .+98 ; 0x1ee0 + // READ PAGE - we only read flash + getLen(); + 1e7e: 64 d0 rcall .+200 ; 0x1f48 + verifySpace(); + 1e80: 71 d0 rcall .+226 ; 0x1f64 +#ifdef VIRTUAL_BOOT_PARTITION + do { + // Undo vector patch in bottom page so verify passes + if (address == 0) ch=rstVect & 0xff; + 1e82: e0 91 00 02 lds r30, 0x0200 + 1e86: f0 91 01 02 lds r31, 0x0201 + 1e8a: 30 97 sbiw r30, 0x00 ; 0 + 1e8c: 19 f4 brne .+6 ; 0x1e94 + 1e8e: 20 91 04 02 lds r18, 0x0204 + 1e92: 13 c0 rjmp .+38 ; 0x1eba + else if (address == 1) ch=rstVect >> 8; + 1e94: e1 30 cpi r30, 0x01 ; 1 + 1e96: f1 05 cpc r31, r1 + 1e98: 19 f4 brne .+6 ; 0x1ea0 + 1e9a: 20 91 05 02 lds r18, 0x0205 + 1e9e: 0d c0 rjmp .+26 ; 0x1eba + else if (address == 10) ch=wdtVect & 0xff; + 1ea0: ea 30 cpi r30, 0x0A ; 10 + 1ea2: f1 05 cpc r31, r1 + 1ea4: 19 f4 brne .+6 ; 0x1eac + 1ea6: 20 91 06 02 lds r18, 0x0206 + 1eaa: 07 c0 rjmp .+14 ; 0x1eba + else if (address == 11) ch=wdtVect >> 8; + 1eac: eb 30 cpi r30, 0x0B ; 11 + 1eae: f1 05 cpc r31, r1 + 1eb0: 19 f4 brne .+6 ; 0x1eb8 + 1eb2: 20 91 07 02 lds r18, 0x0207 + 1eb6: 01 c0 rjmp .+2 ; 0x1eba + else ch = pgm_read_byte_near(address); + 1eb8: 24 91 lpm r18, Z+ + address++; + 1eba: 80 91 00 02 lds r24, 0x0200 + 1ebe: 90 91 01 02 lds r25, 0x0201 + 1ec2: 01 96 adiw r24, 0x01 ; 1 + 1ec4: 90 93 01 02 sts 0x0201, r25 + 1ec8: 80 93 00 02 sts 0x0200, r24 + putch(ch); + 1ecc: 82 2f mov r24, r18 + 1ece: 19 d0 rcall .+50 ; 0x1f02 + } while (--length); + 1ed0: 80 91 02 02 lds r24, 0x0202 + 1ed4: 81 50 subi r24, 0x01 ; 1 + 1ed6: 80 93 02 02 sts 0x0202, r24 + 1eda: 88 23 and r24, r24 + 1edc: 91 f6 brne .-92 ; 0x1e82 + 1ede: 0e c0 rjmp .+28 ; 0x1efc + while (--length); +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 1ee0: 85 37 cpi r24, 0x75 ; 117 + 1ee2: 39 f4 brne .+14 ; 0x1ef2 + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 1ee4: 3f d0 rcall .+126 ; 0x1f64 + putch(SIGNATURE_0); + 1ee6: 8e e1 ldi r24, 0x1E ; 30 + 1ee8: 0c d0 rcall .+24 ; 0x1f02 + putch(SIGNATURE_1); + 1eea: 83 e9 ldi r24, 0x93 ; 147 + 1eec: 0a d0 rcall .+20 ; 0x1f02 + putch(SIGNATURE_2); + 1eee: 8c e0 ldi r24, 0x0C ; 12 + 1ef0: 51 cf rjmp .-350 ; 0x1d94 + } + else if (ch == 'Q') { + 1ef2: 81 35 cpi r24, 0x51 ; 81 + 1ef4: 11 f4 brne .+4 ; 0x1efa + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 1ef6: 88 e0 ldi r24, 0x08 ; 8 + 1ef8: 2c d0 rcall .+88 ; 0x1f52 + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 1efa: 34 d0 rcall .+104 ; 0x1f64 + } + putch(STK_OK); + 1efc: 80 e1 ldi r24, 0x10 ; 16 + 1efe: 01 d0 rcall .+2 ; 0x1f02 + 1f00: 1e cf rjmp .-452 ; 0x1d3e + +00001f02 : +void putch(char ch) { +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + UDR0 = ch; +#else + __asm__ __volatile__ ( + 1f02: 2a e0 ldi r18, 0x0A ; 10 + 1f04: 30 e0 ldi r19, 0x00 ; 0 + 1f06: 80 95 com r24 + 1f08: 08 94 sec + 1f0a: 10 f4 brcc .+4 ; 0x1f10 + 1f0c: da 98 cbi 0x1b, 2 ; 27 + 1f0e: 02 c0 rjmp .+4 ; 0x1f14 + 1f10: da 9a sbi 0x1b, 2 ; 27 + 1f12: 00 00 nop + 1f14: 15 d0 rcall .+42 ; 0x1f40 + 1f16: 14 d0 rcall .+40 ; 0x1f40 + 1f18: 86 95 lsr r24 + 1f1a: 2a 95 dec r18 + 1f1c: b1 f7 brne .-20 ; 0x1f0a + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 1f1e: 08 95 ret + +00001f20 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 1f20: a8 95 wdr +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 1f22: 29 e0 ldi r18, 0x09 ; 9 + 1f24: 30 e0 ldi r19, 0x00 ; 0 + 1f26: cb 99 sbic 0x19, 3 ; 25 + 1f28: fe cf rjmp .-4 ; 0x1f26 + 1f2a: 0a d0 rcall .+20 ; 0x1f40 + 1f2c: 09 d0 rcall .+18 ; 0x1f40 + 1f2e: 08 d0 rcall .+16 ; 0x1f40 + 1f30: 88 94 clc + 1f32: cb 99 sbic 0x19, 3 ; 25 + 1f34: 08 94 sec + 1f36: 2a 95 dec r18 + 1f38: 11 f0 breq .+4 ; 0x1f3e + 1f3a: 87 95 ror r24 + 1f3c: f7 cf rjmp .-18 ; 0x1f2c + 1f3e: 08 95 ret + +00001f40 : +#if UART_B_VALUE > 255 +#error Baud rate too slow for soft UART +#endif + +void uartDelay() { + __asm__ __volatile__ ( + 1f40: 9e e0 ldi r25, 0x0E ; 14 + 1f42: 9a 95 dec r25 + 1f44: f1 f7 brne .-4 ; 0x1f42 + 1f46: 08 95 ret + +00001f48 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 1f48: eb df rcall .-42 ; 0x1f20 + length = getch(); + 1f4a: ea df rcall .-44 ; 0x1f20 + 1f4c: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 1f50: e7 cf rjmp .-50 ; 0x1f20 + +00001f52 : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 1f52: 98 e1 ldi r25, 0x18 ; 24 + 1f54: 91 bd out 0x21, r25 ; 33 + WDTCSR = x; + 1f56: 81 bd out 0x21, r24 ; 33 +} + 1f58: 08 95 ret + +00001f5a : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 1f5a: 80 e0 ldi r24, 0x00 ; 0 + 1f5c: fa df rcall .-12 ; 0x1f52 + __asm__ __volatile__ ( + 1f5e: e5 e0 ldi r30, 0x05 ; 5 + 1f60: ff 27 eor r31, r31 + 1f62: 09 94 ijmp + +00001f64 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 1f64: dd df rcall .-70 ; 0x1f20 + 1f66: 80 32 cpi r24, 0x20 ; 32 + 1f68: 09 f0 breq .+2 ; 0x1f6c + 1f6a: f7 df rcall .-18 ; 0x1f5a + putch(STK_INSYNC); + 1f6c: 84 e1 ldi r24, 0x14 ; 20 +} + 1f6e: c9 cf rjmp .-110 ; 0x1f02 + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 1f70: 1f 93 push r17 + 1f72: 18 2f mov r17, r24 + +00001f74 : + do getch(); while (--count); + 1f74: d5 df rcall .-86 ; 0x1f20 + 1f76: 11 50 subi r17, 0x01 ; 1 + 1f78: e9 f7 brne .-6 ; 0x1f74 + verifySpace(); + 1f7a: f4 df rcall .-24 ; 0x1f64 +} + 1f7c: 1f 91 pop r17 + 1f7e: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_pro_16MHz.hex b/bootloaders/optiboot/optiboot_pro_16MHz.hex new file mode 100644 index 0000000..1e93414 --- /dev/null +++ b/bootloaders/optiboot/optiboot_pro_16MHz.hex @@ -0,0 +1,33 @@ +:103E000085E08093810082E08093C00088E1809308 +:103E1000C10086E08093C20080E18093C40084B733 +:103E200014BE81FFD0D08DE0C8D0259A86E020E373 +:103E30003CEF91E0309385002093840096BBB09BCB +:103E4000FECF1D9AA8958150A9F7DD24D394A5E053 +:103E5000EA2EF1E1FF2EA4D0813421F481E0BED01E +:103E600083E024C0823411F484E103C0853419F462 +:103E700085E0B4D08AC08535A1F492D0082F10E037 +:103E800010930102009300028BD090E0982F8827B6 +:103E9000802B912B880F991F909301028093000231 +:103EA00073C0863529F484E099D080E071D06DC06C +:103EB000843609F043C07CD0E0910002F091010209 +:103EC00083E080935700E895C0E0D1E069D0899302 +:103ED000809102028150809302028823B9F778D042 +:103EE00007B600FCFDCF4091000250910102A0E016 +:103EF000B1E02C9130E011968C91119790E0982FC1 +:103F00008827822B932B1296FA010C01D09257002E +:103F1000E89511244E5F5F4FF1E0A038BF0749F7E5 +:103F2000E0910002F0910102E0925700E89507B697 +:103F300000FCFDCFF0925700E89527C08437B9F414 +:103F400037D046D0E0910002F09101023196F09313 +:103F50000102E09300023197E4918E2F19D08091F5 +:103F60000202815080930202882361F70EC08537D8 +:103F700039F42ED08EE10CD084E90AD086E096CFB9 +:103F8000813511F488E019D023D080E101D063CFCE +:103F9000982F8091C00085FFFCCF9093C6000895B4 +:103FA000A8958091C00087FFFCCF8091C60008953E +:103FB000F7DFF6DF80930202F3CFE0E6F0E098E16E +:103FC00090838083089580E0F8DFEE27FF2709942F +:103FD000E7DF803209F0F7DF84E1DACF1F93182F93 +:0C3FE000DFDF1150E9F7F4DF1F910895B6 +:0400000300003E00BB +:00000001FF diff --git a/bootloaders/optiboot/optiboot_pro_16MHz.lst b/bootloaders/optiboot/optiboot_pro_16MHz.lst new file mode 100644 index 0000000..9920a76 --- /dev/null +++ b/bootloaders/optiboot/optiboot_pro_16MHz.lst @@ -0,0 +1,520 @@ + +optiboot_pro_16MHz.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001ec 00003e00 00003e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000240 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 0000006a 00000000 00000000 00000268 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000269 00000000 00000000 000002d2 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000196 00000000 00000000 0000053b 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003d3 00000000 00000000 000006d1 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 00000090 00000000 00000000 00000aa4 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 00000135 00000000 00000000 00000b34 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001d1 00000000 00000000 00000c69 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000068 00000000 00000000 00000e3a 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00003e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3e00: 85 e0 ldi r24, 0x05 ; 5 + 3e02: 80 93 81 00 sts 0x0081, r24 +#if LED_START_FLASHES > 0 + // Set up Timer 1 for timeout counter + TCCR1B = _BV(CS12) | _BV(CS10); // div 1024 +#endif +#ifndef SOFT_UART + UCSR0A = _BV(U2X0); //Double speed mode USART0 + 3e06: 82 e0 ldi r24, 0x02 ; 2 + 3e08: 80 93 c0 00 sts 0x00C0, r24 + UCSR0B = _BV(RXEN0) | _BV(TXEN0); + 3e0c: 88 e1 ldi r24, 0x18 ; 24 + 3e0e: 80 93 c1 00 sts 0x00C1, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + 3e12: 86 e0 ldi r24, 0x06 ; 6 + 3e14: 80 93 c2 00 sts 0x00C2, r24 + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); + 3e18: 80 e1 ldi r24, 0x10 ; 16 + 3e1a: 80 93 c4 00 sts 0x00C4, r24 +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 3e1e: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 3e20: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 3e22: 81 ff sbrs r24, 1 + 3e24: d0 d0 rcall .+416 ; 0x3fc6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 3e26: 8d e0 ldi r24, 0x0D ; 13 + 3e28: c8 d0 rcall .+400 ; 0x3fba + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 3e2a: 25 9a sbi 0x04, 5 ; 4 + 3e2c: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e2e: 20 e3 ldi r18, 0x30 ; 48 + 3e30: 3c ef ldi r19, 0xFC ; 252 + TIFR1 = _BV(TOV1); + 3e32: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e34: 30 93 85 00 sts 0x0085, r19 + 3e38: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 3e3c: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 3e3e: b0 9b sbis 0x16, 0 ; 22 + 3e40: fe cf rjmp .-4 ; 0x3e3e + LED_PIN |= _BV(LED); + 3e42: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3e44: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 3e46: 81 50 subi r24, 0x01 ; 1 + 3e48: a9 f7 brne .-22 ; 0x3e34 + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e4a: dd 24 eor r13, r13 + 3e4c: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3e4e: a5 e0 ldi r26, 0x05 ; 5 + 3e50: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3e52: f1 e1 ldi r31, 0x11 ; 17 + 3e54: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 3e56: a4 d0 rcall .+328 ; 0x3fa0 + + if(ch == STK_GET_PARAMETER) { + 3e58: 81 34 cpi r24, 0x41 ; 65 + 3e5a: 21 f4 brne .+8 ; 0x3e64 + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e5c: 81 e0 ldi r24, 0x01 ; 1 + 3e5e: be d0 rcall .+380 ; 0x3fdc + putch(0x03); + 3e60: 83 e0 ldi r24, 0x03 ; 3 + 3e62: 24 c0 rjmp .+72 ; 0x3eac + } + else if(ch == STK_SET_DEVICE) { + 3e64: 82 34 cpi r24, 0x42 ; 66 + 3e66: 11 f4 brne .+4 ; 0x3e6c + // SET DEVICE is ignored + getNch(20); + 3e68: 84 e1 ldi r24, 0x14 ; 20 + 3e6a: 03 c0 rjmp .+6 ; 0x3e72 + } + else if(ch == STK_SET_DEVICE_EXT) { + 3e6c: 85 34 cpi r24, 0x45 ; 69 + 3e6e: 19 f4 brne .+6 ; 0x3e76 + // SET DEVICE EXT is ignored + getNch(5); + 3e70: 85 e0 ldi r24, 0x05 ; 5 + 3e72: b4 d0 rcall .+360 ; 0x3fdc + 3e74: 8a c0 rjmp .+276 ; 0x3f8a + } + else if(ch == STK_LOAD_ADDRESS) { + 3e76: 85 35 cpi r24, 0x55 ; 85 + 3e78: a1 f4 brne .+40 ; 0x3ea2 + // LOAD ADDRESS + address = getch(); + 3e7a: 92 d0 rcall .+292 ; 0x3fa0 + 3e7c: 08 2f mov r16, r24 + 3e7e: 10 e0 ldi r17, 0x00 ; 0 + 3e80: 10 93 01 02 sts 0x0201, r17 + 3e84: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 3e88: 8b d0 rcall .+278 ; 0x3fa0 + 3e8a: 90 e0 ldi r25, 0x00 ; 0 + 3e8c: 98 2f mov r25, r24 + 3e8e: 88 27 eor r24, r24 + 3e90: 80 2b or r24, r16 + 3e92: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 3e94: 88 0f add r24, r24 + 3e96: 99 1f adc r25, r25 + 3e98: 90 93 01 02 sts 0x0201, r25 + 3e9c: 80 93 00 02 sts 0x0200, r24 + 3ea0: 73 c0 rjmp .+230 ; 0x3f88 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 3ea2: 86 35 cpi r24, 0x56 ; 86 + 3ea4: 29 f4 brne .+10 ; 0x3eb0 + // UNIVERSAL command is ignored + getNch(4); + 3ea6: 84 e0 ldi r24, 0x04 ; 4 + 3ea8: 99 d0 rcall .+306 ; 0x3fdc + putch(0x00); + 3eaa: 80 e0 ldi r24, 0x00 ; 0 + 3eac: 71 d0 rcall .+226 ; 0x3f90 + 3eae: 6d c0 rjmp .+218 ; 0x3f8a + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 3eb0: 84 36 cpi r24, 0x64 ; 100 + 3eb2: 09 f0 breq .+2 ; 0x3eb6 + 3eb4: 43 c0 rjmp .+134 ; 0x3f3c + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 3eb6: 7c d0 rcall .+248 ; 0x3fb0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 3eb8: e0 91 00 02 lds r30, 0x0200 + 3ebc: f0 91 01 02 lds r31, 0x0201 + 3ec0: 83 e0 ldi r24, 0x03 ; 3 + 3ec2: 80 93 57 00 sts 0x0057, r24 + 3ec6: e8 95 spm + 3ec8: c0 e0 ldi r28, 0x00 ; 0 + 3eca: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 3ecc: 69 d0 rcall .+210 ; 0x3fa0 + 3ece: 89 93 st Y+, r24 + while (--length); + 3ed0: 80 91 02 02 lds r24, 0x0202 + 3ed4: 81 50 subi r24, 0x01 ; 1 + 3ed6: 80 93 02 02 sts 0x0202, r24 + 3eda: 88 23 and r24, r24 + 3edc: b9 f7 brne .-18 ; 0x3ecc + + // Read command terminator, start reply + verifySpace(); + 3ede: 78 d0 rcall .+240 ; 0x3fd0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 3ee0: 07 b6 in r0, 0x37 ; 55 + 3ee2: 00 fc sbrc r0, 0 + 3ee4: fd cf rjmp .-6 ; 0x3ee0 + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 3ee6: 40 91 00 02 lds r20, 0x0200 + 3eea: 50 91 01 02 lds r21, 0x0201 + 3eee: a0 e0 ldi r26, 0x00 ; 0 + 3ef0: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 3ef2: 2c 91 ld r18, X + 3ef4: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 3ef6: 11 96 adiw r26, 0x01 ; 1 + 3ef8: 8c 91 ld r24, X + 3efa: 11 97 sbiw r26, 0x01 ; 1 + 3efc: 90 e0 ldi r25, 0x00 ; 0 + 3efe: 98 2f mov r25, r24 + 3f00: 88 27 eor r24, r24 + 3f02: 82 2b or r24, r18 + 3f04: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3f06: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 3f08: fa 01 movw r30, r20 + 3f0a: 0c 01 movw r0, r24 + 3f0c: d0 92 57 00 sts 0x0057, r13 + 3f10: e8 95 spm + 3f12: 11 24 eor r1, r1 + addrPtr += 2; + 3f14: 4e 5f subi r20, 0xFE ; 254 + 3f16: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 3f18: f1 e0 ldi r31, 0x01 ; 1 + 3f1a: a0 38 cpi r26, 0x80 ; 128 + 3f1c: bf 07 cpc r27, r31 + 3f1e: 49 f7 brne .-46 ; 0x3ef2 + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3f20: e0 91 00 02 lds r30, 0x0200 + 3f24: f0 91 01 02 lds r31, 0x0201 + 3f28: e0 92 57 00 sts 0x0057, r14 + 3f2c: e8 95 spm + boot_spm_busy_wait(); + 3f2e: 07 b6 in r0, 0x37 ; 55 + 3f30: 00 fc sbrc r0, 0 + 3f32: fd cf rjmp .-6 ; 0x3f2e + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3f34: f0 92 57 00 sts 0x0057, r15 + 3f38: e8 95 spm + 3f3a: 27 c0 rjmp .+78 ; 0x3f8a +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 3f3c: 84 37 cpi r24, 0x74 ; 116 + 3f3e: b9 f4 brne .+46 ; 0x3f6e + // READ PAGE - we only read flash + getLen(); + 3f40: 37 d0 rcall .+110 ; 0x3fb0 + verifySpace(); + 3f42: 46 d0 rcall .+140 ; 0x3fd0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 3f44: e0 91 00 02 lds r30, 0x0200 + 3f48: f0 91 01 02 lds r31, 0x0201 + 3f4c: 31 96 adiw r30, 0x01 ; 1 + 3f4e: f0 93 01 02 sts 0x0201, r31 + 3f52: e0 93 00 02 sts 0x0200, r30 + 3f56: 31 97 sbiw r30, 0x01 ; 1 + 3f58: e4 91 lpm r30, Z+ + 3f5a: 8e 2f mov r24, r30 + 3f5c: 19 d0 rcall .+50 ; 0x3f90 + while (--length); + 3f5e: 80 91 02 02 lds r24, 0x0202 + 3f62: 81 50 subi r24, 0x01 ; 1 + 3f64: 80 93 02 02 sts 0x0202, r24 + 3f68: 88 23 and r24, r24 + 3f6a: 61 f7 brne .-40 ; 0x3f44 + 3f6c: 0e c0 rjmp .+28 ; 0x3f8a +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 3f6e: 85 37 cpi r24, 0x75 ; 117 + 3f70: 39 f4 brne .+14 ; 0x3f80 + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 3f72: 2e d0 rcall .+92 ; 0x3fd0 + putch(SIGNATURE_0); + 3f74: 8e e1 ldi r24, 0x1E ; 30 + 3f76: 0c d0 rcall .+24 ; 0x3f90 + putch(SIGNATURE_1); + 3f78: 84 e9 ldi r24, 0x94 ; 148 + 3f7a: 0a d0 rcall .+20 ; 0x3f90 + putch(SIGNATURE_2); + 3f7c: 86 e0 ldi r24, 0x06 ; 6 + 3f7e: 96 cf rjmp .-212 ; 0x3eac + } + else if (ch == 'Q') { + 3f80: 81 35 cpi r24, 0x51 ; 81 + 3f82: 11 f4 brne .+4 ; 0x3f88 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 3f84: 88 e0 ldi r24, 0x08 ; 8 + 3f86: 19 d0 rcall .+50 ; 0x3fba + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 3f88: 23 d0 rcall .+70 ; 0x3fd0 + } + putch(STK_OK); + 3f8a: 80 e1 ldi r24, 0x10 ; 16 + 3f8c: 01 d0 rcall .+2 ; 0x3f90 + 3f8e: 63 cf rjmp .-314 ; 0x3e56 + +00003f90 : + } +} + +void putch(char ch) { + 3f90: 98 2f mov r25, r24 +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + 3f92: 80 91 c0 00 lds r24, 0x00C0 + 3f96: 85 ff sbrs r24, 5 + 3f98: fc cf rjmp .-8 ; 0x3f92 + UDR0 = ch; + 3f9a: 90 93 c6 00 sts 0x00C6, r25 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 3f9e: 08 95 ret + +00003fa0 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3fa0: a8 95 wdr + [uartBit] "I" (UART_RX_BIT) + : + "r25" +); +#else + while(!(UCSR0A & _BV(RXC0))); + 3fa2: 80 91 c0 00 lds r24, 0x00C0 + 3fa6: 87 ff sbrs r24, 7 + 3fa8: fc cf rjmp .-8 ; 0x3fa2 + ch = UDR0; + 3faa: 80 91 c6 00 lds r24, 0x00C6 +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 3fae: 08 95 ret + +00003fb0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 3fb0: f7 df rcall .-18 ; 0x3fa0 + length = getch(); + 3fb2: f6 df rcall .-20 ; 0x3fa0 + 3fb4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 3fb8: f3 cf rjmp .-26 ; 0x3fa0 + +00003fba : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 3fba: e0 e6 ldi r30, 0x60 ; 96 + 3fbc: f0 e0 ldi r31, 0x00 ; 0 + 3fbe: 98 e1 ldi r25, 0x18 ; 24 + 3fc0: 90 83 st Z, r25 + WDTCSR = x; + 3fc2: 80 83 st Z, r24 +} + 3fc4: 08 95 ret + +00003fc6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 3fc6: 80 e0 ldi r24, 0x00 ; 0 + 3fc8: f8 df rcall .-16 ; 0x3fba + __asm__ __volatile__ ( + 3fca: ee 27 eor r30, r30 + 3fcc: ff 27 eor r31, r31 + 3fce: 09 94 ijmp + +00003fd0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 3fd0: e7 df rcall .-50 ; 0x3fa0 + 3fd2: 80 32 cpi r24, 0x20 ; 32 + 3fd4: 09 f0 breq .+2 ; 0x3fd8 + 3fd6: f7 df rcall .-18 ; 0x3fc6 + putch(STK_INSYNC); + 3fd8: 84 e1 ldi r24, 0x14 ; 20 +} + 3fda: da cf rjmp .-76 ; 0x3f90 + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 3fdc: 1f 93 push r17 + 3fde: 18 2f mov r17, r24 + +00003fe0 : + do getch(); while (--count); + 3fe0: df df rcall .-66 ; 0x3fa0 + 3fe2: 11 50 subi r17, 0x01 ; 1 + 3fe4: e9 f7 brne .-6 ; 0x3fe0 + verifySpace(); + 3fe6: f4 df rcall .-24 ; 0x3fd0 +} + 3fe8: 1f 91 pop r17 + 3fea: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_pro_20mhz.hex b/bootloaders/optiboot/optiboot_pro_20mhz.hex new file mode 100644 index 0000000..19c9ae4 --- /dev/null +++ b/bootloaders/optiboot/optiboot_pro_20mhz.hex @@ -0,0 +1,33 @@ +:103E000085E08093810082E08093C00088E1809308 +:103E1000C10086E08093C20085E18093C40084B72E +:103E200014BE81FFD0D08DE0C8D0259A86E02CE367 +:103E30003BEF91E0309385002093840096BBB09BCC +:103E4000FECF1D9AA8958150A9F7DD24D394A5E053 +:103E5000EA2EF1E1FF2EA4D0813421F481E0BED01E +:103E600083E024C0823411F484E103C0853419F462 +:103E700085E0B4D08AC08535A1F492D0082F10E037 +:103E800010930102009300028BD090E0982F8827B6 +:103E9000802B912B880F991F909301028093000231 +:103EA00073C0863529F484E099D080E071D06DC06C +:103EB000843609F043C07CD0E0910002F091010209 +:103EC00083E080935700E895C0E0D1E069D0899302 +:103ED000809102028150809302028823B9F778D042 +:103EE00007B600FCFDCF4091000250910102A0E016 +:103EF000B1E02C9130E011968C91119790E0982FC1 +:103F00008827822B932B1296FA010C01D09257002E +:103F1000E89511244E5F5F4FF1E0A038BF0749F7E5 +:103F2000E0910002F0910102E0925700E89507B697 +:103F300000FCFDCFF0925700E89527C08437B9F414 +:103F400037D046D0E0910002F09101023196F09313 +:103F50000102E09300023197E4918E2F19D08091F5 +:103F60000202815080930202882361F70EC08537D8 +:103F700039F42ED08EE10CD084E90AD086E096CFB9 +:103F8000813511F488E019D023D080E101D063CFCE +:103F9000982F8091C00085FFFCCF9093C6000895B4 +:103FA000A8958091C00087FFFCCF8091C60008953E +:103FB000F7DFF6DF80930202F3CFE0E6F0E098E16E +:103FC00090838083089580E0F8DFEE27FF2709942F +:103FD000E7DF803209F0F7DF84E1DACF1F93182F93 +:0C3FE000DFDF1150E9F7F4DF1F910895B6 +:0400000300003E00BB +:00000001FF diff --git a/bootloaders/optiboot/optiboot_pro_20mhz.lst b/bootloaders/optiboot/optiboot_pro_20mhz.lst new file mode 100644 index 0000000..62178d3 --- /dev/null +++ b/bootloaders/optiboot/optiboot_pro_20mhz.lst @@ -0,0 +1,520 @@ + +optiboot_pro_20mhz.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001ec 00003e00 00003e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000240 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 0000006a 00000000 00000000 00000268 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000269 00000000 00000000 000002d2 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000196 00000000 00000000 0000053b 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003d3 00000000 00000000 000006d1 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 00000090 00000000 00000000 00000aa4 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 00000135 00000000 00000000 00000b34 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001d1 00000000 00000000 00000c69 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000068 00000000 00000000 00000e3a 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00003e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3e00: 85 e0 ldi r24, 0x05 ; 5 + 3e02: 80 93 81 00 sts 0x0081, r24 +#if LED_START_FLASHES > 0 + // Set up Timer 1 for timeout counter + TCCR1B = _BV(CS12) | _BV(CS10); // div 1024 +#endif +#ifndef SOFT_UART + UCSR0A = _BV(U2X0); //Double speed mode USART0 + 3e06: 82 e0 ldi r24, 0x02 ; 2 + 3e08: 80 93 c0 00 sts 0x00C0, r24 + UCSR0B = _BV(RXEN0) | _BV(TXEN0); + 3e0c: 88 e1 ldi r24, 0x18 ; 24 + 3e0e: 80 93 c1 00 sts 0x00C1, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + 3e12: 86 e0 ldi r24, 0x06 ; 6 + 3e14: 80 93 c2 00 sts 0x00C2, r24 + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); + 3e18: 85 e1 ldi r24, 0x15 ; 21 + 3e1a: 80 93 c4 00 sts 0x00C4, r24 +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 3e1e: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 3e20: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 3e22: 81 ff sbrs r24, 1 + 3e24: d0 d0 rcall .+416 ; 0x3fc6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 3e26: 8d e0 ldi r24, 0x0D ; 13 + 3e28: c8 d0 rcall .+400 ; 0x3fba + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 3e2a: 25 9a sbi 0x04, 5 ; 4 + 3e2c: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e2e: 2c e3 ldi r18, 0x3C ; 60 + 3e30: 3b ef ldi r19, 0xFB ; 251 + TIFR1 = _BV(TOV1); + 3e32: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e34: 30 93 85 00 sts 0x0085, r19 + 3e38: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 3e3c: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 3e3e: b0 9b sbis 0x16, 0 ; 22 + 3e40: fe cf rjmp .-4 ; 0x3e3e + LED_PIN |= _BV(LED); + 3e42: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3e44: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 3e46: 81 50 subi r24, 0x01 ; 1 + 3e48: a9 f7 brne .-22 ; 0x3e34 + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e4a: dd 24 eor r13, r13 + 3e4c: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3e4e: a5 e0 ldi r26, 0x05 ; 5 + 3e50: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3e52: f1 e1 ldi r31, 0x11 ; 17 + 3e54: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 3e56: a4 d0 rcall .+328 ; 0x3fa0 + + if(ch == STK_GET_PARAMETER) { + 3e58: 81 34 cpi r24, 0x41 ; 65 + 3e5a: 21 f4 brne .+8 ; 0x3e64 + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e5c: 81 e0 ldi r24, 0x01 ; 1 + 3e5e: be d0 rcall .+380 ; 0x3fdc + putch(0x03); + 3e60: 83 e0 ldi r24, 0x03 ; 3 + 3e62: 24 c0 rjmp .+72 ; 0x3eac + } + else if(ch == STK_SET_DEVICE) { + 3e64: 82 34 cpi r24, 0x42 ; 66 + 3e66: 11 f4 brne .+4 ; 0x3e6c + // SET DEVICE is ignored + getNch(20); + 3e68: 84 e1 ldi r24, 0x14 ; 20 + 3e6a: 03 c0 rjmp .+6 ; 0x3e72 + } + else if(ch == STK_SET_DEVICE_EXT) { + 3e6c: 85 34 cpi r24, 0x45 ; 69 + 3e6e: 19 f4 brne .+6 ; 0x3e76 + // SET DEVICE EXT is ignored + getNch(5); + 3e70: 85 e0 ldi r24, 0x05 ; 5 + 3e72: b4 d0 rcall .+360 ; 0x3fdc + 3e74: 8a c0 rjmp .+276 ; 0x3f8a + } + else if(ch == STK_LOAD_ADDRESS) { + 3e76: 85 35 cpi r24, 0x55 ; 85 + 3e78: a1 f4 brne .+40 ; 0x3ea2 + // LOAD ADDRESS + address = getch(); + 3e7a: 92 d0 rcall .+292 ; 0x3fa0 + 3e7c: 08 2f mov r16, r24 + 3e7e: 10 e0 ldi r17, 0x00 ; 0 + 3e80: 10 93 01 02 sts 0x0201, r17 + 3e84: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 3e88: 8b d0 rcall .+278 ; 0x3fa0 + 3e8a: 90 e0 ldi r25, 0x00 ; 0 + 3e8c: 98 2f mov r25, r24 + 3e8e: 88 27 eor r24, r24 + 3e90: 80 2b or r24, r16 + 3e92: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 3e94: 88 0f add r24, r24 + 3e96: 99 1f adc r25, r25 + 3e98: 90 93 01 02 sts 0x0201, r25 + 3e9c: 80 93 00 02 sts 0x0200, r24 + 3ea0: 73 c0 rjmp .+230 ; 0x3f88 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 3ea2: 86 35 cpi r24, 0x56 ; 86 + 3ea4: 29 f4 brne .+10 ; 0x3eb0 + // UNIVERSAL command is ignored + getNch(4); + 3ea6: 84 e0 ldi r24, 0x04 ; 4 + 3ea8: 99 d0 rcall .+306 ; 0x3fdc + putch(0x00); + 3eaa: 80 e0 ldi r24, 0x00 ; 0 + 3eac: 71 d0 rcall .+226 ; 0x3f90 + 3eae: 6d c0 rjmp .+218 ; 0x3f8a + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 3eb0: 84 36 cpi r24, 0x64 ; 100 + 3eb2: 09 f0 breq .+2 ; 0x3eb6 + 3eb4: 43 c0 rjmp .+134 ; 0x3f3c + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 3eb6: 7c d0 rcall .+248 ; 0x3fb0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 3eb8: e0 91 00 02 lds r30, 0x0200 + 3ebc: f0 91 01 02 lds r31, 0x0201 + 3ec0: 83 e0 ldi r24, 0x03 ; 3 + 3ec2: 80 93 57 00 sts 0x0057, r24 + 3ec6: e8 95 spm + 3ec8: c0 e0 ldi r28, 0x00 ; 0 + 3eca: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 3ecc: 69 d0 rcall .+210 ; 0x3fa0 + 3ece: 89 93 st Y+, r24 + while (--length); + 3ed0: 80 91 02 02 lds r24, 0x0202 + 3ed4: 81 50 subi r24, 0x01 ; 1 + 3ed6: 80 93 02 02 sts 0x0202, r24 + 3eda: 88 23 and r24, r24 + 3edc: b9 f7 brne .-18 ; 0x3ecc + + // Read command terminator, start reply + verifySpace(); + 3ede: 78 d0 rcall .+240 ; 0x3fd0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 3ee0: 07 b6 in r0, 0x37 ; 55 + 3ee2: 00 fc sbrc r0, 0 + 3ee4: fd cf rjmp .-6 ; 0x3ee0 + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 3ee6: 40 91 00 02 lds r20, 0x0200 + 3eea: 50 91 01 02 lds r21, 0x0201 + 3eee: a0 e0 ldi r26, 0x00 ; 0 + 3ef0: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 3ef2: 2c 91 ld r18, X + 3ef4: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 3ef6: 11 96 adiw r26, 0x01 ; 1 + 3ef8: 8c 91 ld r24, X + 3efa: 11 97 sbiw r26, 0x01 ; 1 + 3efc: 90 e0 ldi r25, 0x00 ; 0 + 3efe: 98 2f mov r25, r24 + 3f00: 88 27 eor r24, r24 + 3f02: 82 2b or r24, r18 + 3f04: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3f06: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 3f08: fa 01 movw r30, r20 + 3f0a: 0c 01 movw r0, r24 + 3f0c: d0 92 57 00 sts 0x0057, r13 + 3f10: e8 95 spm + 3f12: 11 24 eor r1, r1 + addrPtr += 2; + 3f14: 4e 5f subi r20, 0xFE ; 254 + 3f16: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 3f18: f1 e0 ldi r31, 0x01 ; 1 + 3f1a: a0 38 cpi r26, 0x80 ; 128 + 3f1c: bf 07 cpc r27, r31 + 3f1e: 49 f7 brne .-46 ; 0x3ef2 + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3f20: e0 91 00 02 lds r30, 0x0200 + 3f24: f0 91 01 02 lds r31, 0x0201 + 3f28: e0 92 57 00 sts 0x0057, r14 + 3f2c: e8 95 spm + boot_spm_busy_wait(); + 3f2e: 07 b6 in r0, 0x37 ; 55 + 3f30: 00 fc sbrc r0, 0 + 3f32: fd cf rjmp .-6 ; 0x3f2e + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3f34: f0 92 57 00 sts 0x0057, r15 + 3f38: e8 95 spm + 3f3a: 27 c0 rjmp .+78 ; 0x3f8a +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 3f3c: 84 37 cpi r24, 0x74 ; 116 + 3f3e: b9 f4 brne .+46 ; 0x3f6e + // READ PAGE - we only read flash + getLen(); + 3f40: 37 d0 rcall .+110 ; 0x3fb0 + verifySpace(); + 3f42: 46 d0 rcall .+140 ; 0x3fd0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 3f44: e0 91 00 02 lds r30, 0x0200 + 3f48: f0 91 01 02 lds r31, 0x0201 + 3f4c: 31 96 adiw r30, 0x01 ; 1 + 3f4e: f0 93 01 02 sts 0x0201, r31 + 3f52: e0 93 00 02 sts 0x0200, r30 + 3f56: 31 97 sbiw r30, 0x01 ; 1 + 3f58: e4 91 lpm r30, Z+ + 3f5a: 8e 2f mov r24, r30 + 3f5c: 19 d0 rcall .+50 ; 0x3f90 + while (--length); + 3f5e: 80 91 02 02 lds r24, 0x0202 + 3f62: 81 50 subi r24, 0x01 ; 1 + 3f64: 80 93 02 02 sts 0x0202, r24 + 3f68: 88 23 and r24, r24 + 3f6a: 61 f7 brne .-40 ; 0x3f44 + 3f6c: 0e c0 rjmp .+28 ; 0x3f8a +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 3f6e: 85 37 cpi r24, 0x75 ; 117 + 3f70: 39 f4 brne .+14 ; 0x3f80 + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 3f72: 2e d0 rcall .+92 ; 0x3fd0 + putch(SIGNATURE_0); + 3f74: 8e e1 ldi r24, 0x1E ; 30 + 3f76: 0c d0 rcall .+24 ; 0x3f90 + putch(SIGNATURE_1); + 3f78: 84 e9 ldi r24, 0x94 ; 148 + 3f7a: 0a d0 rcall .+20 ; 0x3f90 + putch(SIGNATURE_2); + 3f7c: 86 e0 ldi r24, 0x06 ; 6 + 3f7e: 96 cf rjmp .-212 ; 0x3eac + } + else if (ch == 'Q') { + 3f80: 81 35 cpi r24, 0x51 ; 81 + 3f82: 11 f4 brne .+4 ; 0x3f88 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 3f84: 88 e0 ldi r24, 0x08 ; 8 + 3f86: 19 d0 rcall .+50 ; 0x3fba + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 3f88: 23 d0 rcall .+70 ; 0x3fd0 + } + putch(STK_OK); + 3f8a: 80 e1 ldi r24, 0x10 ; 16 + 3f8c: 01 d0 rcall .+2 ; 0x3f90 + 3f8e: 63 cf rjmp .-314 ; 0x3e56 + +00003f90 : + } +} + +void putch(char ch) { + 3f90: 98 2f mov r25, r24 +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + 3f92: 80 91 c0 00 lds r24, 0x00C0 + 3f96: 85 ff sbrs r24, 5 + 3f98: fc cf rjmp .-8 ; 0x3f92 + UDR0 = ch; + 3f9a: 90 93 c6 00 sts 0x00C6, r25 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 3f9e: 08 95 ret + +00003fa0 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3fa0: a8 95 wdr + [uartBit] "I" (UART_RX_BIT) + : + "r25" +); +#else + while(!(UCSR0A & _BV(RXC0))); + 3fa2: 80 91 c0 00 lds r24, 0x00C0 + 3fa6: 87 ff sbrs r24, 7 + 3fa8: fc cf rjmp .-8 ; 0x3fa2 + ch = UDR0; + 3faa: 80 91 c6 00 lds r24, 0x00C6 +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 3fae: 08 95 ret + +00003fb0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 3fb0: f7 df rcall .-18 ; 0x3fa0 + length = getch(); + 3fb2: f6 df rcall .-20 ; 0x3fa0 + 3fb4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 3fb8: f3 cf rjmp .-26 ; 0x3fa0 + +00003fba : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 3fba: e0 e6 ldi r30, 0x60 ; 96 + 3fbc: f0 e0 ldi r31, 0x00 ; 0 + 3fbe: 98 e1 ldi r25, 0x18 ; 24 + 3fc0: 90 83 st Z, r25 + WDTCSR = x; + 3fc2: 80 83 st Z, r24 +} + 3fc4: 08 95 ret + +00003fc6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 3fc6: 80 e0 ldi r24, 0x00 ; 0 + 3fc8: f8 df rcall .-16 ; 0x3fba + __asm__ __volatile__ ( + 3fca: ee 27 eor r30, r30 + 3fcc: ff 27 eor r31, r31 + 3fce: 09 94 ijmp + +00003fd0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 3fd0: e7 df rcall .-50 ; 0x3fa0 + 3fd2: 80 32 cpi r24, 0x20 ; 32 + 3fd4: 09 f0 breq .+2 ; 0x3fd8 + 3fd6: f7 df rcall .-18 ; 0x3fc6 + putch(STK_INSYNC); + 3fd8: 84 e1 ldi r24, 0x14 ; 20 +} + 3fda: da cf rjmp .-76 ; 0x3f90 + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 3fdc: 1f 93 push r17 + 3fde: 18 2f mov r17, r24 + +00003fe0 : + do getch(); while (--count); + 3fe0: df df rcall .-66 ; 0x3fa0 + 3fe2: 11 50 subi r17, 0x01 ; 1 + 3fe4: e9 f7 brne .-6 ; 0x3fe0 + verifySpace(); + 3fe6: f4 df rcall .-24 ; 0x3fd0 +} + 3fe8: 1f 91 pop r17 + 3fea: 08 95 ret diff --git a/bootloaders/optiboot/optiboot_pro_8MHz.hex b/bootloaders/optiboot/optiboot_pro_8MHz.hex new file mode 100644 index 0000000..9d31a7a --- /dev/null +++ b/bootloaders/optiboot/optiboot_pro_8MHz.hex @@ -0,0 +1,34 @@ +:103E000085E08093810084B714BE81FFE4D08DE00B +:103E1000DCD0259A519A86E028E13EEF91E030937C +:103E200085002093840096BBB09BFECF1D9AA89579 +:103E30008150A9F7DD24D394A5E0EA2EF1E1FF2E0D +:103E4000ABD0813421F481E0D1D083E024C082342E +:103E500011F484E103C0853419F485E0C7D08AC029 +:103E60008535A1F499D0082F10E01093010200933A +:103E7000000292D090E0982F8827802B912B880FFA +:103E8000991F909301028093000273C0863529F434 +:103E900084E0ACD080E071D06DC0843609F043C0BE +:103EA0008FD0E0910002F091010283E080935700EF +:103EB000E895C0E0D1E070D08993809102028150F2 +:103EC000809302028823B9F78BD007B600FCFDCFA0 +:103ED0004091000250910102A0E0B1E02C9130E04D +:103EE00011968C91119790E0982F8827822B932B15 +:103EF0001296FA010C01D0925700E89511244E5FFA +:103F00005F4FF1E0A038BF0749F7E0910002F09160 +:103F10000102E0925700E89507B600FCFDCFF09251 +:103F20005700E89527C08437B9F44AD059D0E091BA +:103F30000002F09101023196F0930102E093000239 +:103F40003197E4918E2F19D0809102028150809395 +:103F50000202882361F70EC0853739F441D08EE123 +:103F60000CD084E90AD086E096CF813511F488E040 +:103F70002CD036D080E101D063CF2AE030E08095AC +:103F8000089410F4599802C0599A000015D014D022 +:103F900086952A95B1F70895A89529E030E04899CB +:103FA000FECF0AD009D008D08894489908942A9561 +:103FB00011F08795F7CF089598E09A95F1F7089555 +:103FC000EBDFEADF80930202E7CFE0E6F0E098E182 +:103FD00090838083089580E0F8DFEE27FF2709941F +:103FE000DBDF803209F0F7DF84E1C7CF1F93182FA2 +:0C3FF000D3DF1150E9F7F4DF1F910895B2 +:0400000300003E00BB +:00000001FF diff --git a/bootloaders/optiboot/optiboot_pro_8MHz.lst b/bootloaders/optiboot/optiboot_pro_8MHz.lst new file mode 100644 index 0000000..94603e2 --- /dev/null +++ b/bootloaders/optiboot/optiboot_pro_8MHz.lst @@ -0,0 +1,533 @@ + +optiboot_pro_8MHz.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .text 000001fc 00003e00 00003e00 00000054 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 1 .debug_aranges 00000028 00000000 00000000 00000250 2**0 + CONTENTS, READONLY, DEBUGGING + 2 .debug_pubnames 00000078 00000000 00000000 00000278 2**0 + CONTENTS, READONLY, DEBUGGING + 3 .debug_info 00000277 00000000 00000000 000002f0 2**0 + CONTENTS, READONLY, DEBUGGING + 4 .debug_abbrev 00000194 00000000 00000000 00000567 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_line 000003bb 00000000 00000000 000006fb 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_frame 000000a0 00000000 00000000 00000ab8 2**2 + CONTENTS, READONLY, DEBUGGING + 7 .debug_str 0000013f 00000000 00000000 00000b58 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_loc 000001a0 00000000 00000000 00000c97 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_ranges 00000070 00000000 00000000 00000e37 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00003e00
: +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3e00: 85 e0 ldi r24, 0x05 ; 5 + 3e02: 80 93 81 00 sts 0x0081, r24 + UCSR0C = _BV(UCSZ00) | _BV(UCSZ01); + UBRR0L = (uint8_t)( (F_CPU + BAUD_RATE * 4L) / (BAUD_RATE * 8L) - 1 ); +#endif + + // Adaboot no-wait mod + ch = MCUSR; + 3e06: 84 b7 in r24, 0x34 ; 52 + MCUSR = 0; + 3e08: 14 be out 0x34, r1 ; 52 + if (!(ch & _BV(EXTRF))) appStart(); + 3e0a: 81 ff sbrs r24, 1 + 3e0c: e4 d0 rcall .+456 ; 0x3fd6 + + // Set up watchdog to trigger after 500ms + watchdogConfig(WATCHDOG_500MS); + 3e0e: 8d e0 ldi r24, 0x0D ; 13 + 3e10: dc d0 rcall .+440 ; 0x3fca + + /* Set LED pin as output */ + LED_DDR |= _BV(LED); + 3e12: 25 9a sbi 0x04, 5 ; 4 + +#ifdef SOFT_UART + /* Set TX pin as output */ + UART_DDR |= _BV(UART_TX_BIT); + 3e14: 51 9a sbi 0x0a, 1 ; 10 + 3e16: 86 e0 ldi r24, 0x06 ; 6 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e18: 28 e1 ldi r18, 0x18 ; 24 + 3e1a: 3e ef ldi r19, 0xFE ; 254 + TIFR1 = _BV(TOV1); + 3e1c: 91 e0 ldi r25, 0x01 ; 1 +} + +#if LED_START_FLASHES > 0 +void flash_led(uint8_t count) { + do { + TCNT1 = -(F_CPU/(1024*16)); + 3e1e: 30 93 85 00 sts 0x0085, r19 + 3e22: 20 93 84 00 sts 0x0084, r18 + TIFR1 = _BV(TOV1); + 3e26: 96 bb out 0x16, r25 ; 22 + while(!(TIFR1 & _BV(TOV1))); + 3e28: b0 9b sbis 0x16, 0 ; 22 + 3e2a: fe cf rjmp .-4 ; 0x3e28 + LED_PIN |= _BV(LED); + 3e2c: 1d 9a sbi 0x03, 5 ; 3 + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3e2e: a8 95 wdr + TCNT1 = -(F_CPU/(1024*16)); + TIFR1 = _BV(TOV1); + while(!(TIFR1 & _BV(TOV1))); + LED_PIN |= _BV(LED); + watchdogReset(); + } while (--count); + 3e30: 81 50 subi r24, 0x01 ; 1 + 3e32: a9 f7 brne .-22 ; 0x3e1e + /* get character from UART */ + ch = getch(); + + if(ch == STK_GET_PARAMETER) { + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e34: dd 24 eor r13, r13 + 3e36: d3 94 inc r13 + boot_page_fill((uint16_t)(void*)addrPtr,a); + addrPtr += 2; + } while (--ch); + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3e38: a5 e0 ldi r26, 0x05 ; 5 + 3e3a: ea 2e mov r14, r26 + boot_spm_busy_wait(); + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3e3c: f1 e1 ldi r31, 0x11 ; 17 + 3e3e: ff 2e mov r15, r31 +#endif + + /* Forever loop */ + for (;;) { + /* get character from UART */ + ch = getch(); + 3e40: ab d0 rcall .+342 ; 0x3f98 + + if(ch == STK_GET_PARAMETER) { + 3e42: 81 34 cpi r24, 0x41 ; 65 + 3e44: 21 f4 brne .+8 ; 0x3e4e + // GET PARAMETER returns a generic 0x03 reply - enough to keep Avrdude happy + getNch(1); + 3e46: 81 e0 ldi r24, 0x01 ; 1 + 3e48: d1 d0 rcall .+418 ; 0x3fec + putch(0x03); + 3e4a: 83 e0 ldi r24, 0x03 ; 3 + 3e4c: 24 c0 rjmp .+72 ; 0x3e96 + } + else if(ch == STK_SET_DEVICE) { + 3e4e: 82 34 cpi r24, 0x42 ; 66 + 3e50: 11 f4 brne .+4 ; 0x3e56 + // SET DEVICE is ignored + getNch(20); + 3e52: 84 e1 ldi r24, 0x14 ; 20 + 3e54: 03 c0 rjmp .+6 ; 0x3e5c + } + else if(ch == STK_SET_DEVICE_EXT) { + 3e56: 85 34 cpi r24, 0x45 ; 69 + 3e58: 19 f4 brne .+6 ; 0x3e60 + // SET DEVICE EXT is ignored + getNch(5); + 3e5a: 85 e0 ldi r24, 0x05 ; 5 + 3e5c: c7 d0 rcall .+398 ; 0x3fec + 3e5e: 8a c0 rjmp .+276 ; 0x3f74 + } + else if(ch == STK_LOAD_ADDRESS) { + 3e60: 85 35 cpi r24, 0x55 ; 85 + 3e62: a1 f4 brne .+40 ; 0x3e8c + // LOAD ADDRESS + address = getch(); + 3e64: 99 d0 rcall .+306 ; 0x3f98 + 3e66: 08 2f mov r16, r24 + 3e68: 10 e0 ldi r17, 0x00 ; 0 + 3e6a: 10 93 01 02 sts 0x0201, r17 + 3e6e: 00 93 00 02 sts 0x0200, r16 + address = (address & 0xff) | (getch() << 8); + 3e72: 92 d0 rcall .+292 ; 0x3f98 + 3e74: 90 e0 ldi r25, 0x00 ; 0 + 3e76: 98 2f mov r25, r24 + 3e78: 88 27 eor r24, r24 + 3e7a: 80 2b or r24, r16 + 3e7c: 91 2b or r25, r17 + address += address; // Convert from word address to byte address + 3e7e: 88 0f add r24, r24 + 3e80: 99 1f adc r25, r25 + 3e82: 90 93 01 02 sts 0x0201, r25 + 3e86: 80 93 00 02 sts 0x0200, r24 + 3e8a: 73 c0 rjmp .+230 ; 0x3f72 + verifySpace(); + } + else if(ch == STK_UNIVERSAL) { + 3e8c: 86 35 cpi r24, 0x56 ; 86 + 3e8e: 29 f4 brne .+10 ; 0x3e9a + // UNIVERSAL command is ignored + getNch(4); + 3e90: 84 e0 ldi r24, 0x04 ; 4 + 3e92: ac d0 rcall .+344 ; 0x3fec + putch(0x00); + 3e94: 80 e0 ldi r24, 0x00 ; 0 + 3e96: 71 d0 rcall .+226 ; 0x3f7a + 3e98: 6d c0 rjmp .+218 ; 0x3f74 + } + /* Write memory, length is big endian and is in bytes */ + else if(ch == STK_PROG_PAGE) { + 3e9a: 84 36 cpi r24, 0x64 ; 100 + 3e9c: 09 f0 breq .+2 ; 0x3ea0 + 3e9e: 43 c0 rjmp .+134 ; 0x3f26 + // PROGRAM PAGE - we support flash programming only, not EEPROM + uint8_t *bufPtr; + uint16_t addrPtr; + + getLen(); + 3ea0: 8f d0 rcall .+286 ; 0x3fc0 + + // Immediately start page erase - this will 4.5ms + boot_page_erase((uint16_t)(void*)address); + 3ea2: e0 91 00 02 lds r30, 0x0200 + 3ea6: f0 91 01 02 lds r31, 0x0201 + 3eaa: 83 e0 ldi r24, 0x03 ; 3 + 3eac: 80 93 57 00 sts 0x0057, r24 + 3eb0: e8 95 spm + 3eb2: c0 e0 ldi r28, 0x00 ; 0 + 3eb4: d1 e0 ldi r29, 0x01 ; 1 + + // While that is going on, read in page contents + bufPtr = buff; + do *bufPtr++ = getch(); + 3eb6: 70 d0 rcall .+224 ; 0x3f98 + 3eb8: 89 93 st Y+, r24 + while (--length); + 3eba: 80 91 02 02 lds r24, 0x0202 + 3ebe: 81 50 subi r24, 0x01 ; 1 + 3ec0: 80 93 02 02 sts 0x0202, r24 + 3ec4: 88 23 and r24, r24 + 3ec6: b9 f7 brne .-18 ; 0x3eb6 + + // Read command terminator, start reply + verifySpace(); + 3ec8: 8b d0 rcall .+278 ; 0x3fe0 + + // If only a partial page is to be programmed, the erase might not be complete. + // So check that here + boot_spm_busy_wait(); + 3eca: 07 b6 in r0, 0x37 ; 55 + 3ecc: 00 fc sbrc r0, 0 + 3ece: fd cf rjmp .-6 ; 0x3eca + } +#endif + + // Copy buffer into programming buffer + bufPtr = buff; + addrPtr = (uint16_t)(void*)address; + 3ed0: 40 91 00 02 lds r20, 0x0200 + 3ed4: 50 91 01 02 lds r21, 0x0201 + 3ed8: a0 e0 ldi r26, 0x00 ; 0 + 3eda: b1 e0 ldi r27, 0x01 ; 1 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + 3edc: 2c 91 ld r18, X + 3ede: 30 e0 ldi r19, 0x00 ; 0 + a |= (*bufPtr++) << 8; + 3ee0: 11 96 adiw r26, 0x01 ; 1 + 3ee2: 8c 91 ld r24, X + 3ee4: 11 97 sbiw r26, 0x01 ; 1 + 3ee6: 90 e0 ldi r25, 0x00 ; 0 + 3ee8: 98 2f mov r25, r24 + 3eea: 88 27 eor r24, r24 + 3eec: 82 2b or r24, r18 + 3eee: 93 2b or r25, r19 +#ifdef VIRTUAL_BOOT_PARTITION +#define rstVect (*(uint16_t*)(0x204)) +#define wdtVect (*(uint16_t*)(0x206)) +#endif +/* main program starts here */ +int main(void) { + 3ef0: 12 96 adiw r26, 0x02 ; 2 + ch = SPM_PAGESIZE / 2; + do { + uint16_t a; + a = *bufPtr++; + a |= (*bufPtr++) << 8; + boot_page_fill((uint16_t)(void*)addrPtr,a); + 3ef2: fa 01 movw r30, r20 + 3ef4: 0c 01 movw r0, r24 + 3ef6: d0 92 57 00 sts 0x0057, r13 + 3efa: e8 95 spm + 3efc: 11 24 eor r1, r1 + addrPtr += 2; + 3efe: 4e 5f subi r20, 0xFE ; 254 + 3f00: 5f 4f sbci r21, 0xFF ; 255 + } while (--ch); + 3f02: f1 e0 ldi r31, 0x01 ; 1 + 3f04: a0 38 cpi r26, 0x80 ; 128 + 3f06: bf 07 cpc r27, r31 + 3f08: 49 f7 brne .-46 ; 0x3edc + + // Write from programming buffer + boot_page_write((uint16_t)(void*)address); + 3f0a: e0 91 00 02 lds r30, 0x0200 + 3f0e: f0 91 01 02 lds r31, 0x0201 + 3f12: e0 92 57 00 sts 0x0057, r14 + 3f16: e8 95 spm + boot_spm_busy_wait(); + 3f18: 07 b6 in r0, 0x37 ; 55 + 3f1a: 00 fc sbrc r0, 0 + 3f1c: fd cf rjmp .-6 ; 0x3f18 + +#if defined(RWWSRE) + // Reenable read access to flash + boot_rww_enable(); + 3f1e: f0 92 57 00 sts 0x0057, r15 + 3f22: e8 95 spm + 3f24: 27 c0 rjmp .+78 ; 0x3f74 +#endif + + } + /* Read memory block mode, length is big endian. */ + else if(ch == STK_READ_PAGE) { + 3f26: 84 37 cpi r24, 0x74 ; 116 + 3f28: b9 f4 brne .+46 ; 0x3f58 + // READ PAGE - we only read flash + getLen(); + 3f2a: 4a d0 rcall .+148 ; 0x3fc0 + verifySpace(); + 3f2c: 59 d0 rcall .+178 ; 0x3fe0 + else ch = pgm_read_byte_near(address); + address++; + putch(ch); + } while (--length); +#else + do putch(pgm_read_byte_near(address++)); + 3f2e: e0 91 00 02 lds r30, 0x0200 + 3f32: f0 91 01 02 lds r31, 0x0201 + 3f36: 31 96 adiw r30, 0x01 ; 1 + 3f38: f0 93 01 02 sts 0x0201, r31 + 3f3c: e0 93 00 02 sts 0x0200, r30 + 3f40: 31 97 sbiw r30, 0x01 ; 1 + 3f42: e4 91 lpm r30, Z+ + 3f44: 8e 2f mov r24, r30 + 3f46: 19 d0 rcall .+50 ; 0x3f7a + while (--length); + 3f48: 80 91 02 02 lds r24, 0x0202 + 3f4c: 81 50 subi r24, 0x01 ; 1 + 3f4e: 80 93 02 02 sts 0x0202, r24 + 3f52: 88 23 and r24, r24 + 3f54: 61 f7 brne .-40 ; 0x3f2e + 3f56: 0e c0 rjmp .+28 ; 0x3f74 +#endif + } + + /* Get device signature bytes */ + else if(ch == STK_READ_SIGN) { + 3f58: 85 37 cpi r24, 0x75 ; 117 + 3f5a: 39 f4 brne .+14 ; 0x3f6a + // READ SIGN - return what Avrdude wants to hear + verifySpace(); + 3f5c: 41 d0 rcall .+130 ; 0x3fe0 + putch(SIGNATURE_0); + 3f5e: 8e e1 ldi r24, 0x1E ; 30 + 3f60: 0c d0 rcall .+24 ; 0x3f7a + putch(SIGNATURE_1); + 3f62: 84 e9 ldi r24, 0x94 ; 148 + 3f64: 0a d0 rcall .+20 ; 0x3f7a + putch(SIGNATURE_2); + 3f66: 86 e0 ldi r24, 0x06 ; 6 + 3f68: 96 cf rjmp .-212 ; 0x3e96 + } + else if (ch == 'Q') { + 3f6a: 81 35 cpi r24, 0x51 ; 81 + 3f6c: 11 f4 brne .+4 ; 0x3f72 + // Adaboot no-wait mod + watchdogConfig(WATCHDOG_16MS); + 3f6e: 88 e0 ldi r24, 0x08 ; 8 + 3f70: 2c d0 rcall .+88 ; 0x3fca + verifySpace(); + } + else { + // This covers the response to commands like STK_ENTER_PROGMODE + verifySpace(); + 3f72: 36 d0 rcall .+108 ; 0x3fe0 + } + putch(STK_OK); + 3f74: 80 e1 ldi r24, 0x10 ; 16 + 3f76: 01 d0 rcall .+2 ; 0x3f7a + 3f78: 63 cf rjmp .-314 ; 0x3e40 + +00003f7a : +void putch(char ch) { +#ifndef SOFT_UART + while (!(UCSR0A & _BV(UDRE0))); + UDR0 = ch; +#else + __asm__ __volatile__ ( + 3f7a: 2a e0 ldi r18, 0x0A ; 10 + 3f7c: 30 e0 ldi r19, 0x00 ; 0 + 3f7e: 80 95 com r24 + 3f80: 08 94 sec + 3f82: 10 f4 brcc .+4 ; 0x3f88 + 3f84: 59 98 cbi 0x0b, 1 ; 11 + 3f86: 02 c0 rjmp .+4 ; 0x3f8c + 3f88: 59 9a sbi 0x0b, 1 ; 11 + 3f8a: 00 00 nop + 3f8c: 15 d0 rcall .+42 ; 0x3fb8 + 3f8e: 14 d0 rcall .+40 ; 0x3fb8 + 3f90: 86 95 lsr r24 + 3f92: 2a 95 dec r18 + 3f94: b1 f7 brne .-20 ; 0x3f82 + [uartBit] "I" (UART_TX_BIT) + : + "r25" + ); +#endif +} + 3f96: 08 95 ret + +00003f98 : + return getch(); +} + +// Watchdog functions. These are only safe with interrupts turned off. +void watchdogReset() { + __asm__ __volatile__ ( + 3f98: a8 95 wdr +#ifdef LED_DATA_FLASH + LED_PIN |= _BV(LED); +#endif + + return ch; +} + 3f9a: 29 e0 ldi r18, 0x09 ; 9 + 3f9c: 30 e0 ldi r19, 0x00 ; 0 + 3f9e: 48 99 sbic 0x09, 0 ; 9 + 3fa0: fe cf rjmp .-4 ; 0x3f9e + 3fa2: 0a d0 rcall .+20 ; 0x3fb8 + 3fa4: 09 d0 rcall .+18 ; 0x3fb8 + 3fa6: 08 d0 rcall .+16 ; 0x3fb8 + 3fa8: 88 94 clc + 3faa: 48 99 sbic 0x09, 0 ; 9 + 3fac: 08 94 sec + 3fae: 2a 95 dec r18 + 3fb0: 11 f0 breq .+4 ; 0x3fb6 + 3fb2: 87 95 ror r24 + 3fb4: f7 cf rjmp .-18 ; 0x3fa4 + 3fb6: 08 95 ret + +00003fb8 : +#if UART_B_VALUE > 255 +#error Baud rate too slow for soft UART +#endif + +void uartDelay() { + __asm__ __volatile__ ( + 3fb8: 98 e0 ldi r25, 0x08 ; 8 + 3fba: 9a 95 dec r25 + 3fbc: f1 f7 brne .-4 ; 0x3fba + 3fbe: 08 95 ret + +00003fc0 : + } while (--count); +} +#endif + +uint8_t getLen() { + getch(); + 3fc0: eb df rcall .-42 ; 0x3f98 + length = getch(); + 3fc2: ea df rcall .-44 ; 0x3f98 + 3fc4: 80 93 02 02 sts 0x0202, r24 + return getch(); +} + 3fc8: e7 cf rjmp .-50 ; 0x3f98 + +00003fca : + "wdr\n" + ); +} + +void watchdogConfig(uint8_t x) { + WDTCSR = _BV(WDCE) | _BV(WDE); + 3fca: e0 e6 ldi r30, 0x60 ; 96 + 3fcc: f0 e0 ldi r31, 0x00 ; 0 + 3fce: 98 e1 ldi r25, 0x18 ; 24 + 3fd0: 90 83 st Z, r25 + WDTCSR = x; + 3fd2: 80 83 st Z, r24 +} + 3fd4: 08 95 ret + +00003fd6 : + +void appStart() { + watchdogConfig(WATCHDOG_OFF); + 3fd6: 80 e0 ldi r24, 0x00 ; 0 + 3fd8: f8 df rcall .-16 ; 0x3fca + __asm__ __volatile__ ( + 3fda: ee 27 eor r30, r30 + 3fdc: ff 27 eor r31, r31 + 3fde: 09 94 ijmp + +00003fe0 : + do getch(); while (--count); + verifySpace(); +} + +void verifySpace() { + if (getch() != CRC_EOP) appStart(); + 3fe0: db df rcall .-74 ; 0x3f98 + 3fe2: 80 32 cpi r24, 0x20 ; 32 + 3fe4: 09 f0 breq .+2 ; 0x3fe8 + 3fe6: f7 df rcall .-18 ; 0x3fd6 + putch(STK_INSYNC); + 3fe8: 84 e1 ldi r24, 0x14 ; 20 +} + 3fea: c7 cf rjmp .-114 ; 0x3f7a + ::[count] "M" (UART_B_VALUE) + ); +} +#endif + +void getNch(uint8_t count) { + 3fec: 1f 93 push r17 + 3fee: 18 2f mov r17, r24 + +00003ff0 : + do getch(); while (--count); + 3ff0: d3 df rcall .-90 ; 0x3f98 + 3ff2: 11 50 subi r17, 0x01 ; 1 + 3ff4: e9 f7 brne .-6 ; 0x3ff0 + verifySpace(); + 3ff6: f4 df rcall .-24 ; 0x3fe0 +} + 3ff8: 1f 91 pop r17 + 3ffa: 08 95 ret