From 771be821c288f74f4106768aca0042d05c0d038d Mon Sep 17 00:00:00 2001 From: victorpv Date: Wed, 20 Dec 2017 18:44:58 -0600 Subject: [PATCH 1/6] Hardware serial corrections --- STM32F1/cores/maple/HardwareSerial.cpp | 2 +- STM32F1/cores/maple/HardwareSerial.h | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/STM32F1/cores/maple/HardwareSerial.cpp b/STM32F1/cores/maple/HardwareSerial.cpp index 024efbc..fe73339 100644 --- a/STM32F1/cores/maple/HardwareSerial.cpp +++ b/STM32F1/cores/maple/HardwareSerial.cpp @@ -187,7 +187,7 @@ int HardwareSerial::availableForWrite(void) return 1; } -size_t HardwareSerial::write(unsigned char ch) { +size_t HardwareSerial::write(uint8_t ch) { usart_putc(this->usart_device, ch); return 1; diff --git a/STM32F1/cores/maple/HardwareSerial.h b/STM32F1/cores/maple/HardwareSerial.h index b8b8dd6..108d525 100644 --- a/STM32F1/cores/maple/HardwareSerial.h +++ b/STM32F1/cores/maple/HardwareSerial.h @@ -138,7 +138,7 @@ public: virtual int read(void); int availableForWrite(void); virtual void flush(void); - virtual size_t write(uint8_t); + virtual size_t write(uint8_t ch); inline size_t write(unsigned long n) { return write((uint8_t)n); } inline size_t write(long n) { return write((uint8_t)n); } inline size_t write(unsigned int n) { return write((uint8_t)n); } From 7dce451ebc9ec79dd2157913202fa0c03daf74ae Mon Sep 17 00:00:00 2001 From: victorpv Date: Wed, 28 Mar 2018 21:50:49 -0500 Subject: [PATCH 2/6] SDIO updates Increase performance and compatibility with cards. --- STM32F1/cores/maple/sdio.cpp | 51 ++- STM32F1/libraries/SDIO/SdioF1.cpp | 577 +++++++++++++++++++++++------- STM32F1/libraries/SDIO/SdioF1.h | 4 +- 3 files changed, 485 insertions(+), 147 deletions(-) diff --git a/STM32F1/cores/maple/sdio.cpp b/STM32F1/cores/maple/sdio.cpp index 8bd9491..2edf501 100644 --- a/STM32F1/cores/maple/sdio.cpp +++ b/STM32F1/cores/maple/sdio.cpp @@ -32,8 +32,8 @@ sdio_dev * SDIO = SDIO_BASE; -#define DELAY_LONG 10 -#define DELAY_SHORT 1 +#define DELAY_LONG 20 +#define DELAY_SHORT 2 uint8_t dly = DELAY_LONG; // microseconds delay after accessing registers @@ -43,9 +43,13 @@ uint8_t dly = DELAY_LONG; // microseconds delay after accessing registers void sdio_gpios_init(void) { gpio_set_mode(PIN_MAP[BOARD_SDIO_D0].gpio_device, PIN_MAP[BOARD_SDIO_D0].gpio_bit, GPIO_AF_OUTPUT_PP); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_AF_OUTPUT_PP); +/* gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_AF_OUTPUT_PP); gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_AF_OUTPUT_PP); gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_AF_OUTPUT_PP); +*/ + gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_INPUT_PU); gpio_set_mode(PIN_MAP[BOARD_SDIO_CLK].gpio_device, PIN_MAP[BOARD_SDIO_CLK].gpio_bit, GPIO_AF_OUTPUT_PP); gpio_set_mode(PIN_MAP[BOARD_SDIO_CMD].gpio_device, PIN_MAP[BOARD_SDIO_CMD].gpio_bit, GPIO_AF_OUTPUT_PP); /* @@ -63,12 +67,12 @@ void sdio_gpios_init(void) void sdio_gpios_deinit(void) { - gpio_set_mode(PIN_MAP[BOARD_SDIO_D0].gpio_device, PIN_MAP[BOARD_SDIO_D0].gpio_bit, GPIO_INPUT_FLOATING); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_INPUT_FLOATING); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_INPUT_FLOATING); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_INPUT_FLOATING); - gpio_set_mode(PIN_MAP[BOARD_SDIO_CLK].gpio_device, PIN_MAP[BOARD_SDIO_CLK].gpio_bit, GPIO_INPUT_FLOATING); - gpio_set_mode(PIN_MAP[BOARD_SDIO_CMD].gpio_device, PIN_MAP[BOARD_SDIO_CMD].gpio_bit, GPIO_INPUT_FLOATING); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D0].gpio_device, PIN_MAP[BOARD_SDIO_D0].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_CLK].gpio_device, PIN_MAP[BOARD_SDIO_CLK].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_CMD].gpio_device, PIN_MAP[BOARD_SDIO_CMD].gpio_bit, GPIO_INPUT_PU); /* * Todo just remove it, not needed for F1. @@ -110,19 +114,35 @@ void sdio_power_off(void) void sdio_set_clock(uint32_t clk) { - if (clk>24000000UL) clk = 24000000UL; // limit the SDIO master clock to 24MHz + /* + * limit the SDIO master clock to 8/3 of PCLK2.See RM 22.3 + * Also limited to no more than 48Mhz + */ + clk = min(clk,(SDIOCLK/3)*8); + clk = min(clk,36000000); if (clk<1000000) dly = DELAY_LONG; else dly = DELAY_SHORT; + /* + * round up divider, so we don't run the card over the speed supported. + + */ + uint32 div = SDIOCLK/clk + (SDIOCLK % clk != 0) - 2; + + sdio_disable(); - SDIO->CLKCR = (SDIO->CLKCR & (~(SDIO_CLKCR_CLKDIV|SDIO_CLKCR_BYPASS))) | SDIO_CLKCR_CLKEN | (((SDIOCLK/clk)-2)&SDIO_CLKCR_CLKDIV); + //Serial.println(div,DEC); + SDIO->CLKCR = (SDIO->CLKCR & (~(SDIO_CLKCR_CLKDIV|SDIO_CLKCR_BYPASS))) | SDIO_CLKCR_PWRSAV | SDIO_CLKCR_HWFC_EN | SDIO_CLKCR_CLKEN | (div & SDIO_CLKCR_CLKDIV); delay_us(dly); } void sdio_set_dbus_width(uint16_t bus_w) { SDIO->CLKCR = (SDIO->CLKCR & (~SDIO_CLKCR_WIDBUS)) | bus_w; + gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_AF_OUTPUT_PP); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_AF_OUTPUT_PP); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_AF_OUTPUT_PP); delay_us(dly); } @@ -149,9 +169,10 @@ void sdio_disable(void) */ void sdio_begin(void) { - sdio_gpios_init(); + sdio_init(); sdio_power_on(); + sdio_gpios_init(); // Set initial SCK rate. sdio_set_clock(400000); delay_us(200); // generate 80 pulses at 400kHz @@ -162,11 +183,12 @@ void sdio_begin(void) */ void sdio_end(void) { + while ( sdio_cmd_xfer_ongoing() ); sdio_disable(); - while ( sdio_cmd_xfer_ongoing() ); + sdio_gpios_deinit(); sdio_power_off(); rcc_clk_disable(RCC_SDIO); - sdio_gpios_deinit(); + } /** @@ -187,6 +209,7 @@ uint8_t sdio_cmd_send(uint16_t cmd_index_resp_type, uint32_t arg) while ( !(SDIO->STA&(SDIO_STA_CMDREND|SDIO_STA_CMD_ERROR_FLAGS)) ) ; } else break; // no response required if ( SDIO->STA&(SDIO_STA_CMDREND|SDIO_STA_CTIMEOUT) ) + //if ( SDIO->STA&(SDIO_STA_CMDREND) ) break; // response received or timeout // ignore CRC error for CMD5 and ACMD41 if ( ((cmd_index_resp_type&SDIO_CMD_CMDINDEX)==5) || ((cmd_index_resp_type&SDIO_CMD_CMDINDEX)==41) ) diff --git a/STM32F1/libraries/SDIO/SdioF1.cpp b/STM32F1/libraries/SDIO/SdioF1.cpp index 8957b37..c0e5eae 100644 --- a/STM32F1/libraries/SDIO/SdioF1.cpp +++ b/STM32F1/libraries/SDIO/SdioF1.cpp @@ -61,6 +61,12 @@ #define CMD38_XFERTYP (uint16_t)( CMD38 | CMD_RESP_R1b ) #define ACMD41_XFERTYP (uint16_t)( ACMD41 | CMD_RESP_R3 ) +/* + * AMD42 to enable disable CD/D3 pull up. Needed for 4bit mode. + */ +const uint8_t ACMD42 = 0X2A; +#define ACMD42_XFERTYP (uint16_t)( ACMD41 | CMD_RESP_R1 ) + #define CMD55_XFERTYP (uint16_t)( CMD55 | CMD_RESP_R1 ) //============================================================================= @@ -69,14 +75,27 @@ static void initSDHC(void); static bool isBusyCMD13(void); static bool isBusyTransferComplete(void); +static bool isBusyTransferCRC(void); //static bool isBusyCommandComplete(); //static bool isBusyCommandInhibit(); static bool readReg16(uint32_t xfertyp, void* data); //static void setSdclk(uint32_t kHzMax); static bool yieldTimeout(bool (*fcn)(void)); +static bool yieldDmaStatus(void); static bool waitDmaStatus(void); static bool waitTimeout(bool (*fcn)(void)); //----------------------------------------------------------------------------- +static const uint32_t IDLE_STATE = 0; +static const uint32_t READ_STATE = 1; +static const uint32_t WRITE_STATE = 2; +volatile uint32_t m_curLba; +volatile uint32_t m_limitLba; +volatile uint8_t m_curState; +volatile uint64_t m_totalReadLbas = 0; +volatile uint64_t m_readErrors = 0; +volatile uint64_t m_writeErrors = 0; +volatile uint64_t m_totalWriteLbas = 0; + #define TRX_RD 0 #define TRX_WR 1 static uint8_t m_dir = TRX_RD; @@ -97,27 +116,26 @@ static cid_t m_cid; static csd_t m_csd; static uint32_t t = 0; //============================================================================= -/* - * Todo Remove this or change it, but rather remove since this can be checked with debugger. - */ + #if USE_DEBUG_MODE #define DBG_PRINT() { \ Serial.write('_'); Serial.print(__FUNCTION__); Serial.write('_'); Serial.print(__LINE__); Serial.print(": "); \ - Serial.print("DMA->LISR: "); Serial.print(SDIO_DMA_DEV->regs->LISR, HEX); \ + Serial.print("DMA->ISR: 0x"); Serial.print(SDIO_DMA_DEV->regs->ISR, HEX); \ /*Serial.print("DMA->HISR: "); Serial.println(SDIO_DMA_DEV->regs->HISR, HEX);*/ \ - Serial.print(", DMA->CR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].CR, HEX); \ - Serial.print(", DMA->NDTR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].NDTR, HEX); \ - /**/Serial.print(", DMA->PAR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].PAR, HEX); \ - /**/Serial.print(", DMA->M0AR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].M0AR, HEX); \ - Serial.print(", DMA->FCR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].FCR, HEX); \ + Serial.print(", DMA->CCR: 0x"); Serial.print(SDIO_DMA_DEV->regs->CCR4, HEX); \ + Serial.print(", DMA->CNDTR: "); Serial.print(SDIO_DMA_DEV->regs->CNDTR4,DEC); \ + /**/Serial.print(", DMA->CPAR: 0x"); Serial.print(SDIO_DMA_DEV->regs->CPAR4, HEX); \ + /**/Serial.print(", DMA->CMAR: 0x"); Serial.print(SDIO_DMA_DEV->regs->CMAR4, HEX); \ + Serial.print(", DMA->IFCR: 0x"); Serial.print(SDIO_DMA_DEV->regs->IFCR, HEX); \ \ /*Serial.print(" SDIO->POWER: "); Serial.println(SDIO->POWER, HEX);*/ \ - Serial.print(", SDIO->CLKCR: "); Serial.print(SDIO->CLKCR, HEX); \ - Serial.print(", SDIO->DTIMER: "); Serial.print(SDIO->DTIMER, HEX); \ - Serial.print(", SDIO->DCTRL: "); Serial.print(SDIO->DCTRL, HEX); \ + Serial.print(", SDIO->CLKCR: 0x"); Serial.print(SDIO->CLKCR, HEX); \ + Serial.print(", SDIO->DTIMER: 0x"); Serial.print(SDIO->DTIMER, HEX); \ + Serial.print(", SDIO->DCTRL: 0x"); Serial.print(SDIO->DCTRL, HEX); \ /**/Serial.print(", SDIO->DLEN: "); Serial.print(SDIO->DLEN); \ Serial.print(", SDIO->DCOUNT: "); Serial.print(SDIO->DCOUNT); \ - Serial.print(", SDIO->STA: "); Serial.println(SDIO->STA, HEX); \ + Serial.print(", SDIO->STA: 0x"); Serial.println(SDIO->STA, HEX); \ + Serial.print(", SDIO->FIFOCNT: "); Serial.println(SDIO->FIFOCNT); \ /*delay(1);*/ \ } #define DBG_PIN PD0 @@ -131,7 +149,7 @@ static void _panic(const char *message, uint32_t code) { Serial.print(message); Serial.println(code, HEX); //Block the execution with blinky leds - while (1); + while (1) {delay (1);}; /* pinMode(BOARD_LED_PIN, OUTPUT); //pinMode(BOARD_LED2_PIN, OUTPUT); @@ -171,13 +189,14 @@ void yield(void) } val = dma_get_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - if ( val & DMA_ISR_FEIF ) { +/* if ( val & DMA_ISR_FEIF ) { val ^= DMA_ISR_FEIF; dma_clear_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); } +*/ if ( val ) { if (val & DMA_ISR_TEIF) Serial.print(" TEIF"); - if (val & DMA_ISR_DMEIF) Serial.print(" DMEIF"); + //if (val & DMA_ISR_DMEIF) Serial.print(" DMEIF"); //if (val & DMA_ISR_FEIF) Serial.print(" FEIF"); _panic(" - DMA: Data Transmission Error ", val); } @@ -208,7 +227,7 @@ static bool cardCommand(uint16_t xfertyp, uint32_t arg) #if USE_DEBUG_MODE==2 Serial.print("cardCommand: "); Serial.print(xfertyp&SDIO_CMD_CMDINDEX); Serial.print(", arg: "); Serial.print(arg, HEX); #endif - uint8_t resp = sdio_cmd_send(xfertyp, arg); // returns non-zero if fails, zero if OK + uint8_t resp = sdio_cmd_send(xfertyp, arg); // returns non-zero if OK, zero if it fails #if USE_DEBUG_MODE==2 Serial.print(", resp: "); Serial.print(resp, HEX); Serial.print(", SDIO->STA: "); Serial.print(SDIO->STA, HEX); Serial.print(", cmd_resp: "); Serial.print(SDIO->RESP[0], HEX); @@ -264,19 +283,38 @@ static bool isBusyCMD13(void) { } return !(SDIO->RESP[0] & CARD_STATUS_READY_FOR_DATA); } -/*---------------------------------------------------------------------------*/ + +/* + * Returns False if DMA transfer disabled. + * True otherwise + */ +static bool inline isEnabledDMA(void) +{ + return dma_is_enabled(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); +} + +/* + * Returns False if DMA transfer is completed or in error. + * True otherwise + */ static bool isBusyDMA(void) { + if (!isEnabledDMA()) return false; uint8_t isr = dma_get_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - isr &= DMA_ISR_TCIF | DMA_ISR_TEIF; + isr &= DMA_ISR_TCIF | DMA_ISR_TEIF; //if (isr&DMA_ISR_TCIF) dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return !(isr); // ignore transfer error flag } + /*---------------------------------------------------------------------------*/ +/* + * Returns true while the transfer has not completed + * False when it has completed. + */ static bool isBusyTransferComplete(void) { uint32_t mask = SDIO->STA &(SDIO_STA_DATAEND | SDIO_STA_TRX_ERROR_FLAGS); -#if USE_DEBUG_MODE +//#if USE_DEBUG_MODE if ( mask & SDIO_STA_TRX_ERROR_FLAGS ) { Serial.print("XFER ERROR: SDIO->STA: "); Serial.print(SDIO->STA, HEX); if (mask & SDIO_STA_STBITERR) Serial.print(" STBITERR"); @@ -286,13 +324,43 @@ static bool isBusyTransferComplete(void) if (mask & SDIO_STA_DCRCFAIL) Serial.print(" DCRCFAIL"); Serial.println(); } -#endif +//#endif if (mask) { dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return false; } return true; } + + +/* + * New function, to follow Reference Manual sequence. + * Returns true if still not confirmed DBCKEND: Data block sent/received (CRC check passed) + * False when it has completed the transfer with CRC check. + */ +static bool isBusyTransferCRC(void) +{ + uint32_t mask = SDIO->STA &(SDIO_STA_DBCKEND | SDIO_STA_TRX_ERROR_FLAGS); +#if USE_DEBUG_MODE + if ( mask & SDIO_STA_TRX_ERROR_FLAGS ) { + Serial.print("XFER ERROR: SDIO->STA: "); Serial.print(SDIO->STA, HEX); + if (mask & SDIO_STA_STBITERR) Serial.print(" STBITERR"); + if (mask & SDIO_STA_RXOVERR) Serial.print(" RXOVERR"); + if (mask & SDIO_STA_TXUNDERR) Serial.print(" TXUNDERR"); + if (mask & SDIO_STA_DTIMEOUT) Serial.print(" DTIMEOUT"); + if (mask & SDIO_STA_DCRCFAIL) Serial.print(" DCRCFAIL"); + Serial.println(); + } +#endif + if (mask) { + //dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + //Serial.print("SDIO->STA SDIO_STA_DBCKEND"); Serial.println(SDIO->STA && SDIO_STA_DBCKEND, HEX); + return false; + } + return true; +} + + /*---------------------------------------------------------------------------*/ static void trxStart(uint8_t* buf, uint32_t n, uint8_t dir) { @@ -308,6 +376,12 @@ static bool trxStop() if (!cardCommand(CMD12_XFERTYP, 0)) { return sdError(SD_CARD_ERROR_CMD12); } + /* + * Added this to wait to complete on sync. + */ + if (waitTimeout(isBusyCMD13)) { + return sdError(SD_CARD_ERROR_CMD13); + } if ( t ) { Serial.print(", in "); Serial.println(millis()-t); t = 0; @@ -315,52 +389,70 @@ static bool trxStop() return true; } /*---------------------------------------------------------------------------*/ -static bool dmaTrxStart(uint8_t* buf, uint32_t n, uint8_t dir) +static bool dmaTrxStart(uint32_t n, uint8_t dir) { - m_dir = dir; - if ((3 & (uint32_t)buf) || n == 0) { // check alignment - _panic("- transferStart: unaligned buffer address ", (uint32_t)buf); - return sdError(SD_CARD_ERROR_DMA); - } - if (dir==TRX_RD && yieldTimeout(isBusyCMD13)) { - return sdError(SD_CARD_ERROR_CMD13); - } - uint32_t flags = (SDIO_BLOCKSIZE_512 | SDIO_DCTRL_DMAEN | SDIO_DCTRL_DTEN); + uint32_t flags = (SDIO_BLOCKSIZE_512 | SDIO_DCTRL_DMAEN | SDIO_DCTRL_DTEN); if (dir==TRX_RD) flags |= SDIO_DIR_RX; // setup SDIO to transfer n blocks of 512 bytes sdio_setup_transfer(0x00FFFFFF, n, flags); - // setup SDIO_DMA_DEV stream 3 channel 4 - /* - * Moved to begin. - */ - //dma_init(SDIO_DMA_DEV); - /* - * Todo. Check this, channel must be disabled to change DMA priority, and seems like channel is not completing transfers - */ - //dma_set_priority(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, DMA_PRIORITY_VERY_HIGH); - flags = (DMA_MINC_MODE); - // not extra flag if read - if (dir!=TRX_RD) flags |= DMA_FROM_MEM;// write - dma_setup_transfer(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, &SDIO->FIFO, DMA_SIZE_32BITS, buf, DMA_SIZE_32BITS, flags); - dma_set_num_transfers(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, n>>2); // F1 DMA controller counts each word as 1 data item. - //dma_set_fifo_flags(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, (DMA_FCR_DMDIS | DMA_FCR_FTH_FULL)); // disable direct mode | threshold FULL - dma_clear_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - dma_enable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + return true; } + +/* + * This one replaces dmaTrxStart, and will just prepare the DMA part, then a new + * one will enable the DMA reception as per the RM. + */ +static bool dmaTrxPrepare(uint8_t* buf, uint32_t n, uint8_t dir) +{ + uint32_t flags; + m_dir = dir; + if ((3 & (uint32_t)buf) || n == 0) { // check alignment + _panic("- transferStart: unaligned buffer address ", (uint32_t)buf); + return sdError(SD_CARD_ERROR_DMA); + } + /* + * No point to wait here again if we always wait before calling this. + if (dir==TRX_RD && yieldTimeout(isBusyCMD13)) { + return sdError(SD_CARD_ERROR_CMD13); + } + */ + + /* + * Following RM 22.3.2. Setup DMA first, SDIO peripheral next + * + */ + flags = (DMA_MINC_MODE); + // not extra flag if read + if (dir!=TRX_RD) flags |= DMA_FROM_MEM;// write + dma_setup_transfer(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, &SDIO->FIFO, DMA_SIZE_32BITS, buf, DMA_SIZE_32BITS, flags); + dma_set_num_transfers(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, n>>2); // F1 DMA controller counts each word as 1 data item. + //dma_set_fifo_flags(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, (DMA_FCR_DMDIS | DMA_FCR_FTH_FULL)); // disable direct mode | threshold FULL + dma_clear_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + dma_enable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + + return true; +} + + /*---------------------------------------------------------------------------*/ static bool dmaTrxEnd(bool multi_block) { - if ( !waitDmaStatus() ) { + if(m_curState != READ_STATE){ + if ( yieldTimeout(isBusyTransferComplete) ) { + DBG_PRINT(); + if (m_dir==TRX_RD) + return sdError(SD_CARD_ERROR_READ_CRC); + else + return sdError(SD_CARD_ERROR_WRITE); + } + } + + if ( !yieldDmaStatus() ) { DBG_PRINT(); return sdError(SD_CARD_ERROR_DMA); } - if ( waitTimeout(isBusyTransferComplete) ) { - if (m_dir==TRX_RD) - return sdError(SD_CARD_ERROR_READ_TIMEOUT); - else - return sdError(SD_CARD_ERROR_WRITE_TIMEOUT); - } + if (multi_block) { return trxStop(); } else { @@ -389,21 +481,38 @@ static bool readReg16(uint32_t xfertyp, void* data) /*---------------------------------------------------------------------------*/ // Return true if timeout occurs. static bool yieldTimeout(bool (*fcn)()) { + m_busyFcn = fcn; uint32_t m = millis(); while (fcn()) { if ((millis() - m) > BUSY_TIMEOUT_MILLIS) { + m_busyFcn = 0; return true; } yield(); } + m_busyFcn = 0; return false; // Caller will set errorCode. } /*---------------------------------------------------------------------------*/ +static bool yieldDmaStatus(void) +{ + if (yieldTimeout(isBusyDMA)) { + dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + return false; // Caller will set errorCode. + } + // Did not time out. Disable it and return true. + dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + return true; +} +/*---------------------------------------------------------------------------*/ static bool waitDmaStatus(void) { - if (yieldTimeout(isBusyDMA)) { + if (waitTimeout(isBusyDMA)) { + dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return false; // Caller will set errorCode. } + // Did not time out. Disable it and return true + dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return true; } /*---------------------------------------------------------------------------*/ @@ -423,6 +532,8 @@ uint32_t aligned[128]; // temporary buffer for misaligned buffers //============================================================================= bool SdioCard::begin(void) { + + uint32_t arg; m_initDone = false; m_errorCode = SD_CARD_ERROR_NONE; m_highCapacity = false; @@ -447,6 +558,7 @@ delay(100); if (!cardCommand(CMD0_XFERTYP, 0)) { return sdError(SD_CARD_ERROR_CMD0); } + delay(50); //small pause after reset command // Try several times for case of reset delay. for (uint32_t i = 0; i < CMD8_RETRIES; i++) { if (cardCommand(CMD8_XFERTYP, 0X1AA)) { @@ -457,7 +569,7 @@ delay(100); break; } } - uint32_t arg = m_version2 ? 0X40300000 : 0x00300000; + arg = m_version2 ? 0X50300000 : 0x00300000; uint32_t m = millis(); do { if (!cardAcmd(0, ACMD41_XFERTYP, arg) || @@ -478,6 +590,7 @@ delay(100); return sdError(SD_CARD_ERROR_CMD3); } m_rca = SDIO->RESP[0] & 0xFFFF0000; + if (!readReg16(CMD9_XFERTYP, &m_csd)) { return sdError(SD_CARD_ERROR_CMD9); } @@ -487,14 +600,21 @@ delay(100); if (!cardCommand(CMD7_XFERTYP, m_rca)) { return sdError(SD_CARD_ERROR_CMD7); } + + arg = 0x00; //bit 0, Connect[1]/Disconnect[0] the 50 KOhm pull-up resistor on CD/DAT3 + if (!cardAcmd(m_rca, ACMD42_XFERTYP, arg)) { + _panic("*** ACMD42 to disconnect D3 pullup failed! ***", 0); + } + // Set card to bus width four. - /* if (!cardAcmd(m_rca, ACMD6_XFERTYP, 2)) { return sdError(SD_CARD_ERROR_ACMD6); } - sdio_set_dbus_width(SDIO_CLKCR_WIDBUS_4BIT); - */ + // Set SDHC to bus width four. + sdio_set_dbus_width(SDIO_CLKCR_WIDBUS_4BIT); + +/* // Determine if High Speed mode is supported and set frequency. uint8_t status[64]; // see "Physical Layer Simplified Specification Version 6.00", chapter 4.3.10, Table 4-13. @@ -502,15 +622,16 @@ delay(100); // Function Selection of Function Group 1: bits 379:376, which is low nibble of byte [16] if (cardCMD6(0X00FFFFFF, status) && (2 & status[13]) && cardCMD6(0X80FFFFF1, status) && (status[16] & 0XF) == 1) { - //Serial.println("\n*** 50MHz clock supported ***"); + Serial.println("\n*** 50MHz clock supported ***"); + m_sdClkKhz = 24000; // set clock to 24MHz } else { //_panic("*** Only 25MHz clock supported! ***", 0); + m_sdClkKhz = 8000; // set clock to 24MHz } - - /* - * Todo Raise clock to 24Mhz once transfers work - */ - m_sdClkKhz = 24000; // set clock to 24MHz + // delay seems to be needed for cards that take some time to adjust + delay(1); +*/ + m_sdClkKhz = 18000; // set clock to 24MHz sdio_set_clock(m_sdClkKhz*1000); m_initDone = true; @@ -570,55 +691,160 @@ uint32_t SdioCard::kHzSdClk() { return m_sdClkKhz; } /*---------------------------------------------------------------------------*/ -bool SdioCard::readBlock(uint32_t lba, uint8_t* buf) +bool __attribute__((optimize("0"))) SdioCard::readBlock(uint32_t lba, uint8_t* buf) { #if USE_DEBUG_MODE - Serial.print("readBlock: "); Serial.println(lba); //Serial.print(", buf: "); Serial.println((uint32_t)buf, HEX); + Serial.print("readBlock: "); Serial.println(lba); //Serial.print(", buf: "); Serial.println((uint32_t)buf, HEX); #endif - // prepare SDIO and DMA for data read transfer - dmaTrxStart((uint32_t)buf & 3 ? (uint8_t*)aligned : buf, 512, TRX_RD); - // send command to start data transfer - if ( !cardCommand(CMD17_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { - return sdError(SD_CARD_ERROR_CMD17); - } - if ( dmaTrxEnd(0)) { - if ( (uint32_t)buf & 3 ) { - //memcpy(buf, aligned, 512); - register uint8_t * dst = buf; - register uint8_t * src = (uint8_t *)aligned; - register uint16_t i = 64; - while ( i-- ) { // do 8 byte copies, is much faster than single byte copy - *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; - *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; - } - } - return true; - } - return false; + volatile bool _state = false; + volatile uint16_t retries = 3; + while ( retries-- ){ + /*if (yieldTimeout(isBusyCMD13)) { // wait for previous transmission end + return sdError(SD_CARD_ERROR_CMD13); + } + */ + + if (m_curState != READ_STATE || m_curLba != lba) { +#if USE_DEBUG_MODE + Serial.print("New lba, syncing :"); + Serial.println(lba); +#endif + _state = syncBlocks(); + DBG_PRINT(); + if (!_state) { + return false; + } + m_limitLba = (lba + 1024); //arbitrary limit, tested with 32KB before and worked fine. + // prepare DMA for data read transfer + _state = dmaTrxPrepare((uint32_t)buf & 3 ? (uint8_t*)aligned : buf, 512, TRX_RD); + DBG_PRINT(); + + // prepare SDIO data read transfer 0x8000 = 64*512 + _state = dmaTrxStart(512, TRX_RD); + DBG_PRINT(); + + // send command to start data transfer + _state = cardCommand(CMD18_XFERTYP, (m_highCapacity ? lba : 512*lba)); + DBG_PRINT(); + if ( !_state ) { + return sdError(SD_CARD_ERROR_CMD18); + } + + m_curLba = lba; + m_curState = READ_STATE; + } + else { + // prepare DMA for data read transfer + _state = dmaTrxPrepare((uint32_t)buf & 3 ? (uint8_t*)aligned : buf, 512, TRX_RD); + + // prepare SDIO data read transfer + _state = dmaTrxStart(512, TRX_RD); + } + + + _state = dmaTrxEnd(0); + + if ( _state ) { + if ( (uint32_t)buf & 3 ) { + //memcpy(buf, aligned, 512); + register uint8_t * dst = buf; + register uint8_t * src = (uint8_t *)aligned; + register uint16_t i = 64; + while ( i-- ) { // do 8 byte copies, is much faster than single byte copy + *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; + *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; + } + } + m_totalReadLbas++; + m_curLba++; + if (m_curLba >= m_limitLba) { + syncBlocks(); + } + sdError(SD_CARD_ERROR_NONE); + return true; + } + syncBlocks(); + m_readErrors++; + + } + DBG_PRINT() + syncBlocks(); + m_readErrors++; + return false; } /*---------------------------------------------------------------------------*/ bool SdioCard::readBlocks(uint32_t lba, uint8_t* buf, size_t n) { #if USE_DEBUG_MODE - Serial.print("readBlocks: "); Serial.print(lba); - //Serial.print(", buf: "); Serial.print((uint32_t)buf, HEX); - Serial.print(", "); Serial.println(n); + Serial.print("readBlocks: "); Serial.print(lba); + //Serial.print(", buf: "); Serial.print((uint32_t)buf, HEX); + Serial.print(", "); Serial.println(n); #endif - if ((uint32_t)buf & 3) { - for (size_t i = 0; i < n; i++, lba++, buf += 512) { - if (!readBlock(lba, buf)) { - return false; // readBlock will set errorCode. - } - } - return true; - } - // prepare SDIO and DMA for data read transfer - dmaTrxStart(buf, 512*n, TRX_RD); - // send command to start data transfer - if ( !cardCommand(CMD18_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { - return sdError(SD_CARD_ERROR_CMD18); - } - return dmaTrxEnd(1); + volatile bool _state = false; + volatile uint16_t retries = 3; + while ( retries-- ){ + + if ((uint32_t)buf & 3) { + for (size_t i = 0; i < n; i++, lba++, buf += 512) { + if (!readBlock(lba, buf)) { + return false; // readBlock will set errorCode. + } + } + return true; + } + + if (m_curState != READ_STATE || m_curLba != lba) { + #if USE_DEBUG_MODE + Serial.print("New lba, syncing :"); + Serial.println(lba); + #endif + _state = syncBlocks(); + DBG_PRINT(); + if (!_state) { + return false; + } + m_limitLba = (lba + 1024); //arbitrary limit + // prepare DMA for data read transfer + _state = dmaTrxPrepare(buf, 512*n, TRX_RD); + + // prepare SDIO for data read transfer + _state = dmaTrxStart(512*n, TRX_RD); + + // send command to start data transfer + _state = cardCommand(CMD18_XFERTYP, (m_highCapacity ? lba : 512*lba)); + if ( !_state ) { + return sdError(SD_CARD_ERROR_CMD18); + } + m_curLba = lba; + m_curState = READ_STATE; + } + + else { + // prepare DMA for data read transfer + _state = dmaTrxPrepare(buf, 512*n, TRX_RD); + + // prepare SDIO data read transfer + _state = dmaTrxStart(512*n, TRX_RD); + } + + _state = dmaTrxEnd(0); + + if (_state){ + m_totalReadLbas += n; + m_curLba += n; + if (m_curLba >= m_limitLba) { + syncBlocks(); + } + sdError(SD_CARD_ERROR_NONE); + return true; + } + syncBlocks(); + m_readErrors++; + } + DBG_PRINT() + syncBlocks(); + m_readErrors++; + return false; } //----------------------------------------------------------------------------- bool SdioCard::readCID(void* cid) { @@ -699,13 +925,36 @@ bool SdioCard::readStart(uint32_t lba, uint32_t count) /*---------------------------------------------------------------------------*/ bool SdioCard::readStop() { + + sdio_setup_transfer(0x00FFFFFF, 0, 0); + while ( SDIO->STA & SDIO_STA_RXDAVL) { + volatile uint32 _unused = SDIO->FIFO; + } //Serial.println("readStop."); - m_lba = 0; - m_cnt = 0; - return true; + m_lba = 0; + if (!trxStop()) { + return false; + } + return true; } //----------------------------------------------------------------------------- -bool SdioCard::syncBlocks() { +inline bool SdioCard::syncBlocks() { + if ( isEnabledDMA()){ + waitDmaStatus(); + } + if (m_curState == READ_STATE) { + /* if ( isEnabledDMA()){ + waitDmaStatus(); + } + */ + m_curState = IDLE_STATE; + if (!readStop()) { + return false; + } + } else if (m_curState == WRITE_STATE) { + m_curState = IDLE_STATE; + return writeStop(); + } return true; } //----------------------------------------------------------------------------- @@ -733,17 +982,48 @@ bool SdioCard::writeBlock(uint32_t lba, const uint8_t* buf) *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; } } - if (yieldTimeout(isBusyCMD13)) { // wait for previous transmission end - return sdError(SD_CARD_ERROR_CMD13); - } - // send command to start data transfer - if ( !cardCommand(CMD24_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { - return sdError(SD_CARD_ERROR_CMD24); - } - // prepare SDIO and DMA for data transfer - dmaTrxStart(ptr, 512, TRX_WR); // 1 block, write transfer - return dmaTrxEnd(0); + + + if (m_curState != WRITE_STATE || m_curLba != lba) { + if (!syncBlocks()) { + return false; + } + + m_limitLba = (lba + 1024); //arbitrary limit + + // prepare DMA for data transfer + dmaTrxPrepare(ptr, 512, TRX_WR); // 1 block, write transfer + + // send command to start data transfer + if ( !cardCommand(CMD25_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { + return sdError(SD_CARD_ERROR_CMD25); + } + m_curLba = lba; + m_curState = WRITE_STATE; + + } + else { + if (yieldTimeout(isBusyCMD13)) { // wait for previous transmission end + return sdError(SD_CARD_ERROR_CMD13); + } + // prepare DMA for data transfer + dmaTrxPrepare(ptr, 512, TRX_WR); // 1 block, write transfer + } + + // prepare SDIO for data transfer + dmaTrxStart(512, TRX_WR); // 1 block, write transfer + + if (!dmaTrxEnd(0)){ + m_curState = IDLE_STATE; + m_writeErrors++; + return false; + } + m_curLba++; + if (m_curLba >= m_limitLba) { + syncBlocks(); + } + return true; } /*---------------------------------------------------------------------------*/ bool SdioCard::writeBlocks(uint32_t lba, const uint8_t* buf, size_t n) @@ -770,14 +1050,43 @@ bool SdioCard::writeBlocks(uint32_t lba, const uint8_t* buf, size_t n) return sdError(SD_CARD_ERROR_ACMD23); } #endif - // send command to start data transfer - if ( !cardCommand(CMD25_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { - return sdError(SD_CARD_ERROR_CMD25); - } - // prepare SDIO and DMA for data transfer - dmaTrxStart((uint8_t *)buf, 512*n, TRX_WR); // n blocks, write transfer - return dmaTrxEnd(1); + if (m_curState != WRITE_STATE || m_curLba != lba) { + if (!syncBlocks()) { + return false; + } + + m_limitLba = (lba + 1024); //arbitrary limit, 512KB + // prepare DMA for data transfer + dmaTrxPrepare((uint8_t *)buf, 512*n, TRX_WR); // n blocks, write transfer + + // send command to start data transfer + if ( !cardCommand(CMD25_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { + return sdError(SD_CARD_ERROR_CMD25); + } + m_curLba = lba; + m_curState = WRITE_STATE; + + } + else { + // prepare DMA for data transfer + dmaTrxPrepare((uint8_t *)buf, 512*n, TRX_WR); // n blocks, write transfer + } + + // prepare SDIO for data transfer + dmaTrxStart(512*n, TRX_WR); // n blocks, write transfer + + if (!dmaTrxEnd(0)){ + m_writeErrors++; + m_curState = IDLE_STATE; + return false; + } + m_curLba += n; + if (m_curLba >= m_limitLba) { + syncBlocks(); + } + return true; + } /*---------------------------------------------------------------------------*/ bool SdioCard::writeData(const uint8_t* src) @@ -845,8 +1154,14 @@ bool SdioCard::writeStart(uint32_t lba, uint32_t count) /*---------------------------------------------------------------------------*/ bool SdioCard::writeStop() { - //Serial.println("writeStop."); - m_lba = 0; - m_cnt = 0; - return true; + if ( isEnabledDMA()){ + if ( !waitDmaStatus() ) { + DBG_PRINT(); + return sdError(SD_CARD_ERROR_DMA); + } + } + m_lba = 0; + m_curState = IDLE_STATE; + return trxStop(); + //Serial.println("writeStop."); } diff --git a/STM32F1/libraries/SDIO/SdioF1.h b/STM32F1/libraries/SDIO/SdioF1.h index c995b1c..efa4774 100644 --- a/STM32F1/libraries/SDIO/SdioF1.h +++ b/STM32F1/libraries/SDIO/SdioF1.h @@ -1,6 +1,6 @@ -#ifndef _SDIOF4_H_ -#define _SDIOF4_H_ +#ifndef _SDIOF1_H_ +#define _SDIOF1_H_ #include From 58ccf76c2a7b85ab1c038b983af2c72af39a62ac Mon Sep 17 00:00:00 2001 From: victorpv Date: Wed, 28 Mar 2018 22:01:48 -0500 Subject: [PATCH 3/6] stm_fft library With extra fft 16 bins function --- .../libraries/stm_fft/cr4_fft_1024_stm32.asm | 577 ++++++++++++++++++ .../libraries/stm_fft/cr4_fft_16_stm33.asm | 250 ++++++++ .../libraries/stm_fft/cr4_fft_256_stm32.asm | 318 ++++++++++ .../libraries/stm_fft/cr4_fft_64_stm32.asm | 249 ++++++++ STM32F1/libraries/stm_fft/cr4_fft_stm32.h | 39 ++ STM32F1/libraries/stm_fft/table_fft.h | 377 ++++++++++++ 6 files changed, 1810 insertions(+) create mode 100644 STM32F1/libraries/stm_fft/cr4_fft_1024_stm32.asm create mode 100644 STM32F1/libraries/stm_fft/cr4_fft_16_stm33.asm create mode 100644 STM32F1/libraries/stm_fft/cr4_fft_256_stm32.asm create mode 100644 STM32F1/libraries/stm_fft/cr4_fft_64_stm32.asm create mode 100644 STM32F1/libraries/stm_fft/cr4_fft_stm32.h create mode 100644 STM32F1/libraries/stm_fft/table_fft.h diff --git a/STM32F1/libraries/stm_fft/cr4_fft_1024_stm32.asm b/STM32F1/libraries/stm_fft/cr4_fft_1024_stm32.asm new file mode 100644 index 0000000..26c1726 --- /dev/null +++ b/STM32F1/libraries/stm_fft/cr4_fft_1024_stm32.asm @@ -0,0 +1,577 @@ +/*;******************** (C) COPYRIGHT 2009 STMicroelectronics ******************** +;* File Name : cr4_fft_1024_stm32.s +;* Author : MCD Application Team +;* Version : V2.0.0 +;* Date : 04/27/2009 +;* Description : Optimized 1024-point radix-4 complex FFT for Cortex-M3 +;******************************************************************************** +;* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS +;* WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. +;* AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY DIRECT, +;* INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE +;* CONTENT OF SUCH SOFTWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING +;* INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. +;*******************************************************************************/ + +.cpu cortex-m3 +.fpu softvfp +.syntax unified +.thumb +.text + +.global cr4_fft_1024_stm32 +.extern TableFFT + +.equ NPT, 1024 + + +/*;******************************************************************************* +;* Function Name : cr4_fft_1024_stm32 +;* Description : complex radix-4 1024 points FFT +;* Input : - R0 = pssOUT: Output array . +;* - R1 = pssIN: Input array +;* - R2 = Nbin: =1024 number of points, this optimized FFT function +;* can only convert 1024 points. +;* Output : None +;* Return : None +;*********************************************************************************/ +.thumb_func +cr4_fft_1024_stm32: + + STMFD SP!, {R4-R11, LR} + + MOV r12, #0 + MOV r3, r0 + MOV r0,#0 + +preloop_v7: + ADD r14, r1, r12, LSR#22 /*1024pts*/ + + LDRSH r5, [r14, #2] + LDRSH r4, [r14] + ADD r14, #NPT + LDRSH r9, [r14, #2] + LDRSH r8, [r14] + ADD r14, #NPT + LDRSH r7, [r14, #2] + LDRSH r6, [r14] + ADD r14, #NPT + LDRSH r11, [r14, #2] + LDRSH r10, [r14] + ADD r14, #NPT + + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#2 + ADD r5, r5, r7, ASR#2 + SUB r6, r4, r6, ASR#1 + SUB r7, r5, r7, ASR#1 + + ADD r4, r4, r8, ASR#2 + ADD r5, r5, r9, ASR#2 + SUB r8, r4, r8, ASR#1 + SUB r9, r5, r9, ASR#1 + + ADD r6, r6, r11, ASR#2 + SUB r7, r7, r10, ASR#2 + SUB r11, r6, r11, ASR#1 + ADD r10, r7, r10, ASR#1 + + STRH r5, [r3, #2] + STRH r4, [r3], #4 + STRH r7, [r3, #2] + STRH r6, [r3], #4 + STRH r9, [r3, #2] + STRH r8, [r3], #4 + STRH r10, [r3, #2] + STRH r11, [r3], #4 + + ADD r0, r0, #1 + + RBIT r12, r0 + + CMP r0,#256 /*1024pts*/ + BNE preloop_v7 + + SUB r1, r3, r2, LSL#2 + MOV r0, #16 + MOVS r2, r2, LSR#4 + +/*;------------------------------------------------------------------------------ +; The FFT coefficients table can be stored into Flash or RAM. +; The following two lines of code allow selecting the method for coefficients +; storage. +; In the case of choosing coefficients in RAM, you have to: +; 1. Include the file table_fft.h, which is a part of the DSP library, +; in your main file. +; 2. Decomment the line LDR.W pssK, =TableFFT and comment the line +; ADRL pssK, TableFFT_V7 +; 3. Comment all the TableFFT_V7 data. +;------------------------------------------------------------------------------*/ + ADR r3, TableFFT_V7 + /*LDR.W r3, =TableFFT*/ + + +passloop_v7: + STMFD SP!, {r1,r2} + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + SUB r2, r2, #1<<16 + +grouploop_v7: + ADD r2,r2,r0,LSL#(16-2) + +butterloop_v7: + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r11, [r3, #2] + LDRSH r10, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r11 + ADD r14, r10, r11, LSL#1 + MLA r11, r5, r10, r12 + MLA r10, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r9, [r3, #2] + LDRSH r8, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r9 + ADD r14, r8, r9, LSL#1 + MLA r9, r5, r8, r12 + MLA r8, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r7, [r3, #2] + LDRSH r6, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r7 + ADD r14, r6, r7, LSL#1 + MLA r7, r5, r6, r12 + MLA r6, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#(2+14) + ADD r5, r5, r7, ASR#(2+14) + SUB r6, r4, r6, ASR#(1+14) + SUB r7, r5, r7, ASR#(1+14) + + ADD r4, r4, r8, ASR#(2+14) + ADD r5, r5, r9, ASR#(2+14) + SUB r8, r4, r8, ASR#(1+14) + SUB r9, r5, r9, ASR#(1+14) + + ADD r6, r6, r11, ASR#(2+14) + SUB r7, r7, r10, ASR#(2+14) + SUB r11, r6, r11, ASR#(1+14) + ADD r10, r7, r10, ASR#(1+14) + + STRH r5, [r1, #2] + STRH r4, [r1] + ADD r1, r1, r0 + STRH r7, [r1, #2] + STRH r6, [r1] + ADD r1, r1, r0 + STRH r9, [r1, #2] + STRH r8, [r1] + ADD r1, r1, r0 + STRH r10, [r1, #2] + STRH r11, [r1], #4 + SUBS r2,r2, #1<<16 + BGE butterloop_v7 + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + + SUB r2, r2, #1 + MOVS r14, r2, LSL#16 + IT ne + SUBNE r3, r3, r12 + BNE grouploop_v7 + + LDMFD sp!, {r1, r2} + MOV r0,r0,LSL#2 + MOVS r2, r2, LSR#2 + BNE passloop_v7 + LDMFD SP!, {R4-R11, PC} + + +TableFFT_V7: + + /*N=16*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + /*N=64*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c + .short 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e + .short 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537 + .short 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21 + .short 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5 + .short 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21 + .short 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + .short 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e + .short 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e + .short 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c + /*N=256*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0x3b1e,0x04b5, 0x3e69,0x0192, 0x3cc8,0x0324 + .short 0x35eb,0x0964, 0x3cc8,0x0324, 0x396b,0x0646 + .short 0x306c,0x0e06, 0x3b1e,0x04b5, 0x35eb,0x0964 + .short 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c + .short 0x24ae,0x1709, 0x37af,0x07d6, 0x2e88,0x0f8d + .short 0x1e7e,0x1b5d, 0x35eb,0x0964, 0x2aaa,0x1294 + .short 0x1824,0x1f8c, 0x341e,0x0af1, 0x26b3,0x1590 + .short 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e + .short 0x0b14,0x2760, 0x306c,0x0e06, 0x1e7e,0x1b5d + .short 0x0471,0x2afb, 0x2e88,0x0f8d, 0x1a46,0x1e2b + .short 0xfdc7,0x2e5a, 0x2c9d,0x1112, 0x15fe,0x20e7 + .short 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e + .short 0xf087,0x3453, 0x28b2,0x1413, 0x0d48,0x2620 + .short 0xea02,0x36e5, 0x26b3,0x1590, 0x08df,0x289a + .short 0xe39c,0x392b, 0x24ae,0x1709, 0x0471,0x2afb + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xd74e,0x3cc5, 0x2093,0x19ef, 0xfb8f,0x2f6c + .short 0xd178,0x3e15, 0x1e7e,0x1b5d, 0xf721,0x3179 + .short 0xcbe2,0x3f0f, 0x1c64,0x1cc6, 0xf2b8,0x3368 + .short 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537 + .short 0xc197,0x3ffb, 0x1824,0x1f8c, 0xea02,0x36e5 + .short 0xbcf0,0x3fec, 0x15fe,0x20e7, 0xe5ba,0x3871 + .short 0xb8a6,0x3f85, 0x13d5,0x223d, 0xe182,0x39db + .short 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21 + .short 0xb140,0x3daf, 0x0f79,0x24da, 0xd94d,0x3c42 + .short 0xae2e,0x3c42, 0x0d48,0x2620, 0xd556,0x3d3f + .short 0xab8e,0x3a82, 0x0b14,0x2760, 0xd178,0x3e15 + .short 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5 + .short 0xa7b1,0x3612, 0x06a9,0x29ce, 0xca15,0x3f4f + .short 0xa678,0x3368, 0x0471,0x2afb, 0xc695,0x3fb1 + .short 0xa5bc,0x3076, 0x0239,0x2c21, 0xc338,0x3fec + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xa5bc,0x29ce, 0xfdc7,0x2e5a, 0xbcf0,0x3fec + .short 0xa678,0x2620, 0xfb8f,0x2f6c, 0xba09,0x3fb1 + .short 0xa7b1,0x223d, 0xf957,0x3076, 0xb74d,0x3f4f + .short 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5 + .short 0xab8e,0x19ef, 0xf4ec,0x3274, 0xb25e,0x3e15 + .short 0xae2e,0x1590, 0xf2b8,0x3368, 0xb02d,0x3d3f + .short 0xb140,0x1112, 0xf087,0x3453, 0xae2e,0x3c42 + .short 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21 + .short 0xb8a6,0x07d6, 0xec2b,0x3612, 0xaac8,0x39db + .short 0xbcf0,0x0324, 0xea02,0x36e5, 0xa963,0x3871 + .short 0xc197,0xfe6e, 0xe7dc,0x37b0, 0xa834,0x36e5 + .short 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537 + .short 0xcbe2,0xf50f, 0xe39c,0x392b, 0xa678,0x3368 + .short 0xd178,0xf073, 0xe182,0x39db, 0xa5ed,0x3179 + .short 0xd74e,0xebed, 0xdf6d,0x3a82, 0xa599,0x2f6c + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + .short 0xe39c,0xe33a, 0xdb52,0x3bb6, 0xa599,0x2afb + .short 0xea02,0xdf19, 0xd94d,0x3c42, 0xa5ed,0x289a + .short 0xf087,0xdb26, 0xd74e,0x3cc5, 0xa678,0x2620 + .short 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e + .short 0xfdc7,0xd3df, 0xd363,0x3daf, 0xa834,0x20e7 + .short 0x0471,0xd094, 0xd178,0x3e15, 0xa963,0x1e2b + .short 0x0b14,0xcd8c, 0xcf94,0x3e72, 0xaac8,0x1b5d + .short 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e + .short 0x1824,0xc850, 0xcbe2,0x3f0f, 0xae2e,0x1590 + .short 0x1e7e,0xc625, 0xca15,0x3f4f, 0xb02d,0x1294 + .short 0x24ae,0xc44a, 0xc851,0x3f85, 0xb25e,0x0f8d + .short 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c + .short 0x306c,0xc18e, 0xc4e2,0x3fd4, 0xb74d,0x0964 + .short 0x35eb,0xc0b1, 0xc338,0x3fec, 0xba09,0x0646 + .short 0x3b1e,0xc02c, 0xc197,0x3ffb, 0xbcf0,0x0324 + /*N=1024*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0x3ed0,0x012e, 0x3f9b,0x0065, 0x3f36,0x00c9 + .short 0x3d9a,0x025b, 0x3f36,0x00c9, 0x3e69,0x0192 + .short 0x3c5f,0x0388, 0x3ed0,0x012e, 0x3d9a,0x025b + .short 0x3b1e,0x04b5, 0x3e69,0x0192, 0x3cc8,0x0324 + .short 0x39d9,0x05e2, 0x3e02,0x01f7, 0x3bf4,0x03ed + .short 0x388e,0x070e, 0x3d9a,0x025b, 0x3b1e,0x04b5 + .short 0x373f,0x0839, 0x3d31,0x02c0, 0x3a46,0x057e + .short 0x35eb,0x0964, 0x3cc8,0x0324, 0x396b,0x0646 + .short 0x3492,0x0a8e, 0x3c5f,0x0388, 0x388e,0x070e + .short 0x3334,0x0bb7, 0x3bf4,0x03ed, 0x37af,0x07d6 + .short 0x31d2,0x0cdf, 0x3b8a,0x0451, 0x36ce,0x089d + .short 0x306c,0x0e06, 0x3b1e,0x04b5, 0x35eb,0x0964 + .short 0x2f02,0x0f2b, 0x3ab2,0x051a, 0x3505,0x0a2b + .short 0x2d93,0x1050, 0x3a46,0x057e, 0x341e,0x0af1 + .short 0x2c21,0x1173, 0x39d9,0x05e2, 0x3334,0x0bb7 + .short 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c + .short 0x2931,0x13b4, 0x38fd,0x06aa, 0x315b,0x0d41 + .short 0x27b3,0x14d2, 0x388e,0x070e, 0x306c,0x0e06 + .short 0x2632,0x15ee, 0x381f,0x0772, 0x2f7b,0x0eca + .short 0x24ae,0x1709, 0x37af,0x07d6, 0x2e88,0x0f8d + .short 0x2326,0x1821, 0x373f,0x0839, 0x2d93,0x1050 + .short 0x219c,0x1937, 0x36ce,0x089d, 0x2c9d,0x1112 + .short 0x200e,0x1a4b, 0x365d,0x0901, 0x2ba4,0x11d3 + .short 0x1e7e,0x1b5d, 0x35eb,0x0964, 0x2aaa,0x1294 + .short 0x1ceb,0x1c6c, 0x3578,0x09c7, 0x29af,0x1354 + .short 0x1b56,0x1d79, 0x3505,0x0a2b, 0x28b2,0x1413 + .short 0x19be,0x1e84, 0x3492,0x0a8e, 0x27b3,0x14d2 + .short 0x1824,0x1f8c, 0x341e,0x0af1, 0x26b3,0x1590 + .short 0x1688,0x2091, 0x33a9,0x0b54, 0x25b1,0x164c + .short 0x14ea,0x2193, 0x3334,0x0bb7, 0x24ae,0x1709 + .short 0x134a,0x2292, 0x32bf,0x0c1a, 0x23a9,0x17c4 + .short 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e + .short 0x1005,0x2488, 0x31d2,0x0cdf, 0x219c,0x1937 + .short 0x0e61,0x257e, 0x315b,0x0d41, 0x2093,0x19ef + .short 0x0cbb,0x2671, 0x30e4,0x0da4, 0x1f89,0x1aa7 + .short 0x0b14,0x2760, 0x306c,0x0e06, 0x1e7e,0x1b5d + .short 0x096d,0x284c, 0x2ff4,0x0e68, 0x1d72,0x1c12 + .short 0x07c4,0x2935, 0x2f7b,0x0eca, 0x1c64,0x1cc6 + .short 0x061b,0x2a1a, 0x2f02,0x0f2b, 0x1b56,0x1d79 + .short 0x0471,0x2afb, 0x2e88,0x0f8d, 0x1a46,0x1e2b + .short 0x02c7,0x2bd8, 0x2e0e,0x0fee, 0x1935,0x1edc + .short 0x011c,0x2cb2, 0x2d93,0x1050, 0x1824,0x1f8c + .short 0xff72,0x2d88, 0x2d18,0x10b1, 0x1711,0x203a + .short 0xfdc7,0x2e5a, 0x2c9d,0x1112, 0x15fe,0x20e7 + .short 0xfc1d,0x2f28, 0x2c21,0x1173, 0x14ea,0x2193 + .short 0xfa73,0x2ff2, 0x2ba4,0x11d3, 0x13d5,0x223d + .short 0xf8ca,0x30b8, 0x2b28,0x1234, 0x12bf,0x22e7 + .short 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e + .short 0xf579,0x3236, 0x2a2d,0x12f4, 0x1091,0x2435 + .short 0xf3d2,0x32ef, 0x29af,0x1354, 0x0f79,0x24da + .short 0xf22c,0x33a3, 0x2931,0x13b4, 0x0e61,0x257e + .short 0xf087,0x3453, 0x28b2,0x1413, 0x0d48,0x2620 + .short 0xeee3,0x34ff, 0x2833,0x1473, 0x0c2e,0x26c1 + .short 0xed41,0x35a5, 0x27b3,0x14d2, 0x0b14,0x2760 + .short 0xeba1,0x3648, 0x2733,0x1531, 0x09fa,0x27fe + .short 0xea02,0x36e5, 0x26b3,0x1590, 0x08df,0x289a + .short 0xe865,0x377e, 0x2632,0x15ee, 0x07c4,0x2935 + .short 0xe6cb,0x3812, 0x25b1,0x164c, 0x06a9,0x29ce + .short 0xe532,0x38a1, 0x252f,0x16ab, 0x058d,0x2a65 + .short 0xe39c,0x392b, 0x24ae,0x1709, 0x0471,0x2afb + .short 0xe208,0x39b0, 0x242b,0x1766, 0x0355,0x2b8f + .short 0xe077,0x3a30, 0x23a9,0x17c4, 0x0239,0x2c21 + .short 0xdee9,0x3aab, 0x2326,0x1821, 0x011c,0x2cb2 + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xdbd5,0x3b92, 0x221f,0x18db, 0xfee4,0x2dcf + .short 0xda4f,0x3bfd, 0x219c,0x1937, 0xfdc7,0x2e5a + .short 0xd8cd,0x3c64, 0x2117,0x1993, 0xfcab,0x2ee4 + .short 0xd74e,0x3cc5, 0x2093,0x19ef, 0xfb8f,0x2f6c + .short 0xd5d3,0x3d21, 0x200e,0x1a4b, 0xfa73,0x2ff2 + .short 0xd45c,0x3d78, 0x1f89,0x1aa7, 0xf957,0x3076 + .short 0xd2e8,0x3dc9, 0x1f04,0x1b02, 0xf83c,0x30f9 + .short 0xd178,0x3e15, 0x1e7e,0x1b5d, 0xf721,0x3179 + .short 0xd00c,0x3e5c, 0x1df8,0x1bb8, 0xf606,0x31f8 + .short 0xcea5,0x3e9d, 0x1d72,0x1c12, 0xf4ec,0x3274 + .short 0xcd41,0x3ed8, 0x1ceb,0x1c6c, 0xf3d2,0x32ef + .short 0xcbe2,0x3f0f, 0x1c64,0x1cc6, 0xf2b8,0x3368 + .short 0xca88,0x3f40, 0x1bdd,0x1d20, 0xf19f,0x33df + .short 0xc932,0x3f6b, 0x1b56,0x1d79, 0xf087,0x3453 + .short 0xc7e1,0x3f91, 0x1ace,0x1dd3, 0xef6f,0x34c6 + .short 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537 + .short 0xc54e,0x3fcc, 0x19be,0x1e84, 0xed41,0x35a5 + .short 0xc40c,0x3fe1, 0x1935,0x1edc, 0xec2b,0x3612 + .short 0xc2cf,0x3ff1, 0x18ad,0x1f34, 0xeb16,0x367d + .short 0xc197,0x3ffb, 0x1824,0x1f8c, 0xea02,0x36e5 + .short 0xc065,0x4000, 0x179b,0x1fe3, 0xe8ef,0x374b + .short 0xbf38,0x3fff, 0x1711,0x203a, 0xe7dc,0x37b0 + .short 0xbe11,0x3ff8, 0x1688,0x2091, 0xe6cb,0x3812 + .short 0xbcf0,0x3fec, 0x15fe,0x20e7, 0xe5ba,0x3871 + .short 0xbbd4,0x3fdb, 0x1574,0x213d, 0xe4aa,0x38cf + .short 0xbabf,0x3fc4, 0x14ea,0x2193, 0xe39c,0x392b + .short 0xb9af,0x3fa7, 0x145f,0x21e8, 0xe28e,0x3984 + .short 0xb8a6,0x3f85, 0x13d5,0x223d, 0xe182,0x39db + .short 0xb7a2,0x3f5d, 0x134a,0x2292, 0xe077,0x3a30 + .short 0xb6a5,0x3f30, 0x12bf,0x22e7, 0xdf6d,0x3a82 + .short 0xb5af,0x3efd, 0x1234,0x233b, 0xde64,0x3ad3 + .short 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21 + .short 0xb3d5,0x3e88, 0x111d,0x23e2, 0xdc57,0x3b6d + .short 0xb2f2,0x3e45, 0x1091,0x2435, 0xdb52,0x3bb6 + .short 0xb215,0x3dfc, 0x1005,0x2488, 0xda4f,0x3bfd + .short 0xb140,0x3daf, 0x0f79,0x24da, 0xd94d,0x3c42 + .short 0xb071,0x3d5b, 0x0eed,0x252c, 0xd84d,0x3c85 + .short 0xafa9,0x3d03, 0x0e61,0x257e, 0xd74e,0x3cc5 + .short 0xaee8,0x3ca5, 0x0dd4,0x25cf, 0xd651,0x3d03 + .short 0xae2e,0x3c42, 0x0d48,0x2620, 0xd556,0x3d3f + .short 0xad7b,0x3bda, 0x0cbb,0x2671, 0xd45c,0x3d78 + .short 0xacd0,0x3b6d, 0x0c2e,0x26c1, 0xd363,0x3daf + .short 0xac2b,0x3afa, 0x0ba1,0x2711, 0xd26d,0x3de3 + .short 0xab8e,0x3a82, 0x0b14,0x2760, 0xd178,0x3e15 + .short 0xaaf8,0x3a06, 0x0a87,0x27af, 0xd085,0x3e45 + .short 0xaa6a,0x3984, 0x09fa,0x27fe, 0xcf94,0x3e72 + .short 0xa9e3,0x38fd, 0x096d,0x284c, 0xcea5,0x3e9d + .short 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5 + .short 0xa8eb,0x37e1, 0x0852,0x28e7, 0xcccc,0x3eeb + .short 0xa87b,0x374b, 0x07c4,0x2935, 0xcbe2,0x3f0f + .short 0xa812,0x36b1, 0x0736,0x2981, 0xcafb,0x3f30 + .short 0xa7b1,0x3612, 0x06a9,0x29ce, 0xca15,0x3f4f + .short 0xa757,0x356e, 0x061b,0x2a1a, 0xc932,0x3f6b + .short 0xa705,0x34c6, 0x058d,0x2a65, 0xc851,0x3f85 + .short 0xa6bb,0x3419, 0x04ff,0x2ab0, 0xc772,0x3f9c + .short 0xa678,0x3368, 0x0471,0x2afb, 0xc695,0x3fb1 + .short 0xa63e,0x32b2, 0x03e3,0x2b45, 0xc5ba,0x3fc4 + .short 0xa60b,0x31f8, 0x0355,0x2b8f, 0xc4e2,0x3fd4 + .short 0xa5e0,0x3139, 0x02c7,0x2bd8, 0xc40c,0x3fe1 + .short 0xa5bc,0x3076, 0x0239,0x2c21, 0xc338,0x3fec + .short 0xa5a1,0x2faf, 0x01aa,0x2c6a, 0xc266,0x3ff5 + .short 0xa58d,0x2ee4, 0x011c,0x2cb2, 0xc197,0x3ffb + .short 0xa581,0x2e15, 0x008e,0x2cfa, 0xc0ca,0x3fff + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xa581,0x2c6a, 0xff72,0x2d88, 0xbf38,0x3fff + .short 0xa58d,0x2b8f, 0xfee4,0x2dcf, 0xbe73,0x3ffb + .short 0xa5a1,0x2ab0, 0xfe56,0x2e15, 0xbdb0,0x3ff5 + .short 0xa5bc,0x29ce, 0xfdc7,0x2e5a, 0xbcf0,0x3fec + .short 0xa5e0,0x28e7, 0xfd39,0x2e9f, 0xbc32,0x3fe1 + .short 0xa60b,0x27fe, 0xfcab,0x2ee4, 0xbb77,0x3fd4 + .short 0xa63e,0x2711, 0xfc1d,0x2f28, 0xbabf,0x3fc4 + .short 0xa678,0x2620, 0xfb8f,0x2f6c, 0xba09,0x3fb1 + .short 0xa6bb,0x252c, 0xfb01,0x2faf, 0xb956,0x3f9c + .short 0xa705,0x2435, 0xfa73,0x2ff2, 0xb8a6,0x3f85 + .short 0xa757,0x233b, 0xf9e5,0x3034, 0xb7f8,0x3f6b + .short 0xa7b1,0x223d, 0xf957,0x3076, 0xb74d,0x3f4f + .short 0xa812,0x213d, 0xf8ca,0x30b8, 0xb6a5,0x3f30 + .short 0xa87b,0x203a, 0xf83c,0x30f9, 0xb600,0x3f0f + .short 0xa8eb,0x1f34, 0xf7ae,0x3139, 0xb55e,0x3eeb + .short 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5 + .short 0xa9e3,0x1d20, 0xf693,0x31b9, 0xb422,0x3e9d + .short 0xaa6a,0x1c12, 0xf606,0x31f8, 0xb388,0x3e72 + .short 0xaaf8,0x1b02, 0xf579,0x3236, 0xb2f2,0x3e45 + .short 0xab8e,0x19ef, 0xf4ec,0x3274, 0xb25e,0x3e15 + .short 0xac2b,0x18db, 0xf45f,0x32b2, 0xb1cd,0x3de3 + .short 0xacd0,0x17c4, 0xf3d2,0x32ef, 0xb140,0x3daf + .short 0xad7b,0x16ab, 0xf345,0x332c, 0xb0b5,0x3d78 + .short 0xae2e,0x1590, 0xf2b8,0x3368, 0xb02d,0x3d3f + .short 0xaee8,0x1473, 0xf22c,0x33a3, 0xafa9,0x3d03 + .short 0xafa9,0x1354, 0xf19f,0x33df, 0xaf28,0x3cc5 + .short 0xb071,0x1234, 0xf113,0x3419, 0xaea9,0x3c85 + .short 0xb140,0x1112, 0xf087,0x3453, 0xae2e,0x3c42 + .short 0xb215,0x0fee, 0xeffb,0x348d, 0xadb6,0x3bfd + .short 0xb2f2,0x0eca, 0xef6f,0x34c6, 0xad41,0x3bb6 + .short 0xb3d5,0x0da4, 0xeee3,0x34ff, 0xacd0,0x3b6d + .short 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21 + .short 0xb5af,0x0b54, 0xedcc,0x356e, 0xabf6,0x3ad3 + .short 0xb6a5,0x0a2b, 0xed41,0x35a5, 0xab8e,0x3a82 + .short 0xb7a2,0x0901, 0xecb6,0x35dc, 0xab29,0x3a30 + .short 0xb8a6,0x07d6, 0xec2b,0x3612, 0xaac8,0x39db + .short 0xb9af,0x06aa, 0xeba1,0x3648, 0xaa6a,0x3984 + .short 0xbabf,0x057e, 0xeb16,0x367d, 0xaa0f,0x392b + .short 0xbbd4,0x0451, 0xea8c,0x36b1, 0xa9b7,0x38cf + .short 0xbcf0,0x0324, 0xea02,0x36e5, 0xa963,0x3871 + .short 0xbe11,0x01f7, 0xe978,0x3718, 0xa912,0x3812 + .short 0xbf38,0x00c9, 0xe8ef,0x374b, 0xa8c5,0x37b0 + .short 0xc065,0xff9b, 0xe865,0x377e, 0xa87b,0x374b + .short 0xc197,0xfe6e, 0xe7dc,0x37b0, 0xa834,0x36e5 + .short 0xc2cf,0xfd40, 0xe753,0x37e1, 0xa7f1,0x367d + .short 0xc40c,0xfc13, 0xe6cb,0x3812, 0xa7b1,0x3612 + .short 0xc54e,0xfae6, 0xe642,0x3842, 0xa774,0x35a5 + .short 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537 + .short 0xc7e1,0xf88e, 0xe532,0x38a1, 0xa705,0x34c6 + .short 0xc932,0xf763, 0xe4aa,0x38cf, 0xa6d3,0x3453 + .short 0xca88,0xf639, 0xe423,0x38fd, 0xa6a4,0x33df + .short 0xcbe2,0xf50f, 0xe39c,0x392b, 0xa678,0x3368 + .short 0xcd41,0xf3e6, 0xe315,0x3958, 0xa650,0x32ef + .short 0xcea5,0xf2bf, 0xe28e,0x3984, 0xa62c,0x3274 + .short 0xd00c,0xf198, 0xe208,0x39b0, 0xa60b,0x31f8 + .short 0xd178,0xf073, 0xe182,0x39db, 0xa5ed,0x3179 + .short 0xd2e8,0xef4f, 0xe0fc,0x3a06, 0xa5d3,0x30f9 + .short 0xd45c,0xee2d, 0xe077,0x3a30, 0xa5bc,0x3076 + .short 0xd5d3,0xed0c, 0xdff2,0x3a59, 0xa5a9,0x2ff2 + .short 0xd74e,0xebed, 0xdf6d,0x3a82, 0xa599,0x2f6c + .short 0xd8cd,0xeacf, 0xdee9,0x3aab, 0xa58d,0x2ee4 + .short 0xda4f,0xe9b4, 0xde64,0x3ad3, 0xa585,0x2e5a + .short 0xdbd5,0xe89a, 0xdde1,0x3afa, 0xa57f,0x2dcf + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + .short 0xdee9,0xe66d, 0xdcda,0x3b47, 0xa57f,0x2cb2 + .short 0xe077,0xe559, 0xdc57,0x3b6d, 0xa585,0x2c21 + .short 0xe208,0xe448, 0xdbd5,0x3b92, 0xa58d,0x2b8f + .short 0xe39c,0xe33a, 0xdb52,0x3bb6, 0xa599,0x2afb + .short 0xe532,0xe22d, 0xdad1,0x3bda, 0xa5a9,0x2a65 + .short 0xe6cb,0xe124, 0xda4f,0x3bfd, 0xa5bc,0x29ce + .short 0xe865,0xe01d, 0xd9ce,0x3c20, 0xa5d3,0x2935 + .short 0xea02,0xdf19, 0xd94d,0x3c42, 0xa5ed,0x289a + .short 0xeba1,0xde18, 0xd8cd,0x3c64, 0xa60b,0x27fe + .short 0xed41,0xdd19, 0xd84d,0x3c85, 0xa62c,0x2760 + .short 0xeee3,0xdc1e, 0xd7cd,0x3ca5, 0xa650,0x26c1 + .short 0xf087,0xdb26, 0xd74e,0x3cc5, 0xa678,0x2620 + .short 0xf22c,0xda31, 0xd6cf,0x3ce4, 0xa6a4,0x257e + .short 0xf3d2,0xd93f, 0xd651,0x3d03, 0xa6d3,0x24da + .short 0xf579,0xd851, 0xd5d3,0x3d21, 0xa705,0x2435 + .short 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e + .short 0xf8ca,0xd67f, 0xd4d8,0x3d5b, 0xa774,0x22e7 + .short 0xfa73,0xd59b, 0xd45c,0x3d78, 0xa7b1,0x223d + .short 0xfc1d,0xd4bb, 0xd3df,0x3d93, 0xa7f1,0x2193 + .short 0xfdc7,0xd3df, 0xd363,0x3daf, 0xa834,0x20e7 + .short 0xff72,0xd306, 0xd2e8,0x3dc9, 0xa87b,0x203a + .short 0x011c,0xd231, 0xd26d,0x3de3, 0xa8c5,0x1f8c + .short 0x02c7,0xd161, 0xd1f2,0x3dfc, 0xa912,0x1edc + .short 0x0471,0xd094, 0xd178,0x3e15, 0xa963,0x1e2b + .short 0x061b,0xcfcc, 0xd0fe,0x3e2d, 0xa9b7,0x1d79 + .short 0x07c4,0xcf07, 0xd085,0x3e45, 0xaa0f,0x1cc6 + .short 0x096d,0xce47, 0xd00c,0x3e5c, 0xaa6a,0x1c12 + .short 0x0b14,0xcd8c, 0xcf94,0x3e72, 0xaac8,0x1b5d + .short 0x0cbb,0xccd4, 0xcf1c,0x3e88, 0xab29,0x1aa7 + .short 0x0e61,0xcc21, 0xcea5,0x3e9d, 0xab8e,0x19ef + .short 0x1005,0xcb73, 0xce2e,0x3eb1, 0xabf6,0x1937 + .short 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e + .short 0x134a,0xca24, 0xcd41,0x3ed8, 0xacd0,0x17c4 + .short 0x14ea,0xc983, 0xcccc,0x3eeb, 0xad41,0x1709 + .short 0x1688,0xc8e8, 0xcc57,0x3efd, 0xadb6,0x164c + .short 0x1824,0xc850, 0xcbe2,0x3f0f, 0xae2e,0x1590 + .short 0x19be,0xc7be, 0xcb6e,0x3f20, 0xaea9,0x14d2 + .short 0x1b56,0xc731, 0xcafb,0x3f30, 0xaf28,0x1413 + .short 0x1ceb,0xc6a8, 0xca88,0x3f40, 0xafa9,0x1354 + .short 0x1e7e,0xc625, 0xca15,0x3f4f, 0xb02d,0x1294 + .short 0x200e,0xc5a7, 0xc9a3,0x3f5d, 0xb0b5,0x11d3 + .short 0x219c,0xc52d, 0xc932,0x3f6b, 0xb140,0x1112 + .short 0x2326,0xc4b9, 0xc8c1,0x3f78, 0xb1cd,0x1050 + .short 0x24ae,0xc44a, 0xc851,0x3f85, 0xb25e,0x0f8d + .short 0x2632,0xc3e0, 0xc7e1,0x3f91, 0xb2f2,0x0eca + .short 0x27b3,0xc37b, 0xc772,0x3f9c, 0xb388,0x0e06 + .short 0x2931,0xc31c, 0xc703,0x3fa7, 0xb422,0x0d41 + .short 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c + .short 0x2c21,0xc26d, 0xc627,0x3fbb, 0xb55e,0x0bb7 + .short 0x2d93,0xc21d, 0xc5ba,0x3fc4, 0xb600,0x0af1 + .short 0x2f02,0xc1d3, 0xc54e,0x3fcc, 0xb6a5,0x0a2b + .short 0x306c,0xc18e, 0xc4e2,0x3fd4, 0xb74d,0x0964 + .short 0x31d2,0xc14f, 0xc476,0x3fdb, 0xb7f8,0x089d + .short 0x3334,0xc115, 0xc40c,0x3fe1, 0xb8a6,0x07d6 + .short 0x3492,0xc0e0, 0xc3a1,0x3fe7, 0xb956,0x070e + .short 0x35eb,0xc0b1, 0xc338,0x3fec, 0xba09,0x0646 + .short 0x373f,0xc088, 0xc2cf,0x3ff1, 0xbabf,0x057e + .short 0x388e,0xc064, 0xc266,0x3ff5, 0xbb77,0x04b5 + .short 0x39d9,0xc045, 0xc1fe,0x3ff8, 0xbc32,0x03ed + .short 0x3b1e,0xc02c, 0xc197,0x3ffb, 0xbcf0,0x0324 + .short 0x3c5f,0xc019, 0xc130,0x3ffd, 0xbdb0,0x025b + .short 0x3d9a,0xc00b, 0xc0ca,0x3fff, 0xbe73,0x0192 + .short 0x3ed0,0xc003, 0xc065,0x4000, 0xbf38,0x00c9 + + +.end +/******************* (C) COPYRIGHT 2009 STMicroelectronics *****END OF FILE****/ diff --git a/STM32F1/libraries/stm_fft/cr4_fft_16_stm33.asm b/STM32F1/libraries/stm_fft/cr4_fft_16_stm33.asm new file mode 100644 index 0000000..1d60179 --- /dev/null +++ b/STM32F1/libraries/stm_fft/cr4_fft_16_stm33.asm @@ -0,0 +1,250 @@ +/*;******************** (C) COPYRIGHT 2009 STMicroelectronics ******************** +;* File Name : cr4_fft_64_stm32.s +;* Author : MCD Application Team +;* Version : V2.0.0 +;* Date : 04/27/2009 +;* Description : Optimized 64-point radix-4 complex FFT for Cortex-M3 +;******************************************************************************** +;* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS +;* WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. +;* AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY DIRECT, +;* INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE +;* CONTENT OF SUCH SOFTWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING +;* INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. +;*******************************************************************************/ + +.cpu cortex-m3 +.fpu softvfp +.syntax unified +.thumb +.text + +.global cr4_fft_16_stm32 +.extern TableFFT + +.equ NPT, 16 + + +/*;******************************************************************************* +;* Function Name : cr4_fft_16_stm32 +;* Description : complex radix-4 16 points FFT +;* Input : - R0 = pssOUT: Output array . +;* - R1 = pssIN: Input array +;* - R2 = Nbin: = 16 number of points, this optimized FFT function +;* can only convert 16 points. +;* Output : None +;* Return : None +;********************************************************************************/ +.thumb_func +cr4_fft_16_stm32: + + STMFD SP!, {R4-R11, LR} + + MOV r12, #0 + MOV r3, r0 + MOV r0,#0 + +preloop_v7: + ADD r14, r1, r12, LSR#28 + + LDRSH r5, [r14, #2] + LDRSH r4, [r14],#NPT + LDRSH r9, [r14, #2] + LDRSH r8, [r14],#NPT + LDRSH r7, [r14, #2] + LDRSH r6, [r14],#NPT + LDRSH r11, [r14, #2] + LDRSH r10, [r14],#NPT + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#2 + ADD r5, r5, r7, ASR#2 + SUB r6, r4, r6, ASR#1 + SUB r7, r5, r7, ASR#1 + + ADD r4, r4, r8, ASR#2 + ADD r5, r5, r9, ASR#2 + SUB r8, r4, r8, ASR#1 + SUB r9, r5, r9, ASR#1 + + ADD r6, r6, r11, ASR#2 + SUB r7, r7, r10, ASR#2 + SUB r11, r6, r11, ASR#1 + ADD r10, r7, r10, ASR#1 + + STRH r5, [r3, #2] + STRH r4, [r3], #4 + STRH r7, [r3, #2] + STRH r6, [r3], #4 + STRH r9, [r3, #2] + STRH r8, [r3], #4 + STRH r10, [r3, #2] + STRH r11, [r3], #4 + + ADD r0, r0, #1 + + RBIT r12, r0 + + CMP r0,#4 + BNE preloop_v7 + + SUB r1, r3, r2, LSL#2 + MOV r0, #16 + MOVS r2, r2, LSR#4 + +/*;------------------------------------------------------------------------------ +; The FFT coefficients table can be stored into Flash or RAM. +; The following two lines of code allow selecting the method for coefficients +; storage. +; In the case of choosing coefficients in RAM, you have to: +; 1. Include the file table_fft.h, which is a part of the DSP library, +; in your main file. +; 2. Decomment the line LDR.W pssK, =TableFFT and comment the line +; ADRL pssK, TableFFT_V7 +; 3. Comment all the TableFFT_V7 data. +;------------------------------------------------------------------------------*/ + ADR r3, TableFFT_V7 + /*LDR.W r3, =TableFFT*/ + + +passloop_v7: + STMFD SP!, {r1,r2} + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + SUB r2, r2, #1<<16 + +grouploop_v7: + ADD r2,r2,r0,LSL#(16-2) + +butterloop_v7: + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r11, [r3, #2] + LDRSH r10, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r11 + ADD r14, r10, r11, LSL#1 + MLA r11, r5, r10, r12 + MLA r10, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r9, [r3, #2] + LDRSH r8, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r9 + ADD r14, r8, r9, LSL#1 + MLA r9, r5, r8, r12 + MLA r8, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r7, [r3, #2] + LDRSH r6, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r7 + ADD r14, r6, r7, LSL#1 + MLA r7, r5, r6, r12 + MLA r6, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#(2+14) + ADD r5, r5, r7, ASR#(2+14) + SUB r6, r4, r6, ASR#(1+14) + SUB r7, r5, r7, ASR#(1+14) + + ADD r4, r4, r8, ASR#(2+14) + ADD r5, r5, r9, ASR#(2+14) + SUB r8, r4, r8, ASR#(1+14) + SUB r9, r5, r9, ASR#(1+14) + + ADD r6, r6, r11, ASR#(2+14) + SUB r7, r7, r10, ASR#(2+14) + SUB r11, r6, r11, ASR#(1+14) + ADD r10, r7, r10, ASR#(1+14) + + STRH r5, [r1, #2] + STRH r4, [r1] + ADD r1, r1, r0 + STRH r7, [r1, #2] + STRH r6, [r1] + ADD r1, r1, r0 + STRH r9, [r1, #2] + STRH r8, [r1] + ADD r1, r1, r0 + STRH r10, [r1, #2] + STRH r11, [r1], #4 + SUBS r2,r2, #1<<16 + BGE butterloop_v7 + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + + SUB r2, r2, #1 + MOVS r14, r2, LSL#16 + IT ne + SUBNE r3, r3, r12 + BNE grouploop_v7 + + LDMFD sp!, {r1, r2} + MOV r0,r0,LSL#2 + MOVS r2, r2, LSR#2 + BNE passloop_v7 + LDMFD SP!, {R4-R11, PC} + + +TableFFT_V7: + /*N=16*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + /*N=64*/ + /* + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c + .short 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e + .short 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537 + .short 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21 + .short 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5 + .short 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21 + .short 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + .short 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e + .short 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e + .short 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c + */ + +.end +/******************* (C) COPYRIGHT 2009 STMicroelectronics *****END OF FILE****/ diff --git a/STM32F1/libraries/stm_fft/cr4_fft_256_stm32.asm b/STM32F1/libraries/stm_fft/cr4_fft_256_stm32.asm new file mode 100644 index 0000000..15e4b0c --- /dev/null +++ b/STM32F1/libraries/stm_fft/cr4_fft_256_stm32.asm @@ -0,0 +1,318 @@ +/*;******************** (C) COPYRIGHT 2009 STMicroelectronics ******************** +;* File Name : cr4_fft_256_stm32.s +;* Author : MCD Application Team +;* Version : V2.0.0 +;* Date : 04/27/2009 +;* Description : Optimized 256-point radix-4 complex FFT for Cortex-M3 +;******************************************************************************** +;* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS +;* WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. +;* AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY DIRECT, +;* INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE +;* CONTENT OF SUCH SOFTWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING +;* INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. +;*******************************************************************************/ + +.cpu cortex-m3 +.fpu softvfp +.syntax unified +.thumb +.text + +.global cr4_fft_256_stm32 +.extern TableFFT + +.equ NPT, 256 + + +/*;******************************************************************************* +;* Function Name : cr4_fft_256_stm32 +;* Description : complex radix-4 256 points FFT +;* Input : - R0 = pssOUT: Output array . +;* - R1 = pssIN: Input array +;* - R2 = Nbin: =256 number of points, this optimized FFT function +;* can only convert 256 points. +;* Output : None +;* Return : None +;********************************************************************************/ +.thumb_func +cr4_fft_256_stm32: + + STMFD SP!, {R4-R11, LR} + + MOV r12, #0 + MOV r3, r0 + MOV r0,#0 + +preloop_v7: + ADD r14, r1, r12, LSR#24 /*256pts*/ + + LDRSH r5, [r14, #2] + LDRSH r4, [r14] + ADD r14, #NPT + LDRSH r9, [r14, #2] + LDRSH r8, [r14] + ADD r14, #NPT + LDRSH r7, [r14, #2] + LDRSH r6, [r14] + ADD r14, #NPT + LDRSH r11, [r14, #2] + LDRSH r10, [r14] + ADD r14, #NPT + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#2 + ADD r5, r5, r7, ASR#2 + SUB r6, r4, r6, ASR#1 + SUB r7, r5, r7, ASR#1 + + ADD r4, r4, r8, ASR#2 + ADD r5, r5, r9, ASR#2 + SUB r8, r4, r8, ASR#1 + SUB r9, r5, r9, ASR#1 + + ADD r6, r6, r11, ASR#2 + SUB r7, r7, r10, ASR#2 + SUB r11, r6, r11, ASR#1 + ADD r10, r7, r10, ASR#1 + + STRH r5, [r3, #2] + STRH r4, [r3], #4 + STRH r7, [r3, #2] + STRH r6, [r3], #4 + STRH r9, [r3, #2] + STRH r8, [r3], #4 + STRH r10, [r3, #2] + STRH r11, [r3], #4 + + ADD r0, r0, #1 + + RBIT r12, r0 + + CMP r0,#64 /*256pts*/ + BNE preloop_v7 + + SUB r1, r3, r2, LSL#2 + MOV r0, #16 + MOVS r2, r2, LSR#4 + +/*;------------------------------------------------------------------------------ +; The FFT coefficients table can be stored into Flash or RAM. +; The following two lines of code allow selecting the method for coefficients +; storage. +; In the case of choosing coefficients in RAM, you have to: +; 1. Include the file table_fft.h, which is a part of the DSP library, +; in your main file. +; 2. Decomment the line LDR.W pssK, =TableFFT and comment the line +; ADRL pssK, TableFFT_V7 +; 3. Comment all the TableFFT_V7 data. +;------------------------------------------------------------------------------*/ + ADR r3, TableFFT_V7 + /*LDR.W r3, =TableFFT*/ + + +passloop_v7: + STMFD SP!, {r1,r2} + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + SUB r2, r2, #1<<16 + +grouploop_v7: + ADD r2,r2,r0,LSL#(16-2) + +butterloop_v7: + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r11, [r3, #2] + LDRSH r10, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r11 + ADD r14, r10, r11, LSL#1 + MLA r11, r5, r10, r12 + MLA r10, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r9, [r3, #2] + LDRSH r8, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r9 + ADD r14, r8, r9, LSL#1 + MLA r9, r5, r8, r12 + MLA r8, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r7, [r3, #2] + LDRSH r6, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r7 + ADD r14, r6, r7, LSL#1 + MLA r7, r5, r6, r12 + MLA r6, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#(2+14) + ADD r5, r5, r7, ASR#(2+14) + SUB r6, r4, r6, ASR#(1+14) + SUB r7, r5, r7, ASR#(1+14) + + ADD r4, r4, r8, ASR#(2+14) + ADD r5, r5, r9, ASR#(2+14) + SUB r8, r4, r8, ASR#(1+14) + SUB r9, r5, r9, ASR#(1+14) + + ADD r6, r6, r11, ASR#(2+14) + SUB r7, r7, r10, ASR#(2+14) + SUB r11, r6, r11, ASR#(1+14) + ADD r10, r7, r10, ASR#(1+14) + + STRH r5, [r1, #2] + STRH r4, [r1] + ADD r1, r1, r0 + STRH r7, [r1, #2] + STRH r6, [r1] + ADD r1, r1, r0 + STRH r9, [r1, #2] + STRH r8, [r1] + ADD r1, r1, r0 + STRH r10, [r1, #2] + STRH r11, [r1], #4 + SUBS r2,r2, #1<<16 + BGE butterloop_v7 + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + + SUB r2, r2, #1 + MOVS r14, r2, LSL#16 + IT ne + SUBNE r3, r3, r12 + BNE grouploop_v7 + + LDMFD sp!, {r1, r2} + MOV r0,r0,LSL#2 + MOVS r2, r2, LSR#2 + BNE passloop_v7 + LDMFD SP!, {R4-R11, PC} + + +TableFFT_V7: + /*N=16*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + /*N=64*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c + .short 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e + .short 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537 + .short 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21 + .short 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5 + .short 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21 + .short 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + .short 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e + .short 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e + .short 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c + /*N=256*/ + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0x3b1e,0x04b5, 0x3e69,0x0192, 0x3cc8,0x0324 + .short 0x35eb,0x0964, 0x3cc8,0x0324, 0x396b,0x0646 + .short 0x306c,0x0e06, 0x3b1e,0x04b5, 0x35eb,0x0964 + .short 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c + .short 0x24ae,0x1709, 0x37af,0x07d6, 0x2e88,0x0f8d + .short 0x1e7e,0x1b5d, 0x35eb,0x0964, 0x2aaa,0x1294 + .short 0x1824,0x1f8c, 0x341e,0x0af1, 0x26b3,0x1590 + .short 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e + .short 0x0b14,0x2760, 0x306c,0x0e06, 0x1e7e,0x1b5d + .short 0x0471,0x2afb, 0x2e88,0x0f8d, 0x1a46,0x1e2b + .short 0xfdc7,0x2e5a, 0x2c9d,0x1112, 0x15fe,0x20e7 + .short 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e + .short 0xf087,0x3453, 0x28b2,0x1413, 0x0d48,0x2620 + .short 0xea02,0x36e5, 0x26b3,0x1590, 0x08df,0x289a + .short 0xe39c,0x392b, 0x24ae,0x1709, 0x0471,0x2afb + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xd74e,0x3cc5, 0x2093,0x19ef, 0xfb8f,0x2f6c + .short 0xd178,0x3e15, 0x1e7e,0x1b5d, 0xf721,0x3179 + .short 0xcbe2,0x3f0f, 0x1c64,0x1cc6, 0xf2b8,0x3368 + .short 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537 + .short 0xc197,0x3ffb, 0x1824,0x1f8c, 0xea02,0x36e5 + .short 0xbcf0,0x3fec, 0x15fe,0x20e7, 0xe5ba,0x3871 + .short 0xb8a6,0x3f85, 0x13d5,0x223d, 0xe182,0x39db + .short 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21 + .short 0xb140,0x3daf, 0x0f79,0x24da, 0xd94d,0x3c42 + .short 0xae2e,0x3c42, 0x0d48,0x2620, 0xd556,0x3d3f + .short 0xab8e,0x3a82, 0x0b14,0x2760, 0xd178,0x3e15 + .short 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5 + .short 0xa7b1,0x3612, 0x06a9,0x29ce, 0xca15,0x3f4f + .short 0xa678,0x3368, 0x0471,0x2afb, 0xc695,0x3fb1 + .short 0xa5bc,0x3076, 0x0239,0x2c21, 0xc338,0x3fec + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xa5bc,0x29ce, 0xfdc7,0x2e5a, 0xbcf0,0x3fec + .short 0xa678,0x2620, 0xfb8f,0x2f6c, 0xba09,0x3fb1 + .short 0xa7b1,0x223d, 0xf957,0x3076, 0xb74d,0x3f4f + .short 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5 + .short 0xab8e,0x19ef, 0xf4ec,0x3274, 0xb25e,0x3e15 + .short 0xae2e,0x1590, 0xf2b8,0x3368, 0xb02d,0x3d3f + .short 0xb140,0x1112, 0xf087,0x3453, 0xae2e,0x3c42 + .short 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21 + .short 0xb8a6,0x07d6, 0xec2b,0x3612, 0xaac8,0x39db + .short 0xbcf0,0x0324, 0xea02,0x36e5, 0xa963,0x3871 + .short 0xc197,0xfe6e, 0xe7dc,0x37b0, 0xa834,0x36e5 + .short 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537 + .short 0xcbe2,0xf50f, 0xe39c,0x392b, 0xa678,0x3368 + .short 0xd178,0xf073, 0xe182,0x39db, 0xa5ed,0x3179 + .short 0xd74e,0xebed, 0xdf6d,0x3a82, 0xa599,0x2f6c + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + .short 0xe39c,0xe33a, 0xdb52,0x3bb6, 0xa599,0x2afb + .short 0xea02,0xdf19, 0xd94d,0x3c42, 0xa5ed,0x289a + .short 0xf087,0xdb26, 0xd74e,0x3cc5, 0xa678,0x2620 + .short 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e + .short 0xfdc7,0xd3df, 0xd363,0x3daf, 0xa834,0x20e7 + .short 0x0471,0xd094, 0xd178,0x3e15, 0xa963,0x1e2b + .short 0x0b14,0xcd8c, 0xcf94,0x3e72, 0xaac8,0x1b5d + .short 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e + .short 0x1824,0xc850, 0xcbe2,0x3f0f, 0xae2e,0x1590 + .short 0x1e7e,0xc625, 0xca15,0x3f4f, 0xb02d,0x1294 + .short 0x24ae,0xc44a, 0xc851,0x3f85, 0xb25e,0x0f8d + .short 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c + .short 0x306c,0xc18e, 0xc4e2,0x3fd4, 0xb74d,0x0964 + .short 0x35eb,0xc0b1, 0xc338,0x3fec, 0xba09,0x0646 + .short 0x3b1e,0xc02c, 0xc197,0x3ffb, 0xbcf0,0x0324 + + +.end +/******************* (C) COPYRIGHT 2009 STMicroelectronics *****END OF FILE****/ diff --git a/STM32F1/libraries/stm_fft/cr4_fft_64_stm32.asm b/STM32F1/libraries/stm_fft/cr4_fft_64_stm32.asm new file mode 100644 index 0000000..bff4548 --- /dev/null +++ b/STM32F1/libraries/stm_fft/cr4_fft_64_stm32.asm @@ -0,0 +1,249 @@ +/*;******************** (C) COPYRIGHT 2009 STMicroelectronics ******************** +;* File Name : cr4_fft_64_stm32.s +;* Author : MCD Application Team +;* Version : V2.0.0 +;* Date : 04/27/2009 +;* Description : Optimized 64-point radix-4 complex FFT for Cortex-M3 +;******************************************************************************** +;* THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS +;* WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE TIME. +;* AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY DIRECT, +;* INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING FROM THE +;* CONTENT OF SUCH SOFTWARE AND/OR THE USE MADE BY CUSTOMERS OF THE CODING +;* INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. +;*******************************************************************************/ + +.cpu cortex-m3 +.fpu softvfp +.syntax unified +.thumb +.text + +.global cr4_fft_64_stm32 +.extern TableFFT + +.equ NPT, 64 + + +/*;******************************************************************************* +;* Function Name : cr4_fft_64_stm32 +;* Description : complex radix-4 64 points FFT +;* Input : - R0 = pssOUT: Output array . +;* - R1 = pssIN: Input array +;* - R2 = Nbin: =64 number of points, this optimized FFT function +;* can only convert 64 points. +;* Output : None +;* Return : None +;********************************************************************************/ +.thumb_func +cr4_fft_64_stm32: + + STMFD SP!, {R4-R11, LR} + + MOV r12, #0 + MOV r3, r0 + MOV r0,#0 + +preloop_v7: + ADD r14, r1, r12, LSR#26 + + LDRSH r5, [r14, #2] + LDRSH r4, [r14],#NPT + LDRSH r9, [r14, #2] + LDRSH r8, [r14],#NPT + LDRSH r7, [r14, #2] + LDRSH r6, [r14],#NPT + LDRSH r11, [r14, #2] + LDRSH r10, [r14],#NPT + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#2 + ADD r5, r5, r7, ASR#2 + SUB r6, r4, r6, ASR#1 + SUB r7, r5, r7, ASR#1 + + ADD r4, r4, r8, ASR#2 + ADD r5, r5, r9, ASR#2 + SUB r8, r4, r8, ASR#1 + SUB r9, r5, r9, ASR#1 + + ADD r6, r6, r11, ASR#2 + SUB r7, r7, r10, ASR#2 + SUB r11, r6, r11, ASR#1 + ADD r10, r7, r10, ASR#1 + + STRH r5, [r3, #2] + STRH r4, [r3], #4 + STRH r7, [r3, #2] + STRH r6, [r3], #4 + STRH r9, [r3, #2] + STRH r8, [r3], #4 + STRH r10, [r3, #2] + STRH r11, [r3], #4 + + ADD r0, r0, #1 + + RBIT r12, r0 + + CMP r0,#16 + BNE preloop_v7 + + SUB r1, r3, r2, LSL#2 + MOV r0, #16 + MOVS r2, r2, LSR#4 + +/*;------------------------------------------------------------------------------ +; The FFT coefficients table can be stored into Flash or RAM. +; The following two lines of code allow selecting the method for coefficients +; storage. +; In the case of choosing coefficients in RAM, you have to: +; 1. Include the file table_fft.h, which is a part of the DSP library, +; in your main file. +; 2. Decomment the line LDR.W pssK, =TableFFT and comment the line +; ADRL pssK, TableFFT_V7 +; 3. Comment all the TableFFT_V7 data. +;------------------------------------------------------------------------------*/ + ADR r3, TableFFT_V7 + /*LDR.W r3, =TableFFT*/ + + +passloop_v7: + STMFD SP!, {r1,r2} + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + SUB r2, r2, #1<<16 + +grouploop_v7: + ADD r2,r2,r0,LSL#(16-2) + +butterloop_v7: + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r11, [r3, #2] + LDRSH r10, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r11 + ADD r14, r10, r11, LSL#1 + MLA r11, r5, r10, r12 + MLA r10, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r9, [r3, #2] + LDRSH r8, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r9 + ADD r14, r8, r9, LSL#1 + MLA r9, r5, r8, r12 + MLA r8, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + SUB r1, r1, r0 + + LDRSH r7, [r3, #2] + LDRSH r6, [r3] + ADD r3, r3, #4 + + SUB r14, r5, r4 + MUL r12, r14, r7 + ADD r14, r6, r7, LSL#1 + MLA r7, r5, r6, r12 + MLA r6, r4, r14, r12 + + LDRSH r5, [r1, #2] + LDRSH r4, [r1] + + ADD r8, r8, r10 + ADD r9, r9, r11 + SUB r10, r8, r10, LSL#1 + SUB r11, r9, r11, LSL#1 + + MOV r4, r4, ASR#2 + MOV r5, r5, ASR#2 + ADD r4, r4, r6, ASR#(2+14) + ADD r5, r5, r7, ASR#(2+14) + SUB r6, r4, r6, ASR#(1+14) + SUB r7, r5, r7, ASR#(1+14) + + ADD r4, r4, r8, ASR#(2+14) + ADD r5, r5, r9, ASR#(2+14) + SUB r8, r4, r8, ASR#(1+14) + SUB r9, r5, r9, ASR#(1+14) + + ADD r6, r6, r11, ASR#(2+14) + SUB r7, r7, r10, ASR#(2+14) + SUB r11, r6, r11, ASR#(1+14) + ADD r10, r7, r10, ASR#(1+14) + + STRH r5, [r1, #2] + STRH r4, [r1] + ADD r1, r1, r0 + STRH r7, [r1, #2] + STRH r6, [r1] + ADD r1, r1, r0 + STRH r9, [r1, #2] + STRH r8, [r1] + ADD r1, r1, r0 + STRH r10, [r1, #2] + STRH r11, [r1], #4 + SUBS r2,r2, #1<<16 + BGE butterloop_v7 + ADD r12, r0, r0, LSL#1 + ADD r1, r1, r12 + + SUB r2, r2, #1 + MOVS r14, r2, LSL#16 + IT ne + SUBNE r3, r3, r12 + BNE grouploop_v7 + + LDMFD sp!, {r1, r2} + MOV r0,r0,LSL#2 + MOVS r2, r2, LSR#2 + BNE passloop_v7 + LDMFD SP!, {R4-R11, PC} + + +TableFFT_V7: + + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + + .short 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000 + .short 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c + .short 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e + .short 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e + .short 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41 + .short 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537 + .short 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21 + .short 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5 + .short 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000 + .short 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5 + .short 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21 + .short 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537 + .short 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41 + .short 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e + .short 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e + .short 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c + + +.end +/******************* (C) COPYRIGHT 2009 STMicroelectronics *****END OF FILE****/ diff --git a/STM32F1/libraries/stm_fft/cr4_fft_stm32.h b/STM32F1/libraries/stm_fft/cr4_fft_stm32.h new file mode 100644 index 0000000..a899430 --- /dev/null +++ b/STM32F1/libraries/stm_fft/cr4_fft_stm32.h @@ -0,0 +1,39 @@ +/* + +x[N] be the time signal samples. To use the FFT functions of the DSP library, the +following conditions must be satisfied: +? All the signal samples must be 32-bit data containing the 16-bit real part followed by the +16-bit imaginary part (in the little Endian order: imaginary_real). + + +*/ + +#ifndef __STM32F10x_DSP_H +#define __STM32F10x_DSP_H +/* + * The assembly files can be modified to use a table in RAM rather than ROM. + * Check the assembly files comments. + * + * #include "table_fft.h" + */ + + +extern "C" { + +/* Radix-4 complex FFT for STM32, in assembly */ +/* 16 points*/ +void cr4_fft_16_stm32(void *pssOUT, void *pssIN, uint16_t Nbin); + +/* 64 points*/ +void cr4_fft_64_stm32(void *pssOUT, void *pssIN, uint16_t Nbin); + +/* 256 points */ +void cr4_fft_256_stm32(void *pssOUT, void *pssIN, uint16_t Nbin); + +/* 1024 points */ +void cr4_fft_1024_stm32(void *pssOUT, void *pssIN, uint16_t Nbin); + + +} + +#endif /* __STM32F10x_DSP_H */ diff --git a/STM32F1/libraries/stm_fft/table_fft.h b/STM32F1/libraries/stm_fft/table_fft.h new file mode 100644 index 0000000..27d3d39 --- /dev/null +++ b/STM32F1/libraries/stm_fft/table_fft.h @@ -0,0 +1,377 @@ +/** + ****************************************************************************** + * @file STM32F10x_DSP_Lib/inc/table_fft.h + * @author MCD Application Team + * @version V2.0.0 + * @date 04/27/2009 + * @brief Contains the coefficients required for FFT computation. + ****************************************************************************** + * @copy + * + * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS + * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE + * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY + * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING + * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE + * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS. + * + *

© COPYRIGHT 2009 STMicroelectronics

+ */ + + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __TABLE_FFT_H +#define __TABLE_FFT_H + +/* Includes ------------------------------------------------------------------*/ + +/* Exported types ------------------------------------------------------------*/ +/* Exported constants --------------------------------------------------------*/ +uint16_t TableFFT[]= {0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000, + 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41, + 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000, + 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41, + 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000, /* N=64 */ + 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c, + 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e, + 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e, + 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41, + 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537, + 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21, + 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5, + 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000, + 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5, + 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21, + 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537, + 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41, + 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e, + 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e, + 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c, + 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000, /* N=256 */ + 0x3b1e,0x04b5, 0x3e69,0x0192, 0x3cc8,0x0324, + 0x35eb,0x0964, 0x3cc8,0x0324, 0x396b,0x0646, + 0x306c,0x0e06, 0x3b1e,0x04b5, 0x35eb,0x0964, + 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c, + 0x24ae,0x1709, 0x37af,0x07d6, 0x2e88,0x0f8d, + 0x1e7e,0x1b5d, 0x35eb,0x0964, 0x2aaa,0x1294, + 0x1824,0x1f8c, 0x341e,0x0af1, 0x26b3,0x1590, + 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e, + 0x0b14,0x2760, 0x306c,0x0e06, 0x1e7e,0x1b5d, + 0x0471,0x2afb, 0x2e88,0x0f8d, 0x1a46,0x1e2b, + 0xfdc7,0x2e5a, 0x2c9d,0x1112, 0x15fe,0x20e7, + 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e, + 0xf087,0x3453, 0x28b2,0x1413, 0x0d48,0x2620, + 0xea02,0x36e5, 0x26b3,0x1590, 0x08df,0x289a, + 0xe39c,0x392b, 0x24ae,0x1709, 0x0471,0x2afb, + 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41, + 0xd74e,0x3cc5, 0x2093,0x19ef, 0xfb8f,0x2f6c, + 0xd178,0x3e15, 0x1e7e,0x1b5d, 0xf721,0x3179, + 0xcbe2,0x3f0f, 0x1c64,0x1cc6, 0xf2b8,0x3368, + 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537, + 0xc197,0x3ffb, 0x1824,0x1f8c, 0xea02,0x36e5, + 0xbcf0,0x3fec, 0x15fe,0x20e7, 0xe5ba,0x3871, + 0xb8a6,0x3f85, 0x13d5,0x223d, 0xe182,0x39db, + 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21, + 0xb140,0x3daf, 0x0f79,0x24da, 0xd94d,0x3c42, + 0xae2e,0x3c42, 0x0d48,0x2620, 0xd556,0x3d3f, + 0xab8e,0x3a82, 0x0b14,0x2760, 0xd178,0x3e15, + 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5, + 0xa7b1,0x3612, 0x06a9,0x29ce, 0xca15,0x3f4f, + 0xa678,0x3368, 0x0471,0x2afb, 0xc695,0x3fb1, + 0xa5bc,0x3076, 0x0239,0x2c21, 0xc338,0x3fec, + 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000, + 0xa5bc,0x29ce, 0xfdc7,0x2e5a, 0xbcf0,0x3fec, + 0xa678,0x2620, 0xfb8f,0x2f6c, 0xba09,0x3fb1, + 0xa7b1,0x223d, 0xf957,0x3076, 0xb74d,0x3f4f, + 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5, + 0xab8e,0x19ef, 0xf4ec,0x3274, 0xb25e,0x3e15, + 0xae2e,0x1590, 0xf2b8,0x3368, 0xb02d,0x3d3f, + 0xb140,0x1112, 0xf087,0x3453, 0xae2e,0x3c42, + 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21, + 0xb8a6,0x07d6, 0xec2b,0x3612, 0xaac8,0x39db, + 0xbcf0,0x0324, 0xea02,0x36e5, 0xa963,0x3871, + 0xc197,0xfe6e, 0xe7dc,0x37b0, 0xa834,0x36e5, + 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537, + 0xcbe2,0xf50f, 0xe39c,0x392b, 0xa678,0x3368, + 0xd178,0xf073, 0xe182,0x39db, 0xa5ed,0x3179, + 0xd74e,0xebed, 0xdf6d,0x3a82, 0xa599,0x2f6c, + 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41, + 0xe39c,0xe33a, 0xdb52,0x3bb6, 0xa599,0x2afb, + 0xea02,0xdf19, 0xd94d,0x3c42, 0xa5ed,0x289a, + 0xf087,0xdb26, 0xd74e,0x3cc5, 0xa678,0x2620, + 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e, + 0xfdc7,0xd3df, 0xd363,0x3daf, 0xa834,0x20e7, + 0x0471,0xd094, 0xd178,0x3e15, 0xa963,0x1e2b, + 0x0b14,0xcd8c, 0xcf94,0x3e72, 0xaac8,0x1b5d, + 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e, + 0x1824,0xc850, 0xcbe2,0x3f0f, 0xae2e,0x1590, + 0x1e7e,0xc625, 0xca15,0x3f4f, 0xb02d,0x1294, + 0x24ae,0xc44a, 0xc851,0x3f85, 0xb25e,0x0f8d, + 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c, + 0x306c,0xc18e, 0xc4e2,0x3fd4, 0xb74d,0x0964, + 0x35eb,0xc0b1, 0xc338,0x3fec, 0xba09,0x0646, + 0x3b1e,0xc02c, 0xc197,0x3ffb, 0xbcf0,0x0324, + 0x4000,0x0000, 0x4000,0x0000, 0x4000,0x0000, /* N=1024*/ + 0x3ed0,0x012e, 0x3f9b,0x0065, 0x3f36,0x00c9, + 0x3d9a,0x025b, 0x3f36,0x00c9, 0x3e69,0x0192, + 0x3c5f,0x0388, 0x3ed0,0x012e, 0x3d9a,0x025b, + 0x3b1e,0x04b5, 0x3e69,0x0192, 0x3cc8,0x0324, + 0x39d9,0x05e2, 0x3e02,0x01f7, 0x3bf4,0x03ed, + 0x388e,0x070e, 0x3d9a,0x025b, 0x3b1e,0x04b5, + 0x373f,0x0839, 0x3d31,0x02c0, 0x3a46,0x057e, + 0x35eb,0x0964, 0x3cc8,0x0324, 0x396b,0x0646, + 0x3492,0x0a8e, 0x3c5f,0x0388, 0x388e,0x070e, + 0x3334,0x0bb7, 0x3bf4,0x03ed, 0x37af,0x07d6, + 0x31d2,0x0cdf, 0x3b8a,0x0451, 0x36ce,0x089d, + 0x306c,0x0e06, 0x3b1e,0x04b5, 0x35eb,0x0964, + 0x2f02,0x0f2b, 0x3ab2,0x051a, 0x3505,0x0a2b, + 0x2d93,0x1050, 0x3a46,0x057e, 0x341e,0x0af1, + 0x2c21,0x1173, 0x39d9,0x05e2, 0x3334,0x0bb7, + 0x2aaa,0x1294, 0x396b,0x0646, 0x3249,0x0c7c, + 0x2931,0x13b4, 0x38fd,0x06aa, 0x315b,0x0d41, + 0x27b3,0x14d2, 0x388e,0x070e, 0x306c,0x0e06, + 0x2632,0x15ee, 0x381f,0x0772, 0x2f7b,0x0eca, + 0x24ae,0x1709, 0x37af,0x07d6, 0x2e88,0x0f8d, + 0x2326,0x1821, 0x373f,0x0839, 0x2d93,0x1050, + 0x219c,0x1937, 0x36ce,0x089d, 0x2c9d,0x1112, + 0x200e,0x1a4b, 0x365d,0x0901, 0x2ba4,0x11d3, + 0x1e7e,0x1b5d, 0x35eb,0x0964, 0x2aaa,0x1294, + 0x1ceb,0x1c6c, 0x3578,0x09c7, 0x29af,0x1354, + 0x1b56,0x1d79, 0x3505,0x0a2b, 0x28b2,0x1413, + 0x19be,0x1e84, 0x3492,0x0a8e, 0x27b3,0x14d2, + 0x1824,0x1f8c, 0x341e,0x0af1, 0x26b3,0x1590, + 0x1688,0x2091, 0x33a9,0x0b54, 0x25b1,0x164c, + 0x14ea,0x2193, 0x3334,0x0bb7, 0x24ae,0x1709, + 0x134a,0x2292, 0x32bf,0x0c1a, 0x23a9,0x17c4, + 0x11a8,0x238e, 0x3249,0x0c7c, 0x22a3,0x187e, + 0x1005,0x2488, 0x31d2,0x0cdf, 0x219c,0x1937, + 0x0e61,0x257e, 0x315b,0x0d41, 0x2093,0x19ef, + 0x0cbb,0x2671, 0x30e4,0x0da4, 0x1f89,0x1aa7, + 0x0b14,0x2760, 0x306c,0x0e06, 0x1e7e,0x1b5d, + 0x096d,0x284c, 0x2ff4,0x0e68, 0x1d72,0x1c12, + 0x07c4,0x2935, 0x2f7b,0x0eca, 0x1c64,0x1cc6, + 0x061b,0x2a1a, 0x2f02,0x0f2b, 0x1b56,0x1d79, + 0x0471,0x2afb, 0x2e88,0x0f8d, 0x1a46,0x1e2b, + 0x02c7,0x2bd8, 0x2e0e,0x0fee, 0x1935,0x1edc, + 0x011c,0x2cb2, 0x2d93,0x1050, 0x1824,0x1f8c, + 0xff72,0x2d88, 0x2d18,0x10b1, 0x1711,0x203a, + 0xfdc7,0x2e5a, 0x2c9d,0x1112, 0x15fe,0x20e7, + 0xfc1d,0x2f28, 0x2c21,0x1173, 0x14ea,0x2193, + 0xfa73,0x2ff2, 0x2ba4,0x11d3, 0x13d5,0x223d, + 0xf8ca,0x30b8, 0x2b28,0x1234, 0x12bf,0x22e7, + 0xf721,0x3179, 0x2aaa,0x1294, 0x11a8,0x238e, + 0xf579,0x3236, 0x2a2d,0x12f4, 0x1091,0x2435, + 0xf3d2,0x32ef, 0x29af,0x1354, 0x0f79,0x24da, + 0xf22c,0x33a3, 0x2931,0x13b4, 0x0e61,0x257e, + 0xf087,0x3453, 0x28b2,0x1413, 0x0d48,0x2620, + 0xeee3,0x34ff, 0x2833,0x1473, 0x0c2e,0x26c1, + 0xed41,0x35a5, 0x27b3,0x14d2, 0x0b14,0x2760, + 0xeba1,0x3648, 0x2733,0x1531, 0x09fa,0x27fe, + 0xea02,0x36e5, 0x26b3,0x1590, 0x08df,0x289a, + 0xe865,0x377e, 0x2632,0x15ee, 0x07c4,0x2935, + 0xe6cb,0x3812, 0x25b1,0x164c, 0x06a9,0x29ce, + 0xe532,0x38a1, 0x252f,0x16ab, 0x058d,0x2a65, + 0xe39c,0x392b, 0x24ae,0x1709, 0x0471,0x2afb, + 0xe208,0x39b0, 0x242b,0x1766, 0x0355,0x2b8f, + 0xe077,0x3a30, 0x23a9,0x17c4, 0x0239,0x2c21, + 0xdee9,0x3aab, 0x2326,0x1821, 0x011c,0x2cb2, + 0xdd5d,0x3b21, 0x22a3,0x187e, 0x0000,0x2d41, + 0xdbd5,0x3b92, 0x221f,0x18db, 0xfee4,0x2dcf, + 0xda4f,0x3bfd, 0x219c,0x1937, 0xfdc7,0x2e5a, + 0xd8cd,0x3c64, 0x2117,0x1993, 0xfcab,0x2ee4, + 0xd74e,0x3cc5, 0x2093,0x19ef, 0xfb8f,0x2f6c, + 0xd5d3,0x3d21, 0x200e,0x1a4b, 0xfa73,0x2ff2, + 0xd45c,0x3d78, 0x1f89,0x1aa7, 0xf957,0x3076, + 0xd2e8,0x3dc9, 0x1f04,0x1b02, 0xf83c,0x30f9, + 0xd178,0x3e15, 0x1e7e,0x1b5d, 0xf721,0x3179, + 0xd00c,0x3e5c, 0x1df8,0x1bb8, 0xf606,0x31f8, + 0xcea5,0x3e9d, 0x1d72,0x1c12, 0xf4ec,0x3274, + 0xcd41,0x3ed8, 0x1ceb,0x1c6c, 0xf3d2,0x32ef, + 0xcbe2,0x3f0f, 0x1c64,0x1cc6, 0xf2b8,0x3368, + 0xca88,0x3f40, 0x1bdd,0x1d20, 0xf19f,0x33df, + 0xc932,0x3f6b, 0x1b56,0x1d79, 0xf087,0x3453, + 0xc7e1,0x3f91, 0x1ace,0x1dd3, 0xef6f,0x34c6, + 0xc695,0x3fb1, 0x1a46,0x1e2b, 0xee58,0x3537, + 0xc54e,0x3fcc, 0x19be,0x1e84, 0xed41,0x35a5, + 0xc40c,0x3fe1, 0x1935,0x1edc, 0xec2b,0x3612, + 0xc2cf,0x3ff1, 0x18ad,0x1f34, 0xeb16,0x367d, + 0xc197,0x3ffb, 0x1824,0x1f8c, 0xea02,0x36e5, + 0xc065,0x4000, 0x179b,0x1fe3, 0xe8ef,0x374b, + 0xbf38,0x3fff, 0x1711,0x203a, 0xe7dc,0x37b0, + 0xbe11,0x3ff8, 0x1688,0x2091, 0xe6cb,0x3812, + 0xbcf0,0x3fec, 0x15fe,0x20e7, 0xe5ba,0x3871, + 0xbbd4,0x3fdb, 0x1574,0x213d, 0xe4aa,0x38cf, + 0xbabf,0x3fc4, 0x14ea,0x2193, 0xe39c,0x392b, + 0xb9af,0x3fa7, 0x145f,0x21e8, 0xe28e,0x3984, + 0xb8a6,0x3f85, 0x13d5,0x223d, 0xe182,0x39db, + 0xb7a2,0x3f5d, 0x134a,0x2292, 0xe077,0x3a30, + 0xb6a5,0x3f30, 0x12bf,0x22e7, 0xdf6d,0x3a82, + 0xb5af,0x3efd, 0x1234,0x233b, 0xde64,0x3ad3, + 0xb4be,0x3ec5, 0x11a8,0x238e, 0xdd5d,0x3b21, + 0xb3d5,0x3e88, 0x111d,0x23e2, 0xdc57,0x3b6d, + 0xb2f2,0x3e45, 0x1091,0x2435, 0xdb52,0x3bb6, + 0xb215,0x3dfc, 0x1005,0x2488, 0xda4f,0x3bfd, + 0xb140,0x3daf, 0x0f79,0x24da, 0xd94d,0x3c42, + 0xb071,0x3d5b, 0x0eed,0x252c, 0xd84d,0x3c85, + 0xafa9,0x3d03, 0x0e61,0x257e, 0xd74e,0x3cc5, + 0xaee8,0x3ca5, 0x0dd4,0x25cf, 0xd651,0x3d03, + 0xae2e,0x3c42, 0x0d48,0x2620, 0xd556,0x3d3f, + 0xad7b,0x3bda, 0x0cbb,0x2671, 0xd45c,0x3d78, + 0xacd0,0x3b6d, 0x0c2e,0x26c1, 0xd363,0x3daf, + 0xac2b,0x3afa, 0x0ba1,0x2711, 0xd26d,0x3de3, + 0xab8e,0x3a82, 0x0b14,0x2760, 0xd178,0x3e15, + 0xaaf8,0x3a06, 0x0a87,0x27af, 0xd085,0x3e45, + 0xaa6a,0x3984, 0x09fa,0x27fe, 0xcf94,0x3e72, + 0xa9e3,0x38fd, 0x096d,0x284c, 0xcea5,0x3e9d, + 0xa963,0x3871, 0x08df,0x289a, 0xcdb7,0x3ec5, + 0xa8eb,0x37e1, 0x0852,0x28e7, 0xcccc,0x3eeb, + 0xa87b,0x374b, 0x07c4,0x2935, 0xcbe2,0x3f0f, + 0xa812,0x36b1, 0x0736,0x2981, 0xcafb,0x3f30, + 0xa7b1,0x3612, 0x06a9,0x29ce, 0xca15,0x3f4f, + 0xa757,0x356e, 0x061b,0x2a1a, 0xc932,0x3f6b, + 0xa705,0x34c6, 0x058d,0x2a65, 0xc851,0x3f85, + 0xa6bb,0x3419, 0x04ff,0x2ab0, 0xc772,0x3f9c, + 0xa678,0x3368, 0x0471,0x2afb, 0xc695,0x3fb1, + 0xa63e,0x32b2, 0x03e3,0x2b45, 0xc5ba,0x3fc4, + 0xa60b,0x31f8, 0x0355,0x2b8f, 0xc4e2,0x3fd4, + 0xa5e0,0x3139, 0x02c7,0x2bd8, 0xc40c,0x3fe1, + 0xa5bc,0x3076, 0x0239,0x2c21, 0xc338,0x3fec, + 0xa5a1,0x2faf, 0x01aa,0x2c6a, 0xc266,0x3ff5, + 0xa58d,0x2ee4, 0x011c,0x2cb2, 0xc197,0x3ffb, + 0xa581,0x2e15, 0x008e,0x2cfa, 0xc0ca,0x3fff, + 0xa57e,0x2d41, 0x0000,0x2d41, 0xc000,0x4000, + 0xa581,0x2c6a, 0xff72,0x2d88, 0xbf38,0x3fff, + 0xa58d,0x2b8f, 0xfee4,0x2dcf, 0xbe73,0x3ffb, + 0xa5a1,0x2ab0, 0xfe56,0x2e15, 0xbdb0,0x3ff5, + 0xa5bc,0x29ce, 0xfdc7,0x2e5a, 0xbcf0,0x3fec, + 0xa5e0,0x28e7, 0xfd39,0x2e9f, 0xbc32,0x3fe1, + 0xa60b,0x27fe, 0xfcab,0x2ee4, 0xbb77,0x3fd4, + 0xa63e,0x2711, 0xfc1d,0x2f28, 0xbabf,0x3fc4, + 0xa678,0x2620, 0xfb8f,0x2f6c, 0xba09,0x3fb1, + 0xa6bb,0x252c, 0xfb01,0x2faf, 0xb956,0x3f9c, + 0xa705,0x2435, 0xfa73,0x2ff2, 0xb8a6,0x3f85, + 0xa757,0x233b, 0xf9e5,0x3034, 0xb7f8,0x3f6b, + 0xa7b1,0x223d, 0xf957,0x3076, 0xb74d,0x3f4f, + 0xa812,0x213d, 0xf8ca,0x30b8, 0xb6a5,0x3f30, + 0xa87b,0x203a, 0xf83c,0x30f9, 0xb600,0x3f0f, + 0xa8eb,0x1f34, 0xf7ae,0x3139, 0xb55e,0x3eeb, + 0xa963,0x1e2b, 0xf721,0x3179, 0xb4be,0x3ec5, + 0xa9e3,0x1d20, 0xf693,0x31b9, 0xb422,0x3e9d, + 0xaa6a,0x1c12, 0xf606,0x31f8, 0xb388,0x3e72, + 0xaaf8,0x1b02, 0xf579,0x3236, 0xb2f2,0x3e45, + 0xab8e,0x19ef, 0xf4ec,0x3274, 0xb25e,0x3e15, + 0xac2b,0x18db, 0xf45f,0x32b2, 0xb1cd,0x3de3, + 0xacd0,0x17c4, 0xf3d2,0x32ef, 0xb140,0x3daf, + 0xad7b,0x16ab, 0xf345,0x332c, 0xb0b5,0x3d78, + 0xae2e,0x1590, 0xf2b8,0x3368, 0xb02d,0x3d3f, + 0xaee8,0x1473, 0xf22c,0x33a3, 0xafa9,0x3d03, + 0xafa9,0x1354, 0xf19f,0x33df, 0xaf28,0x3cc5, + 0xb071,0x1234, 0xf113,0x3419, 0xaea9,0x3c85, + 0xb140,0x1112, 0xf087,0x3453, 0xae2e,0x3c42, + 0xb215,0x0fee, 0xeffb,0x348d, 0xadb6,0x3bfd, + 0xb2f2,0x0eca, 0xef6f,0x34c6, 0xad41,0x3bb6, + 0xb3d5,0x0da4, 0xeee3,0x34ff, 0xacd0,0x3b6d, + 0xb4be,0x0c7c, 0xee58,0x3537, 0xac61,0x3b21, + 0xb5af,0x0b54, 0xedcc,0x356e, 0xabf6,0x3ad3, + 0xb6a5,0x0a2b, 0xed41,0x35a5, 0xab8e,0x3a82, + 0xb7a2,0x0901, 0xecb6,0x35dc, 0xab29,0x3a30, + 0xb8a6,0x07d6, 0xec2b,0x3612, 0xaac8,0x39db, + 0xb9af,0x06aa, 0xeba1,0x3648, 0xaa6a,0x3984, + 0xbabf,0x057e, 0xeb16,0x367d, 0xaa0f,0x392b, + 0xbbd4,0x0451, 0xea8c,0x36b1, 0xa9b7,0x38cf, + 0xbcf0,0x0324, 0xea02,0x36e5, 0xa963,0x3871, + 0xbe11,0x01f7, 0xe978,0x3718, 0xa912,0x3812, + 0xbf38,0x00c9, 0xe8ef,0x374b, 0xa8c5,0x37b0, + 0xc065,0xff9b, 0xe865,0x377e, 0xa87b,0x374b, + 0xc197,0xfe6e, 0xe7dc,0x37b0, 0xa834,0x36e5, + 0xc2cf,0xfd40, 0xe753,0x37e1, 0xa7f1,0x367d, + 0xc40c,0xfc13, 0xe6cb,0x3812, 0xa7b1,0x3612, + 0xc54e,0xfae6, 0xe642,0x3842, 0xa774,0x35a5, + 0xc695,0xf9ba, 0xe5ba,0x3871, 0xa73b,0x3537, + 0xc7e1,0xf88e, 0xe532,0x38a1, 0xa705,0x34c6, + 0xc932,0xf763, 0xe4aa,0x38cf, 0xa6d3,0x3453, + 0xca88,0xf639, 0xe423,0x38fd, 0xa6a4,0x33df, + 0xcbe2,0xf50f, 0xe39c,0x392b, 0xa678,0x3368, + 0xcd41,0xf3e6, 0xe315,0x3958, 0xa650,0x32ef, + 0xcea5,0xf2bf, 0xe28e,0x3984, 0xa62c,0x3274, + 0xd00c,0xf198, 0xe208,0x39b0, 0xa60b,0x31f8, + 0xd178,0xf073, 0xe182,0x39db, 0xa5ed,0x3179, + 0xd2e8,0xef4f, 0xe0fc,0x3a06, 0xa5d3,0x30f9, + 0xd45c,0xee2d, 0xe077,0x3a30, 0xa5bc,0x3076, + 0xd5d3,0xed0c, 0xdff2,0x3a59, 0xa5a9,0x2ff2, + 0xd74e,0xebed, 0xdf6d,0x3a82, 0xa599,0x2f6c, + 0xd8cd,0xeacf, 0xdee9,0x3aab, 0xa58d,0x2ee4, + 0xda4f,0xe9b4, 0xde64,0x3ad3, 0xa585,0x2e5a, + 0xdbd5,0xe89a, 0xdde1,0x3afa, 0xa57f,0x2dcf, + 0xdd5d,0xe782, 0xdd5d,0x3b21, 0xa57e,0x2d41, + 0xdee9,0xe66d, 0xdcda,0x3b47, 0xa57f,0x2cb2, + 0xe077,0xe559, 0xdc57,0x3b6d, 0xa585,0x2c21, + 0xe208,0xe448, 0xdbd5,0x3b92, 0xa58d,0x2b8f, + 0xe39c,0xe33a, 0xdb52,0x3bb6, 0xa599,0x2afb, + 0xe532,0xe22d, 0xdad1,0x3bda, 0xa5a9,0x2a65, + 0xe6cb,0xe124, 0xda4f,0x3bfd, 0xa5bc,0x29ce, + 0xe865,0xe01d, 0xd9ce,0x3c20, 0xa5d3,0x2935, + 0xea02,0xdf19, 0xd94d,0x3c42, 0xa5ed,0x289a, + 0xeba1,0xde18, 0xd8cd,0x3c64, 0xa60b,0x27fe, + 0xed41,0xdd19, 0xd84d,0x3c85, 0xa62c,0x2760, + 0xeee3,0xdc1e, 0xd7cd,0x3ca5, 0xa650,0x26c1, + 0xf087,0xdb26, 0xd74e,0x3cc5, 0xa678,0x2620, + 0xf22c,0xda31, 0xd6cf,0x3ce4, 0xa6a4,0x257e, + 0xf3d2,0xd93f, 0xd651,0x3d03, 0xa6d3,0x24da, + 0xf579,0xd851, 0xd5d3,0x3d21, 0xa705,0x2435, + 0xf721,0xd766, 0xd556,0x3d3f, 0xa73b,0x238e, + 0xf8ca,0xd67f, 0xd4d8,0x3d5b, 0xa774,0x22e7, + 0xfa73,0xd59b, 0xd45c,0x3d78, 0xa7b1,0x223d, + 0xfc1d,0xd4bb, 0xd3df,0x3d93, 0xa7f1,0x2193, + 0xfdc7,0xd3df, 0xd363,0x3daf, 0xa834,0x20e7, + 0xff72,0xd306, 0xd2e8,0x3dc9, 0xa87b,0x203a, + 0x011c,0xd231, 0xd26d,0x3de3, 0xa8c5,0x1f8c, + 0x02c7,0xd161, 0xd1f2,0x3dfc, 0xa912,0x1edc, + 0x0471,0xd094, 0xd178,0x3e15, 0xa963,0x1e2b, + 0x061b,0xcfcc, 0xd0fe,0x3e2d, 0xa9b7,0x1d79, + 0x07c4,0xcf07, 0xd085,0x3e45, 0xaa0f,0x1cc6, + 0x096d,0xce47, 0xd00c,0x3e5c, 0xaa6a,0x1c12, + 0x0b14,0xcd8c, 0xcf94,0x3e72, 0xaac8,0x1b5d, + 0x0cbb,0xccd4, 0xcf1c,0x3e88, 0xab29,0x1aa7, + 0x0e61,0xcc21, 0xcea5,0x3e9d, 0xab8e,0x19ef, + 0x1005,0xcb73, 0xce2e,0x3eb1, 0xabf6,0x1937, + 0x11a8,0xcac9, 0xcdb7,0x3ec5, 0xac61,0x187e, + 0x134a,0xca24, 0xcd41,0x3ed8, 0xacd0,0x17c4, + 0x14ea,0xc983, 0xcccc,0x3eeb, 0xad41,0x1709, + 0x1688,0xc8e8, 0xcc57,0x3efd, 0xadb6,0x164c, + 0x1824,0xc850, 0xcbe2,0x3f0f, 0xae2e,0x1590, + 0x19be,0xc7be, 0xcb6e,0x3f20, 0xaea9,0x14d2, + 0x1b56,0xc731, 0xcafb,0x3f30, 0xaf28,0x1413, + 0x1ceb,0xc6a8, 0xca88,0x3f40, 0xafa9,0x1354, + 0x1e7e,0xc625, 0xca15,0x3f4f, 0xb02d,0x1294, + 0x200e,0xc5a7, 0xc9a3,0x3f5d, 0xb0b5,0x11d3, + 0x219c,0xc52d, 0xc932,0x3f6b, 0xb140,0x1112, + 0x2326,0xc4b9, 0xc8c1,0x3f78, 0xb1cd,0x1050, + 0x24ae,0xc44a, 0xc851,0x3f85, 0xb25e,0x0f8d, + 0x2632,0xc3e0, 0xc7e1,0x3f91, 0xb2f2,0x0eca, + 0x27b3,0xc37b, 0xc772,0x3f9c, 0xb388,0x0e06, + 0x2931,0xc31c, 0xc703,0x3fa7, 0xb422,0x0d41, + 0x2aaa,0xc2c1, 0xc695,0x3fb1, 0xb4be,0x0c7c, + 0x2c21,0xc26d, 0xc627,0x3fbb, 0xb55e,0x0bb7, + 0x2d93,0xc21d, 0xc5ba,0x3fc4, 0xb600,0x0af1, + 0x2f02,0xc1d3, 0xc54e,0x3fcc, 0xb6a5,0x0a2b, + 0x306c,0xc18e, 0xc4e2,0x3fd4, 0xb74d,0x0964, + 0x31d2,0xc14f, 0xc476,0x3fdb, 0xb7f8,0x089d, + 0x3334,0xc115, 0xc40c,0x3fe1, 0xb8a6,0x07d6, + 0x3492,0xc0e0, 0xc3a1,0x3fe7, 0xb956,0x070e, + 0x35eb,0xc0b1, 0xc338,0x3fec, 0xba09,0x0646, + 0x373f,0xc088, 0xc2cf,0x3ff1, 0xbabf,0x057e, + 0x388e,0xc064, 0xc266,0x3ff5, 0xbb77,0x04b5, + 0x39d9,0xc045, 0xc1fe,0x3ff8, 0xbc32,0x03ed, + 0x3b1e,0xc02c, 0xc197,0x3ffb, 0xbcf0,0x0324, + 0x3c5f,0xc019, 0xc130,0x3ffd, 0xbdb0,0x025b, + 0x3d9a,0xc00b, 0xc0ca,0x3fff, 0xbe73,0x0192, + 0x3ed0,0xc003, 0xc065,0x4000, 0xbf38,0x00c9 +}; + +/* Exported macro ------------------------------------------------------------*/ +/* Exported functions ------------------------------------------------------- */ + +#endif /* __TABLE_FFT_H */ + +/******************* (C) COPYRIGHT 2009 STMicroelectronics *****END OF FILE****/ From e3510b76eb0680cca87df4c9bfeef096300b61a7 Mon Sep 17 00:00:00 2001 From: victorpv Date: Wed, 28 Mar 2018 22:27:39 -0500 Subject: [PATCH 4/6] Revert "SDIO updates" This reverts commit 7dce451ebc9ec79dd2157913202fa0c03daf74ae. --- STM32F1/cores/maple/sdio.cpp | 51 +-- STM32F1/libraries/SDIO/SdioF1.cpp | 571 +++++++----------------------- STM32F1/libraries/SDIO/SdioF1.h | 4 +- 3 files changed, 144 insertions(+), 482 deletions(-) diff --git a/STM32F1/cores/maple/sdio.cpp b/STM32F1/cores/maple/sdio.cpp index 2edf501..8bd9491 100644 --- a/STM32F1/cores/maple/sdio.cpp +++ b/STM32F1/cores/maple/sdio.cpp @@ -32,8 +32,8 @@ sdio_dev * SDIO = SDIO_BASE; -#define DELAY_LONG 20 -#define DELAY_SHORT 2 +#define DELAY_LONG 10 +#define DELAY_SHORT 1 uint8_t dly = DELAY_LONG; // microseconds delay after accessing registers @@ -43,13 +43,9 @@ uint8_t dly = DELAY_LONG; // microseconds delay after accessing registers void sdio_gpios_init(void) { gpio_set_mode(PIN_MAP[BOARD_SDIO_D0].gpio_device, PIN_MAP[BOARD_SDIO_D0].gpio_bit, GPIO_AF_OUTPUT_PP); -/* gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_AF_OUTPUT_PP); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_AF_OUTPUT_PP); gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_AF_OUTPUT_PP); gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_AF_OUTPUT_PP); -*/ - gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_INPUT_PU); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_INPUT_PU); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_INPUT_PU); gpio_set_mode(PIN_MAP[BOARD_SDIO_CLK].gpio_device, PIN_MAP[BOARD_SDIO_CLK].gpio_bit, GPIO_AF_OUTPUT_PP); gpio_set_mode(PIN_MAP[BOARD_SDIO_CMD].gpio_device, PIN_MAP[BOARD_SDIO_CMD].gpio_bit, GPIO_AF_OUTPUT_PP); /* @@ -67,12 +63,12 @@ void sdio_gpios_init(void) void sdio_gpios_deinit(void) { - gpio_set_mode(PIN_MAP[BOARD_SDIO_D0].gpio_device, PIN_MAP[BOARD_SDIO_D0].gpio_bit, GPIO_INPUT_PU); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_INPUT_PU); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_INPUT_PU); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_INPUT_PU); - gpio_set_mode(PIN_MAP[BOARD_SDIO_CLK].gpio_device, PIN_MAP[BOARD_SDIO_CLK].gpio_bit, GPIO_INPUT_PU); - gpio_set_mode(PIN_MAP[BOARD_SDIO_CMD].gpio_device, PIN_MAP[BOARD_SDIO_CMD].gpio_bit, GPIO_INPUT_PU); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D0].gpio_device, PIN_MAP[BOARD_SDIO_D0].gpio_bit, GPIO_INPUT_FLOATING); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_INPUT_FLOATING); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_INPUT_FLOATING); + gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_INPUT_FLOATING); + gpio_set_mode(PIN_MAP[BOARD_SDIO_CLK].gpio_device, PIN_MAP[BOARD_SDIO_CLK].gpio_bit, GPIO_INPUT_FLOATING); + gpio_set_mode(PIN_MAP[BOARD_SDIO_CMD].gpio_device, PIN_MAP[BOARD_SDIO_CMD].gpio_bit, GPIO_INPUT_FLOATING); /* * Todo just remove it, not needed for F1. @@ -114,35 +110,19 @@ void sdio_power_off(void) void sdio_set_clock(uint32_t clk) { - /* - * limit the SDIO master clock to 8/3 of PCLK2.See RM 22.3 - * Also limited to no more than 48Mhz - */ - clk = min(clk,(SDIOCLK/3)*8); - clk = min(clk,36000000); + if (clk>24000000UL) clk = 24000000UL; // limit the SDIO master clock to 24MHz if (clk<1000000) dly = DELAY_LONG; else dly = DELAY_SHORT; - /* - * round up divider, so we don't run the card over the speed supported. - - */ - uint32 div = SDIOCLK/clk + (SDIOCLK % clk != 0) - 2; - - sdio_disable(); - //Serial.println(div,DEC); - SDIO->CLKCR = (SDIO->CLKCR & (~(SDIO_CLKCR_CLKDIV|SDIO_CLKCR_BYPASS))) | SDIO_CLKCR_PWRSAV | SDIO_CLKCR_HWFC_EN | SDIO_CLKCR_CLKEN | (div & SDIO_CLKCR_CLKDIV); + SDIO->CLKCR = (SDIO->CLKCR & (~(SDIO_CLKCR_CLKDIV|SDIO_CLKCR_BYPASS))) | SDIO_CLKCR_CLKEN | (((SDIOCLK/clk)-2)&SDIO_CLKCR_CLKDIV); delay_us(dly); } void sdio_set_dbus_width(uint16_t bus_w) { SDIO->CLKCR = (SDIO->CLKCR & (~SDIO_CLKCR_WIDBUS)) | bus_w; - gpio_set_mode(PIN_MAP[BOARD_SDIO_D1].gpio_device, PIN_MAP[BOARD_SDIO_D1].gpio_bit, GPIO_AF_OUTPUT_PP); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D2].gpio_device, PIN_MAP[BOARD_SDIO_D2].gpio_bit, GPIO_AF_OUTPUT_PP); - gpio_set_mode(PIN_MAP[BOARD_SDIO_D3].gpio_device, PIN_MAP[BOARD_SDIO_D3].gpio_bit, GPIO_AF_OUTPUT_PP); delay_us(dly); } @@ -169,10 +149,9 @@ void sdio_disable(void) */ void sdio_begin(void) { - + sdio_gpios_init(); sdio_init(); sdio_power_on(); - sdio_gpios_init(); // Set initial SCK rate. sdio_set_clock(400000); delay_us(200); // generate 80 pulses at 400kHz @@ -183,12 +162,11 @@ void sdio_begin(void) */ void sdio_end(void) { - while ( sdio_cmd_xfer_ongoing() ); sdio_disable(); - sdio_gpios_deinit(); + while ( sdio_cmd_xfer_ongoing() ); sdio_power_off(); rcc_clk_disable(RCC_SDIO); - + sdio_gpios_deinit(); } /** @@ -209,7 +187,6 @@ uint8_t sdio_cmd_send(uint16_t cmd_index_resp_type, uint32_t arg) while ( !(SDIO->STA&(SDIO_STA_CMDREND|SDIO_STA_CMD_ERROR_FLAGS)) ) ; } else break; // no response required if ( SDIO->STA&(SDIO_STA_CMDREND|SDIO_STA_CTIMEOUT) ) - //if ( SDIO->STA&(SDIO_STA_CMDREND) ) break; // response received or timeout // ignore CRC error for CMD5 and ACMD41 if ( ((cmd_index_resp_type&SDIO_CMD_CMDINDEX)==5) || ((cmd_index_resp_type&SDIO_CMD_CMDINDEX)==41) ) diff --git a/STM32F1/libraries/SDIO/SdioF1.cpp b/STM32F1/libraries/SDIO/SdioF1.cpp index c0e5eae..8957b37 100644 --- a/STM32F1/libraries/SDIO/SdioF1.cpp +++ b/STM32F1/libraries/SDIO/SdioF1.cpp @@ -61,12 +61,6 @@ #define CMD38_XFERTYP (uint16_t)( CMD38 | CMD_RESP_R1b ) #define ACMD41_XFERTYP (uint16_t)( ACMD41 | CMD_RESP_R3 ) -/* - * AMD42 to enable disable CD/D3 pull up. Needed for 4bit mode. - */ -const uint8_t ACMD42 = 0X2A; -#define ACMD42_XFERTYP (uint16_t)( ACMD41 | CMD_RESP_R1 ) - #define CMD55_XFERTYP (uint16_t)( CMD55 | CMD_RESP_R1 ) //============================================================================= @@ -75,27 +69,14 @@ const uint8_t ACMD42 = 0X2A; static void initSDHC(void); static bool isBusyCMD13(void); static bool isBusyTransferComplete(void); -static bool isBusyTransferCRC(void); //static bool isBusyCommandComplete(); //static bool isBusyCommandInhibit(); static bool readReg16(uint32_t xfertyp, void* data); //static void setSdclk(uint32_t kHzMax); static bool yieldTimeout(bool (*fcn)(void)); -static bool yieldDmaStatus(void); static bool waitDmaStatus(void); static bool waitTimeout(bool (*fcn)(void)); //----------------------------------------------------------------------------- -static const uint32_t IDLE_STATE = 0; -static const uint32_t READ_STATE = 1; -static const uint32_t WRITE_STATE = 2; -volatile uint32_t m_curLba; -volatile uint32_t m_limitLba; -volatile uint8_t m_curState; -volatile uint64_t m_totalReadLbas = 0; -volatile uint64_t m_readErrors = 0; -volatile uint64_t m_writeErrors = 0; -volatile uint64_t m_totalWriteLbas = 0; - #define TRX_RD 0 #define TRX_WR 1 static uint8_t m_dir = TRX_RD; @@ -116,26 +97,27 @@ static cid_t m_cid; static csd_t m_csd; static uint32_t t = 0; //============================================================================= - +/* + * Todo Remove this or change it, but rather remove since this can be checked with debugger. + */ #if USE_DEBUG_MODE #define DBG_PRINT() { \ Serial.write('_'); Serial.print(__FUNCTION__); Serial.write('_'); Serial.print(__LINE__); Serial.print(": "); \ - Serial.print("DMA->ISR: 0x"); Serial.print(SDIO_DMA_DEV->regs->ISR, HEX); \ + Serial.print("DMA->LISR: "); Serial.print(SDIO_DMA_DEV->regs->LISR, HEX); \ /*Serial.print("DMA->HISR: "); Serial.println(SDIO_DMA_DEV->regs->HISR, HEX);*/ \ - Serial.print(", DMA->CCR: 0x"); Serial.print(SDIO_DMA_DEV->regs->CCR4, HEX); \ - Serial.print(", DMA->CNDTR: "); Serial.print(SDIO_DMA_DEV->regs->CNDTR4,DEC); \ - /**/Serial.print(", DMA->CPAR: 0x"); Serial.print(SDIO_DMA_DEV->regs->CPAR4, HEX); \ - /**/Serial.print(", DMA->CMAR: 0x"); Serial.print(SDIO_DMA_DEV->regs->CMAR4, HEX); \ - Serial.print(", DMA->IFCR: 0x"); Serial.print(SDIO_DMA_DEV->regs->IFCR, HEX); \ + Serial.print(", DMA->CR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].CR, HEX); \ + Serial.print(", DMA->NDTR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].NDTR, HEX); \ + /**/Serial.print(", DMA->PAR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].PAR, HEX); \ + /**/Serial.print(", DMA->M0AR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].M0AR, HEX); \ + Serial.print(", DMA->FCR: "); Serial.print(SDIO_DMA_DEV->regs->STREAM[SDIO_DMA_CHANNEL].FCR, HEX); \ \ /*Serial.print(" SDIO->POWER: "); Serial.println(SDIO->POWER, HEX);*/ \ - Serial.print(", SDIO->CLKCR: 0x"); Serial.print(SDIO->CLKCR, HEX); \ - Serial.print(", SDIO->DTIMER: 0x"); Serial.print(SDIO->DTIMER, HEX); \ - Serial.print(", SDIO->DCTRL: 0x"); Serial.print(SDIO->DCTRL, HEX); \ + Serial.print(", SDIO->CLKCR: "); Serial.print(SDIO->CLKCR, HEX); \ + Serial.print(", SDIO->DTIMER: "); Serial.print(SDIO->DTIMER, HEX); \ + Serial.print(", SDIO->DCTRL: "); Serial.print(SDIO->DCTRL, HEX); \ /**/Serial.print(", SDIO->DLEN: "); Serial.print(SDIO->DLEN); \ Serial.print(", SDIO->DCOUNT: "); Serial.print(SDIO->DCOUNT); \ - Serial.print(", SDIO->STA: 0x"); Serial.println(SDIO->STA, HEX); \ - Serial.print(", SDIO->FIFOCNT: "); Serial.println(SDIO->FIFOCNT); \ + Serial.print(", SDIO->STA: "); Serial.println(SDIO->STA, HEX); \ /*delay(1);*/ \ } #define DBG_PIN PD0 @@ -149,7 +131,7 @@ static void _panic(const char *message, uint32_t code) { Serial.print(message); Serial.println(code, HEX); //Block the execution with blinky leds - while (1) {delay (1);}; + while (1); /* pinMode(BOARD_LED_PIN, OUTPUT); //pinMode(BOARD_LED2_PIN, OUTPUT); @@ -189,14 +171,13 @@ void yield(void) } val = dma_get_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); -/* if ( val & DMA_ISR_FEIF ) { + if ( val & DMA_ISR_FEIF ) { val ^= DMA_ISR_FEIF; dma_clear_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); } -*/ if ( val ) { if (val & DMA_ISR_TEIF) Serial.print(" TEIF"); - //if (val & DMA_ISR_DMEIF) Serial.print(" DMEIF"); + if (val & DMA_ISR_DMEIF) Serial.print(" DMEIF"); //if (val & DMA_ISR_FEIF) Serial.print(" FEIF"); _panic(" - DMA: Data Transmission Error ", val); } @@ -227,7 +208,7 @@ static bool cardCommand(uint16_t xfertyp, uint32_t arg) #if USE_DEBUG_MODE==2 Serial.print("cardCommand: "); Serial.print(xfertyp&SDIO_CMD_CMDINDEX); Serial.print(", arg: "); Serial.print(arg, HEX); #endif - uint8_t resp = sdio_cmd_send(xfertyp, arg); // returns non-zero if OK, zero if it fails + uint8_t resp = sdio_cmd_send(xfertyp, arg); // returns non-zero if fails, zero if OK #if USE_DEBUG_MODE==2 Serial.print(", resp: "); Serial.print(resp, HEX); Serial.print(", SDIO->STA: "); Serial.print(SDIO->STA, HEX); Serial.print(", cmd_resp: "); Serial.print(SDIO->RESP[0], HEX); @@ -283,38 +264,19 @@ static bool isBusyCMD13(void) { } return !(SDIO->RESP[0] & CARD_STATUS_READY_FOR_DATA); } - -/* - * Returns False if DMA transfer disabled. - * True otherwise - */ -static bool inline isEnabledDMA(void) -{ - return dma_is_enabled(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); -} - -/* - * Returns False if DMA transfer is completed or in error. - * True otherwise - */ +/*---------------------------------------------------------------------------*/ static bool isBusyDMA(void) { - if (!isEnabledDMA()) return false; uint8_t isr = dma_get_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - isr &= DMA_ISR_TCIF | DMA_ISR_TEIF; + isr &= DMA_ISR_TCIF | DMA_ISR_TEIF; //if (isr&DMA_ISR_TCIF) dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return !(isr); // ignore transfer error flag } - /*---------------------------------------------------------------------------*/ -/* - * Returns true while the transfer has not completed - * False when it has completed. - */ static bool isBusyTransferComplete(void) { uint32_t mask = SDIO->STA &(SDIO_STA_DATAEND | SDIO_STA_TRX_ERROR_FLAGS); -//#if USE_DEBUG_MODE +#if USE_DEBUG_MODE if ( mask & SDIO_STA_TRX_ERROR_FLAGS ) { Serial.print("XFER ERROR: SDIO->STA: "); Serial.print(SDIO->STA, HEX); if (mask & SDIO_STA_STBITERR) Serial.print(" STBITERR"); @@ -324,43 +286,13 @@ static bool isBusyTransferComplete(void) if (mask & SDIO_STA_DCRCFAIL) Serial.print(" DCRCFAIL"); Serial.println(); } -//#endif +#endif if (mask) { dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return false; } return true; } - - -/* - * New function, to follow Reference Manual sequence. - * Returns true if still not confirmed DBCKEND: Data block sent/received (CRC check passed) - * False when it has completed the transfer with CRC check. - */ -static bool isBusyTransferCRC(void) -{ - uint32_t mask = SDIO->STA &(SDIO_STA_DBCKEND | SDIO_STA_TRX_ERROR_FLAGS); -#if USE_DEBUG_MODE - if ( mask & SDIO_STA_TRX_ERROR_FLAGS ) { - Serial.print("XFER ERROR: SDIO->STA: "); Serial.print(SDIO->STA, HEX); - if (mask & SDIO_STA_STBITERR) Serial.print(" STBITERR"); - if (mask & SDIO_STA_RXOVERR) Serial.print(" RXOVERR"); - if (mask & SDIO_STA_TXUNDERR) Serial.print(" TXUNDERR"); - if (mask & SDIO_STA_DTIMEOUT) Serial.print(" DTIMEOUT"); - if (mask & SDIO_STA_DCRCFAIL) Serial.print(" DCRCFAIL"); - Serial.println(); - } -#endif - if (mask) { - //dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - //Serial.print("SDIO->STA SDIO_STA_DBCKEND"); Serial.println(SDIO->STA && SDIO_STA_DBCKEND, HEX); - return false; - } - return true; -} - - /*---------------------------------------------------------------------------*/ static void trxStart(uint8_t* buf, uint32_t n, uint8_t dir) { @@ -376,12 +308,6 @@ static bool trxStop() if (!cardCommand(CMD12_XFERTYP, 0)) { return sdError(SD_CARD_ERROR_CMD12); } - /* - * Added this to wait to complete on sync. - */ - if (waitTimeout(isBusyCMD13)) { - return sdError(SD_CARD_ERROR_CMD13); - } if ( t ) { Serial.print(", in "); Serial.println(millis()-t); t = 0; @@ -389,70 +315,52 @@ static bool trxStop() return true; } /*---------------------------------------------------------------------------*/ -static bool dmaTrxStart(uint32_t n, uint8_t dir) +static bool dmaTrxStart(uint8_t* buf, uint32_t n, uint8_t dir) { - uint32_t flags = (SDIO_BLOCKSIZE_512 | SDIO_DCTRL_DMAEN | SDIO_DCTRL_DTEN); + m_dir = dir; + if ((3 & (uint32_t)buf) || n == 0) { // check alignment + _panic("- transferStart: unaligned buffer address ", (uint32_t)buf); + return sdError(SD_CARD_ERROR_DMA); + } + if (dir==TRX_RD && yieldTimeout(isBusyCMD13)) { + return sdError(SD_CARD_ERROR_CMD13); + } + uint32_t flags = (SDIO_BLOCKSIZE_512 | SDIO_DCTRL_DMAEN | SDIO_DCTRL_DTEN); if (dir==TRX_RD) flags |= SDIO_DIR_RX; // setup SDIO to transfer n blocks of 512 bytes sdio_setup_transfer(0x00FFFFFF, n, flags); - + // setup SDIO_DMA_DEV stream 3 channel 4 + /* + * Moved to begin. + */ + //dma_init(SDIO_DMA_DEV); + /* + * Todo. Check this, channel must be disabled to change DMA priority, and seems like channel is not completing transfers + */ + //dma_set_priority(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, DMA_PRIORITY_VERY_HIGH); + flags = (DMA_MINC_MODE); + // not extra flag if read + if (dir!=TRX_RD) flags |= DMA_FROM_MEM;// write + dma_setup_transfer(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, &SDIO->FIFO, DMA_SIZE_32BITS, buf, DMA_SIZE_32BITS, flags); + dma_set_num_transfers(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, n>>2); // F1 DMA controller counts each word as 1 data item. + //dma_set_fifo_flags(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, (DMA_FCR_DMDIS | DMA_FCR_FTH_FULL)); // disable direct mode | threshold FULL + dma_clear_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + dma_enable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return true; } - -/* - * This one replaces dmaTrxStart, and will just prepare the DMA part, then a new - * one will enable the DMA reception as per the RM. - */ -static bool dmaTrxPrepare(uint8_t* buf, uint32_t n, uint8_t dir) -{ - uint32_t flags; - m_dir = dir; - if ((3 & (uint32_t)buf) || n == 0) { // check alignment - _panic("- transferStart: unaligned buffer address ", (uint32_t)buf); - return sdError(SD_CARD_ERROR_DMA); - } - /* - * No point to wait here again if we always wait before calling this. - if (dir==TRX_RD && yieldTimeout(isBusyCMD13)) { - return sdError(SD_CARD_ERROR_CMD13); - } - */ - - /* - * Following RM 22.3.2. Setup DMA first, SDIO peripheral next - * - */ - flags = (DMA_MINC_MODE); - // not extra flag if read - if (dir!=TRX_RD) flags |= DMA_FROM_MEM;// write - dma_setup_transfer(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, &SDIO->FIFO, DMA_SIZE_32BITS, buf, DMA_SIZE_32BITS, flags); - dma_set_num_transfers(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, n>>2); // F1 DMA controller counts each word as 1 data item. - //dma_set_fifo_flags(SDIO_DMA_DEV, SDIO_DMA_CHANNEL, (DMA_FCR_DMDIS | DMA_FCR_FTH_FULL)); // disable direct mode | threshold FULL - dma_clear_isr_bits(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - dma_enable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - - return true; -} - - /*---------------------------------------------------------------------------*/ static bool dmaTrxEnd(bool multi_block) { - if(m_curState != READ_STATE){ - if ( yieldTimeout(isBusyTransferComplete) ) { - DBG_PRINT(); - if (m_dir==TRX_RD) - return sdError(SD_CARD_ERROR_READ_CRC); - else - return sdError(SD_CARD_ERROR_WRITE); - } - } - - if ( !yieldDmaStatus() ) { + if ( !waitDmaStatus() ) { DBG_PRINT(); return sdError(SD_CARD_ERROR_DMA); } - + if ( waitTimeout(isBusyTransferComplete) ) { + if (m_dir==TRX_RD) + return sdError(SD_CARD_ERROR_READ_TIMEOUT); + else + return sdError(SD_CARD_ERROR_WRITE_TIMEOUT); + } if (multi_block) { return trxStop(); } else { @@ -481,38 +389,21 @@ static bool readReg16(uint32_t xfertyp, void* data) /*---------------------------------------------------------------------------*/ // Return true if timeout occurs. static bool yieldTimeout(bool (*fcn)()) { - m_busyFcn = fcn; uint32_t m = millis(); while (fcn()) { if ((millis() - m) > BUSY_TIMEOUT_MILLIS) { - m_busyFcn = 0; return true; } yield(); } - m_busyFcn = 0; return false; // Caller will set errorCode. } /*---------------------------------------------------------------------------*/ -static bool yieldDmaStatus(void) -{ - if (yieldTimeout(isBusyDMA)) { - dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - return false; // Caller will set errorCode. - } - // Did not time out. Disable it and return true. - dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); - return true; -} -/*---------------------------------------------------------------------------*/ static bool waitDmaStatus(void) { - if (waitTimeout(isBusyDMA)) { - dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); + if (yieldTimeout(isBusyDMA)) { return false; // Caller will set errorCode. } - // Did not time out. Disable it and return true - dma_disable(SDIO_DMA_DEV, SDIO_DMA_CHANNEL); return true; } /*---------------------------------------------------------------------------*/ @@ -532,8 +423,6 @@ uint32_t aligned[128]; // temporary buffer for misaligned buffers //============================================================================= bool SdioCard::begin(void) { - - uint32_t arg; m_initDone = false; m_errorCode = SD_CARD_ERROR_NONE; m_highCapacity = false; @@ -558,7 +447,6 @@ delay(100); if (!cardCommand(CMD0_XFERTYP, 0)) { return sdError(SD_CARD_ERROR_CMD0); } - delay(50); //small pause after reset command // Try several times for case of reset delay. for (uint32_t i = 0; i < CMD8_RETRIES; i++) { if (cardCommand(CMD8_XFERTYP, 0X1AA)) { @@ -569,7 +457,7 @@ delay(100); break; } } - arg = m_version2 ? 0X50300000 : 0x00300000; + uint32_t arg = m_version2 ? 0X40300000 : 0x00300000; uint32_t m = millis(); do { if (!cardAcmd(0, ACMD41_XFERTYP, arg) || @@ -590,7 +478,6 @@ delay(100); return sdError(SD_CARD_ERROR_CMD3); } m_rca = SDIO->RESP[0] & 0xFFFF0000; - if (!readReg16(CMD9_XFERTYP, &m_csd)) { return sdError(SD_CARD_ERROR_CMD9); } @@ -600,21 +487,14 @@ delay(100); if (!cardCommand(CMD7_XFERTYP, m_rca)) { return sdError(SD_CARD_ERROR_CMD7); } - - arg = 0x00; //bit 0, Connect[1]/Disconnect[0] the 50 KOhm pull-up resistor on CD/DAT3 - if (!cardAcmd(m_rca, ACMD42_XFERTYP, arg)) { - _panic("*** ACMD42 to disconnect D3 pullup failed! ***", 0); - } - // Set card to bus width four. + /* if (!cardAcmd(m_rca, ACMD6_XFERTYP, 2)) { return sdError(SD_CARD_ERROR_ACMD6); } - - // Set SDHC to bus width four. sdio_set_dbus_width(SDIO_CLKCR_WIDBUS_4BIT); + */ -/* // Determine if High Speed mode is supported and set frequency. uint8_t status[64]; // see "Physical Layer Simplified Specification Version 6.00", chapter 4.3.10, Table 4-13. @@ -622,16 +502,15 @@ delay(100); // Function Selection of Function Group 1: bits 379:376, which is low nibble of byte [16] if (cardCMD6(0X00FFFFFF, status) && (2 & status[13]) && cardCMD6(0X80FFFFF1, status) && (status[16] & 0XF) == 1) { - Serial.println("\n*** 50MHz clock supported ***"); - m_sdClkKhz = 24000; // set clock to 24MHz + //Serial.println("\n*** 50MHz clock supported ***"); } else { //_panic("*** Only 25MHz clock supported! ***", 0); - m_sdClkKhz = 8000; // set clock to 24MHz } - // delay seems to be needed for cards that take some time to adjust - delay(1); -*/ - m_sdClkKhz = 18000; // set clock to 24MHz + + /* + * Todo Raise clock to 24Mhz once transfers work + */ + m_sdClkKhz = 24000; // set clock to 24MHz sdio_set_clock(m_sdClkKhz*1000); m_initDone = true; @@ -691,160 +570,55 @@ uint32_t SdioCard::kHzSdClk() { return m_sdClkKhz; } /*---------------------------------------------------------------------------*/ -bool __attribute__((optimize("0"))) SdioCard::readBlock(uint32_t lba, uint8_t* buf) +bool SdioCard::readBlock(uint32_t lba, uint8_t* buf) { #if USE_DEBUG_MODE - Serial.print("readBlock: "); Serial.println(lba); //Serial.print(", buf: "); Serial.println((uint32_t)buf, HEX); + Serial.print("readBlock: "); Serial.println(lba); //Serial.print(", buf: "); Serial.println((uint32_t)buf, HEX); #endif - volatile bool _state = false; - volatile uint16_t retries = 3; - while ( retries-- ){ - /*if (yieldTimeout(isBusyCMD13)) { // wait for previous transmission end - return sdError(SD_CARD_ERROR_CMD13); - } - */ - - if (m_curState != READ_STATE || m_curLba != lba) { -#if USE_DEBUG_MODE - Serial.print("New lba, syncing :"); - Serial.println(lba); -#endif - _state = syncBlocks(); - DBG_PRINT(); - if (!_state) { - return false; - } - m_limitLba = (lba + 1024); //arbitrary limit, tested with 32KB before and worked fine. - // prepare DMA for data read transfer - _state = dmaTrxPrepare((uint32_t)buf & 3 ? (uint8_t*)aligned : buf, 512, TRX_RD); - DBG_PRINT(); - - // prepare SDIO data read transfer 0x8000 = 64*512 - _state = dmaTrxStart(512, TRX_RD); - DBG_PRINT(); - - // send command to start data transfer - _state = cardCommand(CMD18_XFERTYP, (m_highCapacity ? lba : 512*lba)); - DBG_PRINT(); - if ( !_state ) { - return sdError(SD_CARD_ERROR_CMD18); - } - - m_curLba = lba; - m_curState = READ_STATE; - } - else { - // prepare DMA for data read transfer - _state = dmaTrxPrepare((uint32_t)buf & 3 ? (uint8_t*)aligned : buf, 512, TRX_RD); - - // prepare SDIO data read transfer - _state = dmaTrxStart(512, TRX_RD); - } - - - _state = dmaTrxEnd(0); - - if ( _state ) { - if ( (uint32_t)buf & 3 ) { - //memcpy(buf, aligned, 512); - register uint8_t * dst = buf; - register uint8_t * src = (uint8_t *)aligned; - register uint16_t i = 64; - while ( i-- ) { // do 8 byte copies, is much faster than single byte copy - *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; - *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; - } - } - m_totalReadLbas++; - m_curLba++; - if (m_curLba >= m_limitLba) { - syncBlocks(); - } - sdError(SD_CARD_ERROR_NONE); - return true; - } - syncBlocks(); - m_readErrors++; - - } - DBG_PRINT() - syncBlocks(); - m_readErrors++; - return false; + // prepare SDIO and DMA for data read transfer + dmaTrxStart((uint32_t)buf & 3 ? (uint8_t*)aligned : buf, 512, TRX_RD); + // send command to start data transfer + if ( !cardCommand(CMD17_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { + return sdError(SD_CARD_ERROR_CMD17); + } + if ( dmaTrxEnd(0)) { + if ( (uint32_t)buf & 3 ) { + //memcpy(buf, aligned, 512); + register uint8_t * dst = buf; + register uint8_t * src = (uint8_t *)aligned; + register uint16_t i = 64; + while ( i-- ) { // do 8 byte copies, is much faster than single byte copy + *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; + *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; + } + } + return true; + } + return false; } /*---------------------------------------------------------------------------*/ bool SdioCard::readBlocks(uint32_t lba, uint8_t* buf, size_t n) { #if USE_DEBUG_MODE - Serial.print("readBlocks: "); Serial.print(lba); - //Serial.print(", buf: "); Serial.print((uint32_t)buf, HEX); - Serial.print(", "); Serial.println(n); + Serial.print("readBlocks: "); Serial.print(lba); + //Serial.print(", buf: "); Serial.print((uint32_t)buf, HEX); + Serial.print(", "); Serial.println(n); #endif - volatile bool _state = false; - volatile uint16_t retries = 3; - while ( retries-- ){ - - if ((uint32_t)buf & 3) { - for (size_t i = 0; i < n; i++, lba++, buf += 512) { - if (!readBlock(lba, buf)) { - return false; // readBlock will set errorCode. - } - } - return true; - } - - if (m_curState != READ_STATE || m_curLba != lba) { - #if USE_DEBUG_MODE - Serial.print("New lba, syncing :"); - Serial.println(lba); - #endif - _state = syncBlocks(); - DBG_PRINT(); - if (!_state) { - return false; - } - m_limitLba = (lba + 1024); //arbitrary limit - // prepare DMA for data read transfer - _state = dmaTrxPrepare(buf, 512*n, TRX_RD); - - // prepare SDIO for data read transfer - _state = dmaTrxStart(512*n, TRX_RD); - - // send command to start data transfer - _state = cardCommand(CMD18_XFERTYP, (m_highCapacity ? lba : 512*lba)); - if ( !_state ) { - return sdError(SD_CARD_ERROR_CMD18); - } - m_curLba = lba; - m_curState = READ_STATE; - } - - else { - // prepare DMA for data read transfer - _state = dmaTrxPrepare(buf, 512*n, TRX_RD); - - // prepare SDIO data read transfer - _state = dmaTrxStart(512*n, TRX_RD); - } - - _state = dmaTrxEnd(0); - - if (_state){ - m_totalReadLbas += n; - m_curLba += n; - if (m_curLba >= m_limitLba) { - syncBlocks(); - } - sdError(SD_CARD_ERROR_NONE); - return true; - } - syncBlocks(); - m_readErrors++; - } - DBG_PRINT() - syncBlocks(); - m_readErrors++; - return false; + if ((uint32_t)buf & 3) { + for (size_t i = 0; i < n; i++, lba++, buf += 512) { + if (!readBlock(lba, buf)) { + return false; // readBlock will set errorCode. + } + } + return true; + } + // prepare SDIO and DMA for data read transfer + dmaTrxStart(buf, 512*n, TRX_RD); + // send command to start data transfer + if ( !cardCommand(CMD18_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { + return sdError(SD_CARD_ERROR_CMD18); + } + return dmaTrxEnd(1); } //----------------------------------------------------------------------------- bool SdioCard::readCID(void* cid) { @@ -925,36 +699,13 @@ bool SdioCard::readStart(uint32_t lba, uint32_t count) /*---------------------------------------------------------------------------*/ bool SdioCard::readStop() { - - sdio_setup_transfer(0x00FFFFFF, 0, 0); - while ( SDIO->STA & SDIO_STA_RXDAVL) { - volatile uint32 _unused = SDIO->FIFO; - } //Serial.println("readStop."); - m_lba = 0; - if (!trxStop()) { - return false; - } - return true; + m_lba = 0; + m_cnt = 0; + return true; } //----------------------------------------------------------------------------- -inline bool SdioCard::syncBlocks() { - if ( isEnabledDMA()){ - waitDmaStatus(); - } - if (m_curState == READ_STATE) { - /* if ( isEnabledDMA()){ - waitDmaStatus(); - } - */ - m_curState = IDLE_STATE; - if (!readStop()) { - return false; - } - } else if (m_curState == WRITE_STATE) { - m_curState = IDLE_STATE; - return writeStop(); - } +bool SdioCard::syncBlocks() { return true; } //----------------------------------------------------------------------------- @@ -982,48 +733,17 @@ bool SdioCard::writeBlock(uint32_t lba, const uint8_t* buf) *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; *dst++ = *src++; } } - - - - if (m_curState != WRITE_STATE || m_curLba != lba) { - if (!syncBlocks()) { - return false; - } - - m_limitLba = (lba + 1024); //arbitrary limit - - // prepare DMA for data transfer - dmaTrxPrepare(ptr, 512, TRX_WR); // 1 block, write transfer - - // send command to start data transfer - if ( !cardCommand(CMD25_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { - return sdError(SD_CARD_ERROR_CMD25); - } - m_curLba = lba; - m_curState = WRITE_STATE; - + if (yieldTimeout(isBusyCMD13)) { // wait for previous transmission end + return sdError(SD_CARD_ERROR_CMD13); } - else { - if (yieldTimeout(isBusyCMD13)) { // wait for previous transmission end - return sdError(SD_CARD_ERROR_CMD13); - } - // prepare DMA for data transfer - dmaTrxPrepare(ptr, 512, TRX_WR); // 1 block, write transfer + // send command to start data transfer + if ( !cardCommand(CMD24_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { + return sdError(SD_CARD_ERROR_CMD24); } + // prepare SDIO and DMA for data transfer + dmaTrxStart(ptr, 512, TRX_WR); // 1 block, write transfer - // prepare SDIO for data transfer - dmaTrxStart(512, TRX_WR); // 1 block, write transfer - - if (!dmaTrxEnd(0)){ - m_curState = IDLE_STATE; - m_writeErrors++; - return false; - } - m_curLba++; - if (m_curLba >= m_limitLba) { - syncBlocks(); - } - return true; + return dmaTrxEnd(0); } /*---------------------------------------------------------------------------*/ bool SdioCard::writeBlocks(uint32_t lba, const uint8_t* buf, size_t n) @@ -1050,43 +770,14 @@ bool SdioCard::writeBlocks(uint32_t lba, const uint8_t* buf, size_t n) return sdError(SD_CARD_ERROR_ACMD23); } #endif + // send command to start data transfer + if ( !cardCommand(CMD25_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { + return sdError(SD_CARD_ERROR_CMD25); + } + // prepare SDIO and DMA for data transfer + dmaTrxStart((uint8_t *)buf, 512*n, TRX_WR); // n blocks, write transfer - if (m_curState != WRITE_STATE || m_curLba != lba) { - if (!syncBlocks()) { - return false; - } - - m_limitLba = (lba + 1024); //arbitrary limit, 512KB - // prepare DMA for data transfer - dmaTrxPrepare((uint8_t *)buf, 512*n, TRX_WR); // n blocks, write transfer - - // send command to start data transfer - if ( !cardCommand(CMD25_XFERTYP, (m_highCapacity ? lba : 512*lba)) ) { - return sdError(SD_CARD_ERROR_CMD25); - } - m_curLba = lba; - m_curState = WRITE_STATE; - - } - else { - // prepare DMA for data transfer - dmaTrxPrepare((uint8_t *)buf, 512*n, TRX_WR); // n blocks, write transfer - } - - // prepare SDIO for data transfer - dmaTrxStart(512*n, TRX_WR); // n blocks, write transfer - - if (!dmaTrxEnd(0)){ - m_writeErrors++; - m_curState = IDLE_STATE; - return false; - } - m_curLba += n; - if (m_curLba >= m_limitLba) { - syncBlocks(); - } - return true; - + return dmaTrxEnd(1); } /*---------------------------------------------------------------------------*/ bool SdioCard::writeData(const uint8_t* src) @@ -1154,14 +845,8 @@ bool SdioCard::writeStart(uint32_t lba, uint32_t count) /*---------------------------------------------------------------------------*/ bool SdioCard::writeStop() { - if ( isEnabledDMA()){ - if ( !waitDmaStatus() ) { - DBG_PRINT(); - return sdError(SD_CARD_ERROR_DMA); - } - } - m_lba = 0; - m_curState = IDLE_STATE; - return trxStop(); - //Serial.println("writeStop."); + //Serial.println("writeStop."); + m_lba = 0; + m_cnt = 0; + return true; } diff --git a/STM32F1/libraries/SDIO/SdioF1.h b/STM32F1/libraries/SDIO/SdioF1.h index efa4774..c995b1c 100644 --- a/STM32F1/libraries/SDIO/SdioF1.h +++ b/STM32F1/libraries/SDIO/SdioF1.h @@ -1,6 +1,6 @@ -#ifndef _SDIOF1_H_ -#define _SDIOF1_H_ +#ifndef _SDIOF4_H_ +#define _SDIOF4_H_ #include From d5399c3e0218f0334831f8f709aa72d1bf0fbb41 Mon Sep 17 00:00:00 2001 From: victorpv Date: Wed, 28 Mar 2018 22:27:50 -0500 Subject: [PATCH 5/6] Revert "Hardware serial corrections" This reverts commit 771be821c288f74f4106768aca0042d05c0d038d. --- STM32F1/cores/maple/HardwareSerial.cpp | 2 +- STM32F1/cores/maple/HardwareSerial.h | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/STM32F1/cores/maple/HardwareSerial.cpp b/STM32F1/cores/maple/HardwareSerial.cpp index fe73339..024efbc 100644 --- a/STM32F1/cores/maple/HardwareSerial.cpp +++ b/STM32F1/cores/maple/HardwareSerial.cpp @@ -187,7 +187,7 @@ int HardwareSerial::availableForWrite(void) return 1; } -size_t HardwareSerial::write(uint8_t ch) { +size_t HardwareSerial::write(unsigned char ch) { usart_putc(this->usart_device, ch); return 1; diff --git a/STM32F1/cores/maple/HardwareSerial.h b/STM32F1/cores/maple/HardwareSerial.h index 108d525..b8b8dd6 100644 --- a/STM32F1/cores/maple/HardwareSerial.h +++ b/STM32F1/cores/maple/HardwareSerial.h @@ -138,7 +138,7 @@ public: virtual int read(void); int availableForWrite(void); virtual void flush(void); - virtual size_t write(uint8_t ch); + virtual size_t write(uint8_t); inline size_t write(unsigned long n) { return write((uint8_t)n); } inline size_t write(long n) { return write((uint8_t)n); } inline size_t write(unsigned int n) { return write((uint8_t)n); } From 59a216ba66bdb389a64c04e80847eafc5507d887 Mon Sep 17 00:00:00 2001 From: victorpv Date: Thu, 29 Mar 2018 22:19:06 -0500 Subject: [PATCH 6/6] Help file describing the fft functions. --- .../libraries/stm_fft/stm32f10x_DSP_lib.chm | Bin 0 -> 887334 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 STM32F1/libraries/stm_fft/stm32f10x_DSP_lib.chm diff --git a/STM32F1/libraries/stm_fft/stm32f10x_DSP_lib.chm b/STM32F1/libraries/stm_fft/stm32f10x_DSP_lib.chm new file mode 100644 index 0000000000000000000000000000000000000000..a27742dfd65efe35d7d99cb0a9bdef81a102de3a GIT binary patch literal 887334 zcmeFZWmFu?+W0%TJHY}3cXxsWcXtU8WN>%a;1VQw@F2n6f(3UA?hZkM1lK$4$$rnt zIqzNndq3Q@?uXs8dVbxH)Km3zRabYd0ckZ=2`B&n@D8*?JnwH-DiNRo0Q3h4?_#fU zj7(%e_5j(=A;y24KifZVcwhkBe^U(|d@%f*kI#jIHmV2|@WJnIX*E@)XO$XgM|_rn z>>)vTmOX*CXVLTaeEckXu9Iavq#hILI7Y?|xK7W$&-%YNC3#7?j^{%DO%A~fI)P^< zmlo5K5>tT)7z}=!{nxpg2&f7m9Rf4Cs+x+lf}|=$!0;_g9Vy6IRa;d}Tpkc=nj;1} zQ&SWLd4pW@-+o2^>s(1%6l6WPW?icUI#*Yb1C;;~Fx285(8>W8sENpd1d05GLZI?B zr4_^!H9;P&vuFWwNX!%x(x%Q9@`e_+5CNV3fUw}V2+S0UA~Mnns%j7c-}Z$Q-@IU^ z(9{%madft)VrOrM(%%O{`XEFCpf?tgJzLCL0%YSg<>uu!HsLmAH{@kyV*xviAMtiLfg`XR^8(pTSh?AZjEs$e zENsR=E>ja;9$pR(ZZ1$P4zR;$x={xt*nyjcjSa|Z!pUs}G&C_`;b39sWH&TrXJzAL zV+Zo`aDyF&``>hu|8_9p;W7g9@^Z5pbC?>LaG0`~7_soO7;T6keiE#h0TbY7ihx9%EAq51=wLE!l*|P?7(ZpW5@~QU^iqn z;^boIHsJvB@Nxr9S&V?DY}}@-zq2$l&N{>jb}-`NX5;2y=iuVzG2&$B zh1G=JnA3!f>vy9UP1=8F$&|;?7|6rMVFrPZ7w-23o_I3*rjE>PV(0E*2DD`|c5;FU@Skxm6~|_lkWe!)5ChuS8Spr}JA?g; z?uc6vQU6jsYrw+R8NWRWy#Emzh*>xSjh*crJ;3UgNycszBxX|!>%V)|L^;C*-wS3_ zJ6mTb10HimCp#BMi;OgWqfI*nIJ=BHg&NzcDAsy{kwNwV{}+! z|4VA%YWR1%h8lf3h)|f#tnG{pt$&+Oe&Zh@!~9!jz~auu1}^=3jQ+U#ABx|X{QD~V zgux$*-@R?B3UfyJ55?~*G979>%>RetcXykfdNuOu4+Rf6_)L!AD9#@W-rtI2wF#I% z6vkl1Y=?G0^vgdqzlZSLi1WDYABx{uozHwT3i*e^6kOK)q3{Uxzbm+Z55R>c^9lJs z6u*B=(2qcea*lJSMsSQ2fr;atO3GL za;!Vd`G?|nwpLrvhq?ZxFaetWzUu3ji~;>r|I$47A#mH*!XyH6asQ?HSMOOb)*Dj7 zVK#HLbFnut_@99Qc57=V58st0`CF^1CeO|$!OG$e8Z4?x1_p8#zejU_fptJ4KLN7^ z=q_pC8_<0CpRqn<2?bSBp!}`-9cGR^AW-E6SZH8xXa@YZ zv0k#^(uxdnurqP_pOHJzLeqkW#QbdeJG~KyKCS3P%u3Q?1_q-4H|>lcGYJS)#`!NJ za3xApAm(i`m>oekYny+MUwUfS3X8?80<;DiIspw7?419OdGuL4AW{t$02cqRQ|4)lGib{EUE05<%a%i@=jrmV zXn$Qt@GKe-#QXKfYa-^qM4*Ynz`)wr4)=QM&PEGmAeECQT# zY-elwp9SPaYCjm@{V!JFQkwF}zsnLc|1aa<-#Sy%rEM$W?}maSSvdb^u0DtJ1T;|p zZs@-mfx|S{A|CMmR|$WnD!;?vSpL5X_?@Y*S)#i#r2kgW=iv$(t^YY+wI;pp5XS&D z*U`n;SyWM6LKR$rp&NoRNntsG5c=oUc z8W@t%Fk!!b{roJcY7d(0nH3Ce zKo7G{&Hw;E&A-c4K~pbid@{?cirU%OgLIPUC{vVk;+F3sb z16UH${vYi@HL{hqHMIj6!889Kjny0tZJkW*9Br8Ysy;uJ!T$>vFK!7@HqgT#3nQ-> zJ1ZkAs~G4=gpHe#g+-V}l$TYUSCm`ShgsUz$=T4>82H?R=ci?h|Bpkc0G)u&YR?xM z5C9wU4ixd9?Vk+%|0V-E$U#UiklrKCA@Ctozze~5!)d~`!oGq11|tg73#|yf00jeu z4*3BB0U{nC1aJWmgH{lb0qOu-fHA-hU<0rRI0AqGC(s87Fafm+86Xc(1&IEyIxhe> zKmw#=1MmQN0UQ7p03(QE0CoT?=!g~c5d+C4G}-^k4lL+fPDe}hd9mT|{r5u0U*~2Z zIXq~m0quYLRGR!=0r3Y3|84XBy;9=*0xaaA1QVSVOh{=kt+l|sw*(W_7tGF&V0wN5 z)8s3df<0j3%!5g@38wiun8e878mrNONyrW6hCG9@WM%!P3<;kUq4+6D9V9heLV;H4T!JTPCAfZ0M1<_R~LKPAD0mI0GN8%#P~Fnuk+ zT(beQ(hJOSe=q@YUR7^J~;4hK`F8O+0NFgf55{<0h90TbOE%-SR{A=|;^{{iL# z%3tep0CPbwJ$1n>^#XGtA54j9FhwrFWWq)ID~`1>n3*MD>hytmz5?dlPcS>4!1QND z{>#tYA58rjFc~Po<7Pn?%;zj40-yoYfj80!033jnlrV_~(9sF>hE2l3#LdCP16oP} z=_&qNGkh-cxxaz?8~`BfIbRttF!1*&XtW{$e!sAQUPb^=00zMSJQKRRlYpMKNUSZ4 z91R^kNK7ppZQKkUfh1HU&o5WcO9=p2(C06A01W8!{0akr1I2zh`-F!CA{L0qAUi{U z8Tcmy|775w4E&RUe=_h-2L8#wKNg_~P$nNw*tL>!Q0Du^WrWn8;`JMJPec1Tr zs(gF62^P^cthDeJ#tc-|Punv#BNFC#SlTVmr^x0b-{hjD2YJ-01as-{TrUsS;`d!% z|B56pAIcP(wu1k`vWVpyvjTc~2zQ!&+__CZ}aW&b% zbJFXwbNJ=u1YN$bKxi+2)7GlH-`0MQug}FRMS-xpBuM>VSH})xJNL9WIK^xuJ`8V5 zUESX^Fj!mTHNVL8+TFhy?eBDxv2nuQkS(ZqxEuQ<=(Xxl zfprT=7Dy+_St;3ns;wju^nZmLdHMA+BggF|BXlj@Ji|@k;9&3ZSnsDj+KI=4Yn?~8 zlHgIlbysbg(yH>~ov22Bc|dy3AX9H=N?yGsTtxY-LyUnle+?`Ha6`e3Ch{k#TH|k<{m&wKZy9zBCS81g5Wl6(71#zto)xDsG|s z?9rM2SZ}DjETf_G`D9RW^~0C*eHl`%5SHlo{W9O7QLDrFiwCO<@U?Wy7QQe`Fi{eR zqFygxBZ<>Mf8gt2>MxoM(lL;dUB^cbRnM#zArnJgyu9bQJ9q8b$?IKF_1S`A|;Sx*|5VJ{n)i;n-np%1HQiWfkAr`%k z*AOQRdT>k+?rKEZX38%SW;A(!NMt+gJ%LFoMzp%XMQ?4NsVpR^Fh{Y{DU=9d=b?i)4l1!% z`ra~=X{ZVvg3%o7GE<6-Li!8sKdeqkYy31M*XKe5)|2$iqDgq>#|cw3 zT}GihYu)@xN{E9KdT&t$mMe!|{zkYEOJmO2Y zB-Rg)V<)Re%*Am=z{K&Pw0VHRksO7U?FDV^i1N$kOKUvZ@s?v{-&+`~7KjA~)I2#g(4N(wKra!RUep0HgYE^|FxVoJ;G zeX_J%*)&)Z{JfAPkFs8r z2F$ER4>gZkwgj#$QR4il#-okNI8%xWoNu-+HR+0QTYz zIjqWaJc@L;!g7N@Z}uKj7hFD&{BpxVtZ{f5QLiJuXQM;n)2fkp;F6+Ob|B+AhbNG+ zQh#coi>!c7TT&;>zi?S-SL9_p(>%HOpoy~AczQ3`ZbjqVDFo+lc`rPoO55Y^VxNrC z;6Q;xSWP{%Ex&0pznF9wY(s(Fkk#OW;#;;sMdxKGsEJ#_OLyEQaYmX78vPY~zQ5|2 z81sJ&>nXXL@K(y|DK4>m#UubusI^`yweJTtJLRx+uzqED8_2BbHKA@C<)Mi|9lXTY zF6)SICE6NS{53L>O4{WGyz7=aTLtw@r6dh2GqN}CSEF#===+)roG(n+QdIcB zWq*b3G|Yl?iI@WxGw~gL-e$C(mhK;(Wr!c+R&jM)G$2se8a>d@niJxSfu{-wH;-Sj zs;lMUnV_n%zdROT9CogLsoEW^o!MX#zaYjBL;Q*|Og|49ip;Me#lCY%zqUH=tu1{+{LFYJ(OZI?bPx{px*sE(}Vbf59a1Fi{idmQ+gW45z*Ca z7j+m1Kk_={6y(yh1#HJAVsy{%M>c5$P)vZO2~b02!D9h~^ipr346PSADkRXmcJuS{ z!hw}kUKSjw-srC+crC`=W*U~tk^)+=izYnJ0P0Z^sRqgPbav(LNE%+b%><-!*h4$H z-n3$pj_T$0pP2V1^27QnxlHDJg^Q9cvsqBA^mweBCkZOUCD{8$MOhPRm8J5Q^jCU; zPqj027F)N{1$r^5E?9U3&kP=uIu=B3Kt~l`BTZ{mEy`$y#=AkcSUpSX#;MRs|LePI( zP-0#@h&-8zKI<~dbSn1V3tmT@+b#-k6?fU6Gu!N$8e(mz zkL0zV^th{sDZbo_Fm4+hUsu37)65F-MQ_FnrPin~;}q4?&8INgra?TP`ND;XCk>@s zd8rvK%)?UA&Cl^781~i9Oa-P)aoebqY2)p~oDxl*rF7iBj5G=RrV*Q@$EKs@qU!fg z?sK`Jv@7PXKSG74qqA zb-8kzU`RSzE}QFF_T-B}7?i~eUZ$AjT!CLq=ta48-g`1JQO)sasit{JR%xWl%kFH7 zmwWuCUx&zvlr2L%^!1BP!u`u?^tL}JNw1k;NtE>7qZuZjIo>(HX=>-r=R5D5!R4#H zdKzq*V?QO&VrPFvU52@s5z|!mw7GlkC5zmCGyC3y++(wxwOz=Gc0pCquZ)bokKo!R zloB|TkRgu$pbKdarJj&I;xeDGg5eo+fc<9Dd^>yUmGuOBZQLs9W5^?FOOl{F?wL?dERn8O~j;I3qH1)CEK}0vHWaq;$0MmB712=QW4nZnZ2FTsSr-|ZVqb1|@J1Y`LM66h zoWM>N;G%FiYgk|kP5KyqK0U8+7@K)&3Elg$jC}cZxv(vq0I3Eo^Gb((d;!L^OZW}T zAx$;oPmYo%$qVJwhK&2{%U*@=M^fABqa@BO4wP4&(*p%3H$BbK2`^FmQXO6d)*AL)X+Op`& zpAt_`aCic%ed+S{MpL*Dc~$~igTBnSo>!Hn@)9bMSW5vvZZ!3#K3UenYiT%wk8 zjLLt?Y^Cuuw6dfZ8221Gve~?e`lH3S47(CE3J z>=|)9pctZnUmVSYcV_rKkbw!`uo8F?$GLRXuB|Y;j&+hS-_{^{d{KvC`G#+vG@MP z*k7DmcNeyo4iu7KvR-P?80uNdAe1%?5N{nv3I@L7N@l@tpL5gS;)kHptaCTbu*ogH z4d5!drm%0PPPJOvf4}R0=VU7e(eL3m=j!H2-$?cK7x7r%hnPryieHct%)rf$x^+&L z*ITUz?_&ARtZY6pyZI=5FpD7KXc2}Is~x!Ij(9P`xI-r{=p+<*%rVH|g|cW#XOiBK zs5y4w4x_(gAbw1CN-q8}f!x!|vDnEmNe;OsIGRYi{j>PAw%r?`=SfKezCYUK-nd7; zm=X0A#%i*5FUm@AtEAJeHlU*h3b~bDlhprx$Xk6wMVLz;y_+g5IjgN!Jfbq~m1tK> zGL9CCw?k1yy{ThSl%84JklU(G+dQhDdVzT-pZ65&Lv7JyS!tHX-^3}ZmDXJvm3Jj{ ziE3uy4)h(E)v#NBYlvx5?TN0=q^EPasc5N~9AmP5(b<0);K#?< zKENmIRjW-pPk_5IW##`&zEhEsUS?1G!kcp6glb);J5dd5@7vBH&ZL&NW|E@AldEKN zymNKY@mq|a$zJcWQ&ol_G?``akfkr8b8sUeWtybJoXR&fFp(0)xuK_O;$2jx z8FdL|UjOK~Zir!l9?tYPM+%bYpu3QqTuTww!9S9j3b$?#bfBj#$UmKyDD9|^mYQ7C zmk?i)*%-Ka->{LQQjx0}A-`lAG@v=D;QN@!*Qu$Zpmb433`HMam~ZZwZKe&o&!$4TCruHc z$IN9^<;lD)<2%5Ad1OmZOBJ%w`Z^cJ`UT9~0wHsnNsGb0VfiIg9d;!Tc@78cM}N)p zY|C%sI6OdPM4VxXbv4+*c<9Hg>U2=*zHd?BhH@_J8!F{&y8 z`l-5zbaFBkUyzuP+ohqDt-ikgs_}Sm|3#FBJ{8earfG}oCRArl*8+v5sWAFZ1p?vQ z_Z8+n>LV<+v`&RDkCo{SmN{47S0qb9nMc5VD8yo8~ zhL@$~$z4dr;r7HMcK#-BIKNq>&8eAY6~jY3Bs@*XE-^lB6qMU>&E-llF`L~w#`f({ zylDu3m2H|_cKzj5``1X;b&U{6Yt&jITo{L2I%%2t0}DzO*!R@L2tQHW*$y&m1(*s8 za^EzjNWwwwS655bsm6+PByCxeBf!(<*P`xH?$L^_iCO>@6o?i0NjRGN4G{4ph^PON(R z&iwC5vV=v^w)^C-t$&37#I*K@aM}`n3p3`*eJFbm(KP%84(3Jdr~|EcB;s1`I4R@} z8i6MbpKY(1R+WEp7ZhR&3EC!|cRdNCYdHIwKkr#~IiwjM|0QL)|I*G>5F}#%Q6q9z zu6(23C^9(i&3w?#PfXj6zvFxfVTyxYVlgAeRu{7FYq$^B%y#8v>o2-Mx2sz_ju2 zbTSc!$k=`1X%x-_@aOH$t&HkZzqck?at&we07o3--56|`7xB#rIPO}%Qr!F=)T zCk}yYQL<(cgWsL31?8IJ^qhyf&6GE9_BPu9@Xu?m&;T}3Xj1?{QLT(F95QisiQ8yL zL(~5w4kk&Cv;WDW8>ufFDZRnDt&rXJ?G?%A0zg;=&tf&JDLiV9=g!)J;8XBQPQzZ=ffe#DkIkWR-`cm? znh&gT2u*`FZ;2S<<1(VI079?;Q`3&(Z-^0}6LhAy{#Bqx%={yP}A&aa>eV-*2TIK zU-`q#tml=%Ri@3<4V+H5pIor&3J;%mLuO2P^xc%sTu*U5U+P^;4 zPL8}fCJy%RCTUn1GX(uT2by+i>#Cp6p*{xzjupy9l=a6JHe*c*M{&1!FNSg$Aj|A` zr=4X_SE<$}H^0NB6?US#A>XzOqc#2gR##!K9CeC10)AZ3N+@j$GJN1QHG>r0O7ZVe%2rXeQwZ82dS#H|UTovE6sTeebzZpIQ1aYitY8uk`Xf zw|-EcT<0_&W!>a3%-Hi=%EPi*=sShCd2bIt$%z~~JI~cPXqU6aw8{br_c}~NC4SJh zOXunFUnn7`d(X`sK$F>8MNwi9Ei=3iHvL|ZYn&)(fh8TJ^I|Ar-2i)m3?p~hT9i{= z!g4A-APNZ-wl)@P`dktcAJ=^kaL?Z~d40Rqb3ROl zq>_>TgVxf=`kl4vNu*C>xkQ%VGgBeA`9{~5HTq?F3#w;Vb@fh6n2INwK5h{6h#FJWHZjaE+bu;Y_q8FG($gMP}%POB4 zoGqvMV4VvjeMS@~iJSdkG&jxW-Y?dD+4E&zpfc&0@VlhQ#mBy^LTS9f^QvsYXh4ci z!BC8GzWeks?}6FWwz8r0d+s|zJ;E9G0XGypwyF9ek^7Yo+V6H2w8Ry$vN$P&=f5d% zz<9^RaZE-Fk@;YGy;@9nY1tC|Ng4-}B8IOzZr#%*KprS@t>^vu9lJa?vH{OpdFyn6 zcm*dX(g?ojN2N3LmTYyF$+P9wxCyDI!<;H-Tgx0{8baGF=N2}LnDY9Ldw*%846SdI zUpcQ?a?OxbM_xH!DNWhtwF;!6?@kAje^@hW`>yAcvYN?Y)oo9jlp#Y!E^??xrUw1d zO)aV5<}QO|IbW7!d_s$N-vn}sEDc|o0ev$#9i?i?d#xt_(^b$r?vKh(UKjovdO<6& z7FaqTs<#>E8dps2=z2=t>MuT6n_mZ+Urk{tP={UGI;Uf*z;KYguLUd}Wcl9R!QL8N zpX$GcRlMRU&1$s}+b@AgT!R_h%InBOnkD-XWe~NYTy-QS-!mdg2FZkAtNHcthUdqC z;#!6{VTP_`^GsDc2U>qlIOzU$B$>A}>#L;m&?+AwIa%)3qflw1!h|E$8Z~k-yLwuF zt~-x|M3E-8;?q8}Y-3hFT63{0_J-(a4rB1_PFmtR;}H5BwV@>XN!g#>FSyT?2gb4? z%ze`M-9SQ)&bz|+Zd+r{;JT#St+HkdWP$xhCMhWu@ciqeY-6|i^)s-AL7-bg^zCc z+djUP13Ee+R}??s;+!ki22vQ!pZ$h8(z99^{Dvy;8&BJ}Z(@71Jo%kb^H~)i+Gu&} zlD`k8lPP4`sNbcF5?-IZIL#7?b+Yog>x)_4%!^dSo0GGoJ9C81q;*V#+o-JI?al6r z$t8Q;u_%hml#N+EQ{cT($nus_LE>jTpDabZa>}V?a-o|oN{?S;!u^ku_^KXx>k--r zS9MqQa9$dEPM)O`Gv;@N1q?q679d+`G0!_&2Y8x$%ynTE;Y{Ho1 zwgYJLmru?qW*V3MOGO5w72IEkx(X5jQQrL6^?lTCI_BuZRAW)+5sEQ%^tv*pWlqtN z5+EWuzjOqiIepNy%GzW**|M6yt@bb6owffMj&sl9;@bK;0dp&>S)Xfq%x1Pi&lXt- zLa$JGxeTg9!i~uEF}+Hg`G)akB{EqLsm3tU*I(Kf=2Uj&`Z`Lmx7GVJs*+AmBiuqS zklu8)mCz=efAa@?;Enpp>gd!jpSxq~L0s?Smt)O`2q?)w|E#Qzr{fnXv-jk_-i86* zRX@^F7dd6aPJQU}WhJ3(%tMRcFivKViI=?6Hi%2~I7uI=R=CFfNJ#Swss*yln!s@~ zUSgLO{*fbplo-{?y7(v}<2{sUlHYEzwszVXKi#J!i{m>p>|YTMfWZA7(K^_;R~*ZW zZ_99^c{k2vvD}uWzQ3K$3EEw5Df#?8xO0Sa_1scKVRh0pc)c8JrxqBAihK>Z-hrSl z^qEfAS-vL9c4#AhYg(M?`;0VuC^XHmBAmxnJlfILs)tC_V9BHi5^GA;~%MPXqj9!ShH>d6h_s zqY{U(vR_h2@wMTwp}wBe4WvUpBrLLo8`&TvgTBLE=}fpsT8p7$~Q)5GQ2Ih!-wM5GBN_Y^HSAR(pgot%1}7m*(7aSzK8=K zx`Zb*_GN3$@UgM0 z5%|4AeaVy1XOK^q5PINon7@)>F0}Zq@1T_8T?cQ;%B1ZlKuGaCVl8SNt>#`_m!4!EeKuV`hb*D&5VBPU)u}WBcDg_ zu+7HHY2QaB5R%yOih7=^XUl3_3$SLBZB;pX5WoB(l2`p{{0vYs{7@*gKv7*zUT&M+I$)F_zJs^7^>Upo(e~D!Cof@7N6plO9nv;mZ|kn9 zDPCJY^0*Z)54MYKd_q)>ZCYQ*?h`!o{b}2ew8YmsvW;q}3==40BFS;-4l3-~pL`;! z7(7?e3*4m7@8hrccKmYc%M@bS1`T0tqWBV{HgG?r>{qG1w5dD{A8_;PX+OWOFNV4Q zS**$qaaJE%v_TVV$J0H;Xsv0`0cdi+=S5$mm(VGKQ6!*)5F2WBZ79TabU98w80TBKCIIL;q)zO}Cab z+~+e^RNvrK!?0J4D>jA>3&toD)?BHp$UVDO`LH+w8eh2p<-!@#m6 zrhvG;9jnrnhezCSir9fas8P&DTohqv4SR+&ZeD3Y!Evvc-zjn{%aJB->-xO7o*D?@gdt zJ~abf8Srpnu#KM*x|Oc3Xflb<%a0lk$zSEkL!SVKhc7lS$z+KBh1E%Pg-! zqVZcgQb0Hz|4=`PF9@}IHE}0+m5s=NhZ-*NChKVM4Nk-p@eT306;iqw^618Vs%%{c zmlBqh^s1ob(O`TSl3!Pt8c{WqFa&>CQWBzOjK2)V_qTzc(u~OTEz{Ns2e^31%Wzmz zr9VMD;AcXXF7u%ht`T&`LtK3NrhxP$W~3{HMNUvqaM9NH4d<7MmjCkTS_uqHS|P(X z&@VU~td0-2h}$iX{Au>_3F|wg&W5H70*^d2#t2r#6;ZHBnan~^N!F0R>RcZ|{|tO> zTwyjf&h<(qnbR>>XwE&hx<>meM0g(*C_?6y#HoFBt?K~Ti*L4CzBFN>nS<`J!u zrN*xIU<8}%*}p?J8*J&>OLQ`jh{FgJq8t7h+@ztXpGOqtv%^n?1)G@qw)5=@*LLPH zKhsY&ZW6msl}YQ0R?}}&8pG$$qg2>*ipo48S38Kz?5mOYNmqCi>LKj#5b9Vw9qL;? z4G?O>!VTG1MNZ8#oqh~EdIZQs{dy`zqBklFf&%iOgl{H_w2%)kkq+mczF#wrxP@--X}Bz)$xgf~BqKbo@(IkdtO&2ZaR;Y8af1F5-z@ zBK2mlgbNn4POFp3xPlVa+UUL|eq!WzZ~VH|sv;$bX5)t4DA4hC> z>v1Sf;P@_ux2O2>d1?FY7Xz(xfHiZ8OfJmjM4sb)0`49(bH0ppx|i={U>vo=EGM`6 zw)>mCBJ@vW66px<+Z;Cz@Z`2Xty!&--BLfoAKy1a^$}-qJ6xtnAb{S}lErSJ6|tj9 zEY*Lge?^oLns5pJK-yIcl^hTumz7BzxKQ|OU#tq3f&yO*37A~gz3e7~#-wj(Lw#j; z7tLE#`I&T?PB_|yq3)6%vCJcf#vr*}wPtl@*ynKk1$AR-zB1pIToBb%gxLed+B@Yi z70l3_62S!{GZf@hXFg~mINwkwrL9k{erSQQKBzZsgD`@*w-Cs@ah`oDlYHay;fx-d`I)x@r`%~mfzJUN8RgTq*fIBW-PynjTzfU zcvxVInSB>2*r*PJ0>8oPNVh^|4b4PON;waa>E|)Qn)KB=&VLiN)?Ie}C26M&ql|oQ zY!V(1Gq33-0h`B9yTx8i-?Vz_*f|Bc37UxkjvQ01iLVFhULsCX=)^OHN*PfE?`}z} z2HHh>w0HcdHmCE`s;kXss-yK7y56>`vXsEt%d zOs6U4FU%H2X@83EqV>BoG6~pPn5a6OaX$FjtbX2cftnRe*V5stx5qY4?UJmL;qwsG zyMDpL@}rWgBS0%Y!ELzzuyd`EPvrfL&PS4gE7a^CHBX8f6P=zZp6KrKI|Fd(qcr)q znAmoelf%=mBf0=8pW^Qwj8CaFcxTMdX(%?_scT+a18k1jJi ze#S{KeB_w<*ho`*t+X@VLqW=R<_M>AZoC<4lx4HfH`C8@>Wd;-)j^97(?1uW@++Wj zeEQ9-o?olb<4;l~H!)8o^GwGsm%;sv{1QnL%`HRDRB_y}=9eo6IhuCNj9%^{Z6rq8 zMEO=no^kY|E$d!Rx>tH7ZQi{6tr^aKx?Hb~8UU9`_0xhTb~ z-=sN}dsbxM54QEr-1>1lH+-F;lgXdCw`Ey4)SES@uUqLRJ&-9IQy4~S(36gl@*r4nnP1ZFzEiBDU--`F4QWDlr*&Hw@vbC+b;2Bb z;t)|CKPa@5$h$XiHQnv=Cp+<7z6(wQoH2t^(up!?545<8n?Oul}{x7p>jWMGPPW~LMMu88?w1zSUxgqk6F>v4ot z#3J4_55*2=Tggv{>eW12g! zz{KOJ0@ztJk$&jM!nx`3Q)#qK8@L4TdKl8^y#GvMl#@BK0Pro%+xs13t>Are zc#u07(s#!3#m=+n^rIM1#<5(4bRQ8z$8 zt9!#)4bp}FD52P}B%s)l`RCRNe$$<+L~+fniC4zoNOOm$al3hG;)fmXR0lVnv(4c>xz|Jm2 z1ppWTNxz$pJ5*Ejpec>G^-bzHSU})(E3ME}yQh6M`;`Ni1?bYKcRPK02vLLwNSKy~ z0RR^r(u37^c5=hTN8e^rDrj}qrN4(TwX&RLY1)TEB^#5LjoY>&Nn7MBwv#3`gT73@ z`K(B8E!?I#`b%xN*lq*XI~Ioccn4lD?aR2}k zuu)-%fU9qdi}TCr>0g&yn@q19xxXxZajbXTnMvC0Nvg6*q2=v;M}E=7R7A#rp(sqD zNCHU<55W(CE_Q2ljR4&b{XlePqQ1hp-sWK7Dyv?h?6&S~eb5vAfvGWnTf*?=aasPd za|KhHgvII%-?0mXwHt+UB5HcZ)JMUZ%$d9CF{hl&9AlyCh&&@@-Y7;bC0`AvB%k(& zX>>_F(q7JBQWLr+h3JRmfI3N8ZmA#L2Ueq5kv;hB@%_n${hJi5EJ%&ny{}WzKNr(V zzbwTPd3(OYhxq?S%9z`;pmBDe>6F-rryfG@> zHEZpy>MO!Fgc6e%a+RhEr&syLgob%QS%ZNR$+|bUMj?zNzQL<5pSFDLIViGPIAr9k zMKl5%6-DNaNBzmZTcUOl>m!2>t~9|FB6`mxL+~p`sZH_T6zIwE>tI;amEIm`x{sS0 ztV}%6^ZIHNPC#w|H5r|D%vcIMV%+C!nKNlcJ9lB3_jCb8UqrCguM}xWBBcjNRmzJv zZ(wILDT+eiad>%Zhw>Po)`=p{G8B2HEOHYc3_dCT*%#|g+Z_#~tkDjEA!RRiI zm19P9j&mPzRpC0!35%){S_n;nrwoUJTwzhFGCY^UN@AYt3p|`7{Sve*s*f`80d|Ql zDmY49PPU9p-x~r$*-<+LQ_ zDI+;Rjnq|6<#KOId9Dfv_a^3JqNH>#ywxWQ+CUQhoIL}4$jcFmXY2r=l$p|dq@6&QIY?TI5dyu}&Meq!yKnyuz^Qy=Y8^*%Y zUJDm6N(G>oBE8g4#m9yf)fSt~55%$@@H-V!#SWCabXNVAiSTi$#8Z9*@8t9HrpM$r ztw|j5bzW$7h)a>gHX~=kjZPZa?Ow8d=r88gWK`NAvK^S(EDE?&qo&0l3Hx&#*glUA zTq`1&%bp_gFog$a4_5RIL}*tRFxSS?9t4PcD;tSp3^Vjl==hU{4iZuLod*}QtLT_T z0^gKocbd6;f`d>p9yUw$M};M$R72A27ICl5S*gr=w~39TRW0X)Tm6E;i*cD2Gv@-FC;zD#NoA?({Z?ClbS91>$cv zX-i)l+i5LqS1G^eRQTv`63>hDC0dY~X z{m!3-it}A+dR}gb7o&?u9BM5vvhRBv)gce{!{lKUWv!xeY~o!%2DXR)W*8n;UhX`C z6xol-!IB)|@lc9iylPmh4i*unu{a3G+6UI*jf^r*q$yFB>IK>L1I*PiL^AHbXt49u zWn+x35Rq%EXo_M>3F92sin7^Ij;u{35FGk_9NRvKs zrYST*jL-*yT`_4!khnN6nBtn!(oU#+LuRGOikVJ;m{hOs^z7-FW?F_!siZ5EAJl|? zruYV#C@k^rs$sdKnd39js|>yd22tf4L%(U11O?J^Y8FrN8A{1iJ3?#e9>YwBJt+d2 zrYMA)6f7cd=>*n{^0Q{`kreJdk=7F}Fjb3A@Eje?lTRv;o>tvp(kGsYilGo}>RD56 z1IU}Ey@U&$Lg?36Igx5+j)Ry#j~mIp$~r!O({!|O7^y>>rAtyn62VnggAy5Qm3bA( zz>X9lO;fVMZ*n-(*3^p~&`z2miDdLyMTfK|;>e~bgqu=x1`NRk4d(UPSWvV#i>;1- z2@E+G0sl?Y(85{LGZeLu1*jF0c?Cu}7-(iR$ieNYB5EXQ#mZkAOjBYSI*jm_quDx z79*T`PkiElba}Ws8Hzxrvnb6OEdw?9b|jci@VjK{@Ai+h;`yd10h!rT4e~}=MJsf8 zlE%S281j;nL`>IORjz12ShPolhHI-bL7fF`+cn08k1nv5UKUa27GPF3`WtNN>g(*P zt?M|#Vzgglt1*qepVfSZJM`cgce41&HKSpE?lxPQx0_`ci57k6S2S{vHD^)F8!zUo z_a^P-o=>@#$1R>-57#*&LJGM~PPF`nq^cL9b_Ww>q9bxUIi;OWg?hPMu61P+Ykl4; z$HJvutIL4PThV1(tA7W;4pOCu(GGC9!;AUG+ud_yivvf)wUSxLOs5N9WUahqg6~W1 zkE@6+PgnfhksL&tn^ENVNGVyx47FSP(5{OjGJ+g2ZlOibDdm^kvSxPI2zcL1?0wGs zB^Z`nR7yA_(531z2z@`zcIPlhju67C?5Z>XR$V`lD?dvn;4C}FFx+Ideb!Z9tSrn? zRuvnYUe8L=*D*#x=plzBE;AJ^IiU7KKo5r@P9UweZPl0KsyeaiW_c=)#FP}hYn-va zfWlbFr-lLj9;fxV5s@uSArWysSV1)Ok6d#vM4^ae#{KL+*QjTlSw+po@tj`Ek3`ix zyP{GFPg4$~hn}HHMq*~k(5-uNMKw*uKdvWn@*WNH>O7bu!l_K@pk>#uB%d?r1~fFz zpXdOY_KCPa7gjV?F)78WLYrSpl1WjV__La(6M@JIlqrtu-#l3gNxxv-)ra&3TirIT z^YOiUO~M314q3bVO<%2X74WgEU)9{}DRK%Wi}ib zt!RN(&}zaZ#?+|Jyk14<6PjkRF25>(Ycze%P|y#QDF(F!gxdA&Dpzaka4vF**7Je3 z9m2>$Ip7iJ%tr;LG|J7hzoY$g64UGz&DWqefEi~NH>V1&72a|>T=TTVSp0xe(TT!? zMh=mWg%ZjY+G#--Kj%LLUA_9cZia`WG~m8EM2cKj9_R5w5V$0Wh#>Jy1AVvmkv$TLH4uT6G=VL z*DOs`g+;w^&{c{WQ|I_w8I{o0yePEmJ2;u-=O$K@m1_13Fg@I~^cootXfCPt9zpw1 z+kDXJGE%#rhyG6BadcJFO$!)l`p+7985EqHN(X2L&QREcwMX@zBAj2ZWOY8_TBP=G zXhem!7O|yd6kS41F$(*y~SFkQLc#B(CaEI2OasDQ58Ix@}(c> z*NOoBJ3Ol_nu?e8fKuZ!&01CSLG3exd+LdT-#e&{Ha_{FgIa3keGfjUpcdNq`-7Xc zEB#ipB+UnO<4ucZmcyEEDr}tSnt-VHmt4#|=_SlBkr}$=dlk|==ql`aB^NW#qFt4f z3wD()1=gYW{JF*4GQJ$!8Bu57>7WwY)-+TC5rvvZMGv4~#5q}1X>d>9(jzKrdGA%E zh0zvQ9r>a~Z?1zT=p)Z6@|Zg`rcg6~Atr}|da^p|Kji4NH=2<^6YA9=ei(GB)a5?@>yc73m+nwMQgy%V^U zF1ed|(LbW)F3UfEi+CK~ucqs$J#B}Ty<%Rq2&Ni!!Kx6xt=gAniWI4# zs%bhZs&e(ORdzXlPL~5YVOifki-=Z-NBFCO6gAzTV;MsH~v9*u5vt&Cj>QS3K7m3i^}V{ zqsI0b=h~yX7<62>hG@m}Bem;b2p-@f<$KQITMi-h3fk!{m(uA}UERk9&*i=x3cg}p z7`Sn1OVN08r73kC`&w2^b?~G1!Hh~L_-pClhN(i+p#lraGAR67xKJ1obBfO?2pSn~ z=S2apFvdawMWOGp)miJ>>HbQL}F<65Ks;vFM*r4BAz zJZw`#J1h?!FjU=2-_@9Vj&T>Qg!_$E&E0q6glnsZoCRhHxYn^26l}q{RZf7oxUl8w zHpKCXVaM3k-qUmai;i)h>lQxkqY<@{fb-1z$hdv))eWFunL2Adx6rz&)=sWdn(D&Y zE_a=-cP$)Dpe>+yYI_QF%U()9&h<6*)W9#-PD!xnk^`xKx(uD|xITEQ(h|#}QCF<1 zrmExMp858hsh{B`jaIAY1e|9_g_smE;=Szrs2_x&H<0 zVlWRYAqsrEj2Mqaa#PXbzQxB4)bnZ{kvC0Y9bOlLF_Gh6S(DrN9d+ku6mVhjrr;Fo z6mg4#KxmKhFlL9DgZ)}!`2*KZEb^EgFiFY} zMfhk*JVjh4EWf-uhebml#4rY<&jXZAI4mey7H zd{tB3JQDus_Vl;*x^Oy2b>vp}t~T1WF{iywshoa`JX_}8h3*gFb4y(TRX>I5UMzzp zyK(Ym*n@5Q)zGYJMbF~Y{k(^EQrsW-jnav` zcd_KWsNYI>rC+%1cI@+9|6%yrRMKN~xpuAI9))j>{R%}L#U+3})LD^Yg>8~9&rv|L z24uwSd(t58-83oPfTw$yrK!=STT*5|Y6!nax_l>@cUL8IN)g-jlYTJ;H%Ou+d8aIwx7>l||G^w-)`b%kofSK+Au5O^S3ds4UQ zzhz0cNNUBAH@=@b@dV5To!R^TF)M53#dn#sxLXwF?beE8viK-!i9=J5icHnfQ7XFD zeS)#e?n?G!xd%5@a@A0_!SgJ(-Mq!y>9^~9eVutIFa)0ZEQ zuTKS(YU~r`%F7#=wLOw|(>AIo-*5X1b<0^h_xVt=b-A>EAHuo(IX;+Kesb5f1S)ZX zPdN2)5m{6^ zBlgFX{FCO}`Xgpjic*`>`nH~b2BHhNSlhT-3+?jWn&o)-d4_^pxDwPX9sFd#|C6NEYrS6Z>u63%qVf0pLG2=T?N|+yO9O@&?-@r>jNK)9dERbfAQ`{ zrLO9D>ve$m+9i!v7{-yJyxA{e!4Bn=oUxd1=>}2mWAQHhFV2oFfkD7XeTcw_0(YYv znflVp^U`~c9H0ELyc_M7xx6hbtzb6ioPP`HwbS)J7l^erX)?uqPA*IF&nKY>s-H}L zi_lt{NRJQioMV&0_bELNzHOKPi5O3gG1K(t4wvXKB=JYxTH#}PZ6JJ*>NX-@k4!UG5I{vH*W zO|847)wCMP)qA7;{cZ{Qr9Iv6ZXMU|6bWi(OgfYRlofypo<}s!eBbVk7R(bJ?)@L* z%O1NKc;|X)=i2k=6l{m=%y@Yw5kPQOmG2jcVe$PGG3(RX9(u!xpXz*om~V~=pP^IU zqcUgqv(@_lWQ!{AryUyqT5hI#)a6ib%DCKnvA=BZj?&cYyvX8E)7pG=kz`zYPj#m2 z5phGbv3N7d@361M^`ltguW5nL8`ektXC)8SEb$QB9z0*-n{v|nPHcsvRtnD81ak`i zd+Xh=eAkqhWlMZK46%iU@ngg+1E*nR7n+sct5biCWv0pv>9wpf7|h1^eR0Ue&DPmG z%m~Re@)iQkx*T=1<>S>xA}4ugK7K`=pV}_=|9!Qd^Qc%Qw41-4OhN1jycMVpIf!z3 z_p-Bai)<9+-ftRNCcdy_+sUM8K5m%ET~jpV1pa88A9;6!tt`z|6hil;3jGs-%9Lb6 zzf!mvbh*CK9OW1Nz4wsrHD-*Ayc5cB>13v1tr}sSx_3aj!dT_~e!AD5(o-F!a>~EO z-{>PMF6KZ_$A@?!bmw+i?+d(SU&H}Z%X?+U*4}6!VB0&C*wTF^z{)6vY?r2f%b;4Ww$$&yDT#Qx)mxu3buWP}MOM3Oav@4p6 z>8$qe%{p$jv(UEbb**|tGmdPw!W_6@Bs?ott_Yf33G zr=Tesa@XLl&e}SP>Q1bg`DcRx5Ls^DySfPuuJgHOM~h|yISTcqq{*%5PI|mFLfub` zW-L9jmoH`!pJf;4kyP?gI(fe4+-R3AikGj{E3`HEi;W7^GlwXn%(wKYlf2ugKoz99 z__C!q^JDK$xfv`Ndy4(#I#4=Ib*_b~=ycsU-TljvyU&f9G`8AYzDVC$9oy$!h)KJ9 z{m0tE1^gTr-B>c|@;56alODgxh?na(Z`9Hq`X2dlJz}I3z>jb?j1mqg4W z2gXTFF`Ppq>P~}|78m)q?@uihoIkBC(hQEiEMP(u$(==e83tTW`0V}4btfDb8=JWo z7kseH?Mtgd#eQo!{erZQ<(dvcW?#4D@iFvwG!T0n1_G18J^paV_X>16b{2z7%^FEK7sG`!{_qpWj1GJHWor{Z+O2Dtzxl-K9Fyb$AKowVtUwOYICl-MRYek6sMmbyBca3ZlpkB@e z$^!08p|;eTw3AiLx06oG=0_t1m~-h^zL(4$yC4NM^O3KHbswliwZsp>hMX%IDaUUR zStgH|=joq96(k>+gTV239?yLC($rpOTP{@(B&|uaR#6Xu^5pKnxnv=0Nz?Yp$ks;u z-@oekVrL>Dm>EM3A(uuJN{(B49PSQX9kr$43K3l`P@Hd_vrn<;Ycc!FR?q!D-_O@$ zU1u`bSu&fAnuhYo6e}?}xYnhR>q(3!x3E6*CtY&1Dd{KS^%sEX|6_e2Kx*1)p?5o_ zd|eS?Ju%?OIV*HcPh~sr#Y>rSzfbRcMk_*ZvNP$h|Z$^zw*xAxpF*4 zFsQqrPx&ZgaTwZny_=EOtEdR-s2bB3;B2ZrtE5BBk=M8qsNDsOu5F7%x3eB#H!3Ez z1sxtI7bA%_CUnvf<3E3s!z3>{eHb&OtaL!TQp?LlFqbP{m~LuG$gpW08C%0)|G91# zP0ZyjbadxJASA2S{&U1Ie!!79d1`e*Bw&^&*Czy>9`~X$# z&d9ZSpMjDgrONfJJYx~OqofjTKpJPY$&iVq@Cb`?ycRyBIDo4U;k16xU{Wen z;ZqGOM59@MWMBNoVP^wlD=RSc>ur6gzgT^`eI?p>NR84_KedmrjSwLCf91l|Kaevn{eu>6 z90NB>vg93v>+=gnRk2I|9YCf#Fy3s%=P0 zw*uS-R?^KuO18bb`8IYeWZP4=pA4xCQZ&+xA;#ZJrU%H_yUh)?eY?^IRr)h-kN;@iVPJe%SRlU%Cg9 zZ*9fP4Sbi`IO6QFU`cM)#>8p!0oJr_0qtV9_?^A2G`q#~L&K+R(#Rx%kP%-CbAa07 z{ngcO_(1j8@<@mNk&z$SCnr&|H(BE=QNWX>FC*X>8q0>ta^3TMvS{J=cDv7EjyJpI zbM=nqWNC?y+q#d8>}@K)`fq&hw#-zWp?OED?u}GI2582+(%Z7-Mo#XI$MoIlQ|j!t z-&h9rsJnp}SHJ%7f-h>n!JO2n0C#sI@M4-}Rb%-z|dRFiP~of;Pr>+uAC)Hwc4st01^|yEOqkVfTF4Zf?&6uZTov%HU_m z6rs+J97T4`+dvUG54?bojN%C*`5gvtMYaBwxKCf&fNkGx*nJo|2u>>sPJ+p0-ZF2* zrD2G^!r*BH#)KBwnJqMrbi6P=e5_0lbo$*CUI{aBQ}^M&-g}=PgyYzLaeWxN@BwDLqtZB?%O2iQ-$#6UQ9*~S}tEGS6t0y(|om!P$>}UB5 zhUg`JZhTqxsbrV2rQ6W@6mvT{zX34--@~*uven;Q0Lu2cgLx8n3wX)rm=lKm(NZ5? zG9q~#d123i_2BP?Zp*)VF~&f%zhdRGlUVcb3VDS<0TGnq-rRR%&)>)C!x9<)&5SqL z*854#&A}V*cb||JN#07@voe)7POwJ<}sy_J2MQfVY9)eo4@G()w~P$)8G9bK5_W(9COOMIlrNb8l|pn9TwN4Fx=KE zHkX@R4HYMukQ$=p*n86QMNL6TkYn#TDSZeOek3^=cD78957I=w&Np;uC>P87U&q8I z>6vjF7AIy=66~ZfDGf4Apd={dW>QHgyT1xSyn8TZeD>sUsgf89QgW1cu^ceUR-}R` zBur4H>^yVTdniFa(IZkZM9PeWqckm)&{I`8N{31OKg(zR9Qu9p{H(bFN9TicKw9mB z>3<^<&U8n=r#hl2^IKu64QFluc=u9>9@MK(nWC-++f_fvXdXXuA;jPNG+chAtGZz&8#-V(zyz&RsrBpQyzOH{0L==Tl6mggbvc~`9DN~l2eM88DFvrW z_^k4>#$x#Y_W>33z7iiP9~0KKzUeA|lXFhU735``oI{}w1Q-+5Ot!bAW{3zY7BX6eC1080y&?tJPp@QuO*0L%)Q z-8l%e1&oA=0U8uEw{x0I6fg=V1aOY*5z7tokg{87c+ON6qBJZJfOS-RrKF0340^dO=?))c?<9WBog1r5PZ~`2f@ZCVnxYRS#TUS~D+8 zsswh5U$Ar7>>MDxQr6woU|T|WQTZv;oD1u>PSFl!AW_Q)zsc?E20Lv(I?7$>*ZAvq zoK`LjX7R3I|E)HLT2ZT9heS#~s>lXHsvMFd>yTLmqjGjbN(!E@*R z=Z-d@&O_6+pXH(dLlg4 zW?V4&1Ayh_>|f>t021+b^@mnvcy*tktg*mDiiH28KawA;C16nEtOS`vtq*zcx?{u2 z@5etp+QC95!S)hh)A34o4}S=+39r7cf04t$4*->yaC>1+2cRl%^&t4m1p9hvjp(3> zFA;zfQ!^`7Zn`19FAaZIVtmn;Sl_SOi{#KOA_jQO@C33T?#lO@Kgef+^M9%y|1|(8 z1yF)tjpNfl07o?&pS6%6+^YA4Mw&6v4@F?)dI><9^8u5HmUn!6h%#yW6$SA1^x+@h zX!9YO;-m|+r^gefI{4i!qCwRS0{aMpkJoy7~=H;q9!zw4h z@q)8eJt38Kh^Y%;0zAz59o1)j4qwZAfQJQ7 zVCr~I`~>rifaf@0447wiJYRsg1mHU|50|_xCKRgPg3|zWkuS$*_^g?D*3CD3HG%Ah z*-ikA-rfYL0O$iyx+-=01S1*e9Ef}XV9uG*Kypo0xw-H_<^yDNd^|^Y5D*0b1OPHr zMeiVku~W#`;H+|BG<#>EiVl?&f1{P!4c zA^;f~u%ZJ1O#pA%Y<>5VrPF#Z!9Tw_pNg%LE$R8m-gFvR?HJ0)qnOR8EQ?G^|lMD&rXFlX5n9462~o#Rk<(Xw`fy#ggQ^B(b%GpCpXt z007Vo(aZr@xmCOM=DaW2-7R0XThe%3c$nm9lcZ)}$M)juZ z6D};iQP&{WA~l*8Th$3a9viCl10u)idHcPOjRCVsEOmB3fvKw+=mDUch?!BTTM?Ia z!9^6eC}?V8L{wf}1-aUB>9RnRs8nyO1Cpu&f_00W>KGNKbyJ;3C%D~Eb%`P>ZY$Zc zGeJ@rDx%{R+T`S#B<(sdx~5Mqxe2+r2fCB7r$tlL*E#snaxgslT%g30vRksUEs>wyOus^Y1+q>Nn%%|;8y^RD*w9Jb7Vr->H7LgVm zrZcM^6r|TOF$(Z-zb2hnE2b+Cs861z4!~Ns2f*J{1=4PUeOfj}u}w1l=RqO?hDC^^ zgc)$Ho}$(S3fEQBlZU&Uu@fs%j*DXx$pPKa(~2_cXcSdpd1Fjzn-K(5^Ug18#rXFyrntwNKh( zVyokVb}b^J+uv`3*B%O+BRuLB```0|lqx@RCl-s1Fu^W|S;R0FB)iO&#Z=U;P()!G zGH|)7d|P1sYQf|x8}hsEvAtzARDS|{pnut{B{f12SZPD(;lIq!Vp+4F&|8QnX7k>$ z`T5`p3i@jq5rq>MI4(F+2KaC-ouak5MFg8L8dp`f^y5m7pE>WwyMMZJ7m1%>x!{JXWBio&sW3JmRX=`eOfw2st)-6%ifwiJ}sG|Rh{U&3_I6)XcozZyRx7BqF%nOp>ykb z*H6`SF*9JlP7|>Q_0HHW0m!vshCr>DqCU1FyYCcTd_zX(aMj05uD?`~7EMvO--_`M z(Pa0yT8~BHb34Pz)5lKBfkO+b^r9b|L(AQSo2EgmSr=!bgTm*-mYrCG{!*(EkmMk0w$+Q z;Kn9rQ%a)Q{=2^lW6e#W93gFen`sp|r&g=28ZxwEjQW@s4bRz)Ow-PHzGDUVAKXrH zhJuumGV0VZW9F)H?jOyz(-oVc+I~XEfmm;(2^y4=X!Z?+f}VJ$BBR2D^G2C4PbrFK z`t0+s=vc=}5Z%@_(^CLPpupRW+r(zO3=B+78epMdyq&B~g8|zS<3+CFop=QL@!my7 zVTM2zII^3-Tn9-LbxIWxeYYa&Yt1I+6POZUi2B=#vaA!_ZEzXIS~N!CnK3=`+i%wWqS-{dJghp_;}YRX z()QXtr?V4p%sN7bjf@O}DVb(ZyNQdo;OXM+|95X9qj=93h{5w=v*){UeBbrS+i zmgh8XMD^(3f+>H$ZkKk2IE|=Rqs5KE+)^2N#9^I@l>Bt3H!0@UNOT#?+}J@E%a|x! z!pH?fv3_Se`GQX=^k!7`n(h&fXzYxxO36ZZWH6aD4RnJ~YwPH*>AS@O!kbe{iKxGk zdY90#pRel^2_3*qv6Q^AxqDA3%4XBRc}Y*JT>?%!BK`BQqh868lZGiJ=`|aO@;#Vo zX(mW1Bcm|`#M|rddy|Q`nyE&Rou_@3l0mrhCPh3}lQ#HUYm`PNQkxtD;;LM$#VCVs ztO^6JOlG8%MYCRaJgnuIb=nJ=DVs##U(w+3#DJ7#Pv$|(J9k6L2mnbHI;5ApNTKPrce>*Ug%Q5G)RfL|54_0y$>eII= zFW9igz|WHHq)DNa=~2Y0;mU-UO35@Ec2~bjs>`|PFMQP#6?K%7F)C-A*|M?;g;Ebj zqJi+|O36YO{=a=9p<}UPW2At;YVIi9z_Cu4xHTa3S|FI1^MT1Bu5_p(qzb~!(<1SP;qs?r_nlC|q62nvUMc!3S%xMN~Cw7odPnc~5xN_KeYis6{ zms5Oj?F~(GS~;zJqHw*PV3?O<8+rUdmtxv|nF;l2*c1&9I*5g}l~H?BK7t3N&uzoI%T<$FMNZhx=QWIPySwzkB3Mb#6-xOO(*f_a zt8lvfPEoSMNH&&7H*TRivF7kD-z~i^ zpvLV6X5yQ}&9Sn`ZH3fWGA4ym8%G%)D`3vYP)ZfLf%?@wjhm>pz?6bx7+f+g3fHnS=>->Qa|J4SP)efN{=2eJ3CRSz|CaVO2wC?!)=!s()G z;BT#(uh5$Rc5glL;qYUPG@;s$lF2WU`-;3elvJ~!ZDioWYSvE2T)Vl=&$2fPDDY3m z-b%umj7ZY^xKq$VjZ^n6Z#=f?>a;zK(Iw8HyqO_CVfE*v(=O7|k)np146)>^D^gGU zQK@blCEcqowxL>jwq)!!d(w(R{?#m;YLRcycN{{Gf`UVDpP-ywdrUtdzNGVnxI1)G&bbi9_vHo zd6G7cAYA7z_R@3blzxTQ|AeApHe&mEM(1hKlQWF7%LYtKbxdL4&9co;Hh#QR7IB$= zDf;=^kdSF@k*@KUL%JG%YQ0ZpPZvTdqxU zUBl6YOY-h!Ow&3Y=xg|haAlYfOK}P_HeFxB2~0_QF+-z2(U@VeEf1(a;ib<4qW-|HxYOO3WqzXennEp|sQL4BsOSKD`$Ukj}4AvAxh90e%S__*?wO#hC zUt?`{GiqCHEhW}E#huZ@Z9bhpd%LEKX`rgzk9jt{{O;_%%`G}?7ssF4)?6S1n+bg& z(>QF{P_#GkxT3UnT(p}lj8jbhDy&3p+sO6T9+PzxN}pR=!LgxBhJA#i$EZ*CiLd&% ztQ#|Lisezga7zcb4hVkjnaX4x-((F-n|R_{SJPgv;K5F*jDr;95~l6VVYDC zRztU`xHIkCl-hT6+iX9#w;=kKF616H=HyW5UVhJBT(l4wDQCSj=JjBj zB&NIlr+IURG?ZwUeWgw-K9YaByLNkge8X+q8Zvo`ChBeaYZLb0WD`ze!=LVB-uk}_ zaxT)EzEi1_VnUcDV8q~@nj$BWofzC!(+>RoRQ7CB`+FP@tB!M6-^Ejh$wLvZB?SJ@qwajD0 zXEi5!$I*pgkS{aeY9qOMR6AL#r#BD7>P&1RHu3VT{$gX?_J=r&6Wa7V?`nSj$uXV* z_l|c{^j13)gjKEOsJ3%U-_f*+W>R_jR6Zk8-ru&|!quPpVGsrp{PTM8BRCKT-=^sP zjt~h(=_@&y0-Xu;d;@d zrE$z)2<-vZ=RJgbg}7t%M96r{;$azFAo9|=ZAKN$Ulu3KaD zag=V$xG^M3WmT#A7V&TFUo$=Yz+6h%LHhDm3r(Ac-a~rpQqw zz_#eiYE#278L<7&9=0hkwqOIj2X!2=a|h`5CJYX0%r6Ce`La4A+_e=Uy$82qZo-Zfn+B>CV-%<4zRs3mdF0x0TBnk`WV+-q|^~* zW~SB>J9gj@)V4aVT$3)%zlm4*A?j*CR6VzxY!v4OR}?%`Y+w659`stuxBx$#I%PX|VsdLGwqrw`#h=rWbk@iQDL{|2_v5ks($J^maI&VRHzSBYGx$v!ZS`KuOR{tKnITKRJyQScv+ZKQx{X`KDQ-{H$ zsI}RnrnVoe%?&!j<6MnD98fjFd0xNc@Q&n;ODkm-M2!q5Wpa$HY9d@gful zCSVsNZy2z|^zpF_oeRR9$Xhj>LHhK6v6B05Mp?X;6FzSUh3CH$i!=cr_9CPIQcYO& zV}6>{yzbU%g(TQw%teb1L@5(;(~p~6pr1;byp3&2 z9c`lrF0*OOZ|@r+72zOX`AQN7cfu*MX6=k4#<#yT3R19p5#cL>tHKY7cYLHR>01=_ zVK?er{oh^|W7(nm-w>rCOzBq^O=n|o1<;pEXgD0_6^1hLT50;wcfxA@D2`i9k`lZ3v3acd5Bj9H@Ig+3&tvWDxVW1WE24?hG@5>!AY#)5wZO_( zg^>e9e`cU*8(gGP<8I8x4hB4GPt9vKOdS2WBH1J_h*VW#dCw+kKEWEHFrPu5D+Y8L~SHKXMYhY&hhTT&+n*`di@bLx~ z8id&z=3sg>`cEGdk-0N^*#3y^ee@^ic{CwX=(`9rGb;{)^@}`K@F4is>QYW12SPmw z3q|6U2m&Gu&vF|vqeVPpLB}*cOx*sNHoqJ9&#y2}M9)0uX!>?y>CPr6db1V=LxY{5 z2JFtw=Vw{A%l&$2MeCqB-_FtrPfv5`bnMV`qSOgOT@B=XG9zD8O?iQn{jBHFs4gTl zuru$J3I|ch+IZ5MpD^#95?+pWJnOqTpS=+pPXqd9Fy@fd00dn%>{@%txVVkdUwS)9 zm_PjV5F*36))`qhbg*d;*XNr(ot;4&h@m+rc^{LsPD5tSmh;1>B09qEiW)&sszL5* z1CAUs{%FSe^rnK|C;4geX*redyLB<*=MO<)Hsw>{@1pdg0|&X5<~z}(K;Lh@OAR0_ z)v%*Cv%Zn&fN<5HvgATs1Gq?WH>+6+G>_TQL2E3s$RW%ogwK+!+F z&di5a-Oa^h-N@dd8rpce;X#;$8V*4NVF=d~m~MiAgaT!RG(wgyKGF#Fm8C!BSs5?!H98x)odoVG4CN^ zCGUJ(kAS~Ky@DVl)sQH#TxOsOB91H}ieSAxBjN!s0DNqWFPGKB$*vLU4Q+};j9tZN z)bauil#5UhX>?=eP=KHXOD!x2FFG)ClWvnntvq_uwxO$$zBV}V?TP&Oa(TzjX*C-9 zq4mCkATa2_y`+v$Y*&gZTsCkF-vVpOhfa&a(BML24Gqb3={P}6i>~RQXhG8o4uU2O zS1zf~r;zOT)z^*U?1%fR%VF%ppcgdi9i(#Wt5Ig$S-ru>QV zt_^8+K5C+2XTz;`$MUuJhljt+wsr8r!m8KE%dqZyz(?F)KY*P!MNK%X${-O3>OapP zkMOBaCH!u4!R;wv?`4?U!MI+Mw5OljARvZ;Cf>h1*H&&bNOmDXOqT;i*5y8o%wo(T z00aO65*8#9NF<<8f`SCV5`a(ug0RBEMS_Y17z!g1+O8lhD7@lQy%6(%zA>^ZO!-(q z5S*%X2>FuY|d(wbV!JjLf6gQXM5~?uoA+imQfgRRqkx zZd4;M8U3cw_~iD}^(1?3r6Crhu_Lk{z3S}*w6s)>8&r@m*ON_o^+s&{ZY)Vlf&RF< zzyWR5N|_VYf|me-H9*f?6c6cE1jXbORgGb~1!2_uZZlwFgF(-25E@!+3Bp9Aj5#DY z7xj4R;X%=uRl?qSO--CY^IsN{w3$Pq2}ngfyGazScc)EEX+Psc2}yW8cAAC6fW}uP z)otC-LBR?IOJi#ZGm{BSlWP>L&cUH+axEi&2~Lx1c*ONV3?Osl;DM0|%CtkJ35Q}m z6w*6EzplZT@c_2T6_<*t)8Gk+cs=%<3&13fS0%)4t!e<%2oMIdP@L*XI3ty?t60S; za}Z!0tV$za35|+Xj$>5`O6&6qg@VioVcPIG!h?77CS2Ve89_|@9w$jS#Ot=_E+~LJ zU6m2Hx2hyem>>qT0G`y7c}6Nhm%CwE$OSU$Fi*@tes6gWC!wpTVC_yQI|#WW|H0}8 z{Dssj_)n%)s9c!(7-AuYVu*$q{~?HB7-AuY5AYc9=7)wDh#`Y~!~kbNn7H4T*aEBPO|I#ohO;`moCkHG9m;`u;WFq8c21%m*l_wYvdgadJ8BG0zm+hC65H%IKB6@gwGCuj_iDI6Ur(3$pq$k8KuU^{|zWM2+Z?*Kh@r7v@YPpVU^3A^(U!nJa}*Hr1_}% zhNtopzddS)&L)iB_ERN$mA(Mlzs&>J^#-im>*)}R1zA84korOjf`GjhkHCwP2>q*D zd8vKef1)5?lx0)4X+WRRFaHc{?P(ICV-(S_P$Y@a!wlo2&_ImXEa@Y9z52Bv^W<2!m!J$F%;DP)!BrB zT^E6M(Yy^>sjUJ(Eu72X8y(6Q?_jYq1O^@BMN`e5**H=I@(UhX7Qi6(Y5(pn*&{(K4zaL)3v;NOM1{sZEO=|Ht4S&r`{4X6b#5^N)TCx5dCuE6no&jX=nAoKk zpF`==U|aMC)H7f?@63NZOzYq}H2X>J|5+$h@-PX~z6okA4{Z~uM6*(&L30T~(qzlQ zek7vZ!X~3=p2MRa&UJ9>m|u`hzQDb?_R3tn3^%hn393+`_sZM=0DRkO*H!`yuGlXQ<<;AS~%4i7( zO7N9q!5W$+Mz9FX77VLNhRqN%5MM!>i02uU*9sFV|8h**${R61piei`Z@HJZi1O;I zLx(qOR$iCYv-{tFJ%xtJlFicBRRH7T&` z_{INh5|l4K-uzXv<>&984Elfhv>HyTw|~dqw9z!zWfQIY${;gIrUV$xfWw*5jxwVf zS$^#}xhH%79-P_d5{7NeVxF}ca{HzJ+z4z{FyruZyS9UeAu$X$kQi{^G>kEv* z*6hR#UKJ_5t9gfmT`$9E0YYG9`ng;o$r*aSHc9yqn0sKxjBxKOPHLoNh``PqXDD8f z(!(mmC}j^KAEPnw@W#&I%)#NlFo^4bd0!EZvj1BNjrN5vLSkD*J8J9snjaef8}caF z>s;FSU(0KeuM5ZH)bdpeFGWoxk?MNONMo;inCwNMao^a7_W1ygjm0E&>}nsa8udD{ zx!iiaN)=A5#Yk}w5CZ^Z07GLyBLDzzWq6SV`i;JVHbY_l{v+LbkqJP_1n40%6Spy2 zi0*FYg|$#f+wn+1=gzsm{}K2)fRQ09ngDD!vor7XA9 zc8)>PG%<34u81NuaW)CshJ-*3BqESYY%!oN0K1s@!svrv2wY(N&5%!(c+^Llqhyqr zE+x``Gh`yz{60};L)C}D2EH!h(^l&u+$zlY)W(cwoe<(YA2seii|34wA^ie zMAK*_Ldn*&t2B&3w`XBpZD!6v)LomgHd+)$KA09LRnON5-P3n^qyVH7!*~nb#J}x@?v1H0)ib5^0O!%i>xGtgdHuJJMw!aD@2PN|V|La&M;L!Ki(HY2eJz^0uF;a1(`et7KfS>t56R zJh9E`a1n)Q(JtDi9eWgH(zDKgP53EFH&5<^nlleT;Eqqe$|Kz5b=5SJLzfO90(1a8A!g%abC^F zK%hV9rw<*cX}lm9R5%u|!|z}UnqDe0_Z#8@dVgQYCVcvaI%F0@v|6HfVe{%#v>6)T z#hxCV7$xNx9j=+v$v;t;x`WFT<6R3xY;I~$BDEcF+t%LNpcC&tYB2pFcC!bx3na^j z!&kvmEIrCPD~ZBEBz*&efoW1nk}Ovb^cpfsk%Kio&>Vr61w&-tdxLuNK*in%%6kz^PDd<_ z*41H(I5A-aZeR@s)zZRI+7u~>|1NkS!EW=x!(eNIjkgQNCJ1{lWZRU^I0dH>4Ko@= ztd*$KCtB6FD)XVL+np?|#;2w>)h@%})OWF;6Nt4&y0@?~S#chIHWUQx6t15q_qDP? zX(;JuCuOIuIB1#RM#6NYsaIlLqJ$J*LC%9rlCmEcWbBXs9SVl)ZAz#*arnjYE^Vbv zzCy*-o|dbzBdcCgbID?R{_nofTE0WthnpU64VAu23+ne=!Gl=_kEI}K3}di>KLvvO zMZYIs!`#HsPEk_LP!#oa)Z7_Z^sTCm&{a^B4590CY4~EvECxe-J$O8@JfiUQDqo8@ zua5G=MkfkZG34oj!3HC*Ok>^491P4 zRsqnWQ51S49MgH9+k%|6L&+IHe10F9c+jlrf{Gs40s}A?(w6lm#1^LR0d;aY(vgM! z^j-^8k3tra#rm~&t*#{>>9X*CF}I*RGU-D)Z?d2ZGJd-^Asz~o%W$eet25ZO^4)A~Jj*Z6T^451^Ro|TFw zhe;IZ9}9;pN{l{ABdItMg<;XIlk1U(5IP+o<%Ary>v^5mDK1=2%0sz2EllMMz*(7% zr@{ScT)E&UIp4Oej#IA~@Bxepmxo}M`IVukpiytp=zsVcH7{h}{hncP1DagxXQyem zYmBBEw-m|Z4FO*&B(=1D$@tuI#FuS&;0yU0fm;=V<5~|X*8_JdP$sv=_fRiCFh0lz zd)n+vybDH?3j(tdyUKcU_5AHE#eb2_T=Cp_UXLGnw`?%FomrojR4fdZxO~-X`^;@4 z{MITrINCgD*&d`}YRz#Vkn7|eq)6=7sk>yDwQc6cipEU^w&E9Iw(zk_@YQ_zEvXOm z4?#REpQd9?6_xLoF7Qx7+AnT053W;g)Q{uJt=ioCTEiP}Y_<^N;cy#v;!{bsjcyl! z;{k`$#;9>q^5m%7D0lz2uwjQ8z@R&hNsnu>|A6Gezp*_h9TZ85oH)Qa*nlv!B5wT} zs$rAlizMBcs>RHf_ zbQ8Te9d(2?*?d9&fK4me%kX&ddb{U| z93PkaLgh?D{}O9YzemmGp{21EQ@9z3Eb=HXqjWeKzrY!bjx9!^a1_R&aMkrI(#xar zz@~8Hg183_Q}rEIT&MT425V!WXm}*QxqPS^I~y#kwT7vw26vm+GAJxQOJGg~Lf(;a zqtVnzK44&xg&Va1FT&{-mMu_)J;ToQ*S7#f$xmDr~0fc)AZOBCmpfk>j{=5s4& z?`RA`rLV66NkPu(B`|vYe0Sc2(9{c>iRFFa6<~OUsq(zRy)uL6J)cw;b*PKNWZuX| zAHD+Q-akY-m{eiHfa?>jal)ht&Mu5stm#7a0rYQUu5b|mi6H&F>+pVF{C{x=mnJ0| z7m*LAh3QuWWzBxF{ zxA3ld<-a-fapcL2Fb^uHYjDi5)hvjpw8jOZFksSC;}hz-Om=zZSgpfh05D`)$J_(x z22G^^PZ-P^{HnVmoG(vDh3rFMZmngA9oiQ?7B{$=6{-+ng+~#3T8CNTy}Ld2H^z zQ(lbr6=ZUi9O@n+0kzfV>L0_%dgixpwbLs)=8=9g?M4^G^L$hedoHL)qW0SUN{k?` zw5;l7!9f(za-j_ilh$3=e@<*+I;(a(^qxFMji(Zf(>ouqS_hB8Ohhecw_--Y*~lgN}?&qIk~0BcQ=p4bMkpa{rJUq zo8IiXUqxs$5>d#vI{HQL>YUEFoAiOIoQ|kr5uL1iL9(C1?d|ljRcY*^kS#j#8H~h5 ztGLlu%YD1xpFl7Zk83R;P z#UjQ0ElSsPRL_^PnT~>s{TB?hO)Z6G9 z_)s_>ITyik)l)|#{;vEZ zFZHBIN?eq;q~WuOr9{)x$uO~g5H7oS8j*Qq0VA8vbGTtT=q=Xh5&l-{Vaky9(Rgi7Os!Owp zg~9Il6H2lbZlsKD+$2V0%yF?gCSh7EUnhnmT*jjM=?>L&!jxZjZ0|%I=7c19#VRC)CxLi8) z@mYB`r8f@(?`h_;>k{vwH$R;*#7MTxQ*wR=KAG;zR!!eFC-8Xj_!fuv!J-tm{p?AP`R(8#_U5&fx%U&GpZ`K&lfb**StOon6 zO^c=A*c*%hc_hgo3~`R%IK8+&$6!5&<;miqH}{$q*nHg zfhL1;&~o9N=RZD`!9iNcg6H9q778cQ8sSD-LL50fI(16c$|ST`1XAbZ$%3?Rt zEFcbryzc9)FVT~u-lvA-xr>ek;&tFHk%sZ_4VNNsaJ=U%#v-&CwJ5Y(o&BPAb(Tvo zr@PTIQNiwZXOuMlxhzwpofD#8eAZ&DgW}6;OuB&E&fIEQV#sPGi^?PC^lFswJVSI5 z-0*lLelm>vJUE&$WVIrnRAZ!9Q_fS|hFYU?=O_+>a}ICC`}e^~gX`kP#BXCy_vb*E z?UF6zP`sq9x8r^b_yuwdIUI}gmG@rzB0=Wiy$CIo+Etje47KYqF)T05m6#)M)ML|J zq#;jB$C1c~wqj7-gjDs^&I%E?7P zAsr%H$)j_23MhNJ(X$EAf9>gR-W84MrVr``RI;pd@n6mjnxo|xiK`2P^pEkC( zGA_R+=sn~-IESp#@-6?-j`g)?hL@X<2Z%z(oN=}L^n%G=jV~8_AlZF+(>4q0MQ3WM z60HmBoqeLr7(5VygTKqFLT!kZ{P#r|KBEq3G^|I(iE&2NzsRmv9mk{mPh~kx5ZX~ z1YBXB4`|^KejCo7PmTEJMSOu|9WPGc@B8gubGh`YI(qSfeaoWt)1SIq(PQqoOWwoT zrN@VAUV7MEO$b7uEOp`DGtQIG*UE3%@JZ^#?%!(DL_F)L)zQ&t=o#JsNi)Y~p$i1! zBGfBlQl7MnLW;1yiA62x1({n2DSywM9KOWq83~K7Y-Ej_R8=8Tf2lNt5xV@ zNeiK@B39-F1Tw!wliU1BK~;nwt630G5Jx$zr()~5VTMIT#0tAJfoYW@CG~azWOEDp zxw;wkOnx``9+eYHD2cj#Q^LobT!09Ys_@717AKQ7_95)7#0TNMwvC3QQ5UyGHwZf=BmIjyow=Cu=Z!E z3O{pLsBRabp+WP{jlSn~bnXnp#ddcIJixjNVYjOA3i`N_!dsmPRxa`V`~9+K)Yk_I#-TX`Z&mR|Y zdw}4g&JEvB9r5TXyHJMs&QX;0&Yv7d%M3j%&5yES6KvJE9QQpMw0FJr^Td`9ctjyv zbm0I^#%km#%l9;G>ZmvVQ37i7&*2r^NCulNs1$Qg zTw4~D`%GR1PFOgYnLN&?n%7n%!p5B8itN!B4VM_+8L{V9p_t=B;0CG<0^Fxbp^kYi z+!Nu~*Skde{c;|_&Q~XRc?aC8fbiw>8Fj=a0BG_t@TvkrI+bAuu9P@H%-~eB9h50cm6lR)GiF0 za%o~!vZ6Cm9S37n1saLJwtb`F0UTcSNb0qE*?9pLY2|=nqUe=K?2zUJi9dAFz$jtx zb|BwFOrn--i%X&BpG3X#_9&0X^<4U)WN7H-Cp3%p{hu{d?Z%(rM- zK&CAOJ*WhJV_AtYXK1Mn{Q9cZXvX(vzWBwX8tKgLioEp8C>j?kcnOk`^k337w+Z^n zO3ie$a!*(>F!2`8+UwX~)8BL38~y(5&OX#%V^x_iDKX>}@{~km`4lEaM*xXMCNeGq zUBPc=)}zgs=>brAS*4ID;R3MH^q3a|z+f^(PMDfYR{-ZyW4izb@m?uOM}dN3M)zWG z;>>g~8EC3{FiPF)j36EYNaCbe3kZ*Z1n3e#@c;z?%LXg~V5gASA4x39StnsMG)G-R z{}7pCcc42=tV3AIIXKMLEMaqwJJ6nqPM@KE5{80gdyQh7sk`YAprd1h`uv-^Q_2~l zUU-W0OwhMQiO!#hIt0rK6dgp1WPu$6Y-1u%I`k;S&!FY@)J`jY;%)#w)Vw3MXDhc-kKe zE%|uPT=U!4KDLt1MWmpv&_0j298PI|`I=tuC(zSyA@t{`+b3qQS>UU-Va_k8xe?@4 zt8lpfvqC(uZJ$B(81p{v7d|l?9mA@!_!+e{{Oa;87W$jqmNxr%cy9W+CC{`B^q#i% zVs{t+TKSQWv?0;uPsmP>_OWU{!y&3X;%~t^$sxfr$%a>009^`c-7xI<_H1ql)aePqm`K~s(o*jhVd=hkH!D5ApD_9b(^-^Y zyW81vQ=uZF9YViazkm6Mjjq%cUDb^CoBACyc7A0$Q|`ShtbexkT|>@gfKP%&?0o28 zeN{trrnyJ#+zNB;re~YevAV;QzJ2>*L+NIA&+S`^o@e3?xw;3s1pRyk4UblNYmc;; z_v-fE2?ZThJt*3h!$qVj4sL&=u4&)>=AGbFQmiv&qW*hi${B=@+;79v)_$+!TuEC} z9z~%L`{HcDD9Ax-5O0yBJ;!P6&Rx>jwt=@HB8derWQu4ca+fYV4O1pihjrvoz%@Q?BU{?LN!=pBBgVa3?xziL(j>iC6a-z0Gw;*Y3JCy(uZQnd3Kln4!sEY^~V#a@%dL;ss`m*S^th(cQNH z>6P16b5M*ygS~U8G;Kd$33pnD%rv$3FmCNSd-ai7EM94dr+=z}hQS)$DBa>dCDPmx z-D!qxU3E_qpKqZ53kM3c?t5IYE2~c+v#||zPW%mfa`CUY&6$LjB5&M-CkDFvcKgP+ zyFtIAyY2BLhr1Z8qIpOAQs4a15j^6mYIgt1Yu#nwl@P{wwZwN~TemiCc}N?xixXd$ z>eYLKWs$?e8wiYe;6-5mHrX>nUe2z#_%Y3fZ(&9!ddJK?ob9FzyqS&U;neKeCv7tx zhSHkZ!B^pO3Hf5hIFbirEX`+;alfnB`1r8cDal4f{HQlE33Fk&({5X30B2N*_`?S53uZpjwSU8fquW60fg^7Ec)G=vg=+U#S z`a|xXc@X2(Q+ZH)o5h;Z!pFA#H`q1_YZ&lr`~LQc%Rt@{MAek-d|hMxa&I!9?5n`)vq5vXVknh z@xMWdkIIC$SmPU$p2gGIW!B0biNuS8b=fs65&MB1UI;%#zWjLIm3QEPr5UMpw|g6# z_UlE-&v&8uNHCK70rjWO8e`mXlyA#f6iN#hhT5sGe^8`1UIyoYWYSTL?08sId)Ox} zZ+{bUuvOi6{LR-D1Nzef`HY8&gNq2t@8_ZU3wxS{>=R6%f{Oj9JA=0c|Mx14PFZi( zyDJ}Ane`L}d>5Yzol9}i?H~TaWvu6`h+LMjigOlfP@!LopjFpl!BCNrCP&g7ye+md z<*!WsOe4KHl5z#)gM!T7yY5v`gNNZgUjNSx+ZxDzK6AYLbZt1J%g^m59`tRQKO+CV z*j1i*5L>>p`%(Hw4QZvcvsZtx{I2W%S|16q`R|YI8ctJ^{BRuca5T-4nLR2v5O#*9 zJn-KJlpE~Y)Ba{sJuUbzGuYNcRs~O`w$*ReTJi}04SoR=dPKsRWDHOBYxU-453q0u z9>rk@-rc^%Ft9f0Eg3+vEW+B)wQp>pEFyVKF#F!|HRi1%M zV{KDejmB~$UD7;RpY#ZKdCPBXJwaSTafJq z`^DKPo->|d!|K#+aO!HKesF4ho)0J42up*|_;P^$#w>5Y@$njXN3`m@gq84kYXpzA zs}=r(7Yk$9{5MZ2#X%g~qI(0xenEGy>2I;TQ(d-1^Rxf`?1=8UHu-En{R|PAfep?6 z7fpVZ8_=B6(R9yQ;=-~RITn9%;#Wy!t%j_*OuK7=1y{O+sX_Jojvmnamtnbl!z1`M z`6Y*m?Pifhcl*p4K^&BCIB>-D@$t)@OS)A!Tg96}`N@C%B}+_V8D2XH7Z~Egk>7(w zhPP(ULN(URPCtz2Gi=Qyz7cCQ!RblEaKNGf5dkB>N7szLN`JVW7$z;W4 zW86@g2A}T;>~C(|fF%2y9|ma<<`rH3EZmu^c^U@W|J-duu)4}~S6Np6Xl1Be_wB!= z9{$rZs8^N|-^27j0qa+fT2irTE{yELNV2zDn-|QVQfj18aJx1b6}RNZFHv|-?fT)K zK}(Z%PsSzmT~Etd%<%e8kke%L`<;W2xfdW{DkIUdoGc#ZV6oBkr!ED>`d|#Un)eO= z%Y6keTR`t?-2jN7IO+$HbBDW|Bc9KB=Uk*suzSP%^X=_snIP zsDBW`Lw{z^vW79L)VG=C+{5ENo%_x$#g9!78S}tmoTp#-HAx@?jQw^63ZPA`#rebK zI{%~Z#JreRkd$Z7!-WxxBL2UbiPruqr0de#F&N) zb17b%CmLn;N+Jl!IdK?WmV<K9U#vni4wqG@AS;IYE>M%+w$#N0(xHo{9tZP_B%#xgA!b?q37M)cf?5&m!LdNem>|KX%uDZc;1SX2>*t zSejFHR1>cNg$5M=XlhNPvpi7cjTV4%1|{bymLoG^nd7x2o&XFlGsz6!Qf18&yGQal zXf4+a#*l>fHABe_K-PGAArIt2B2<_bzPM@B<;CI>~{ymEqQ&=hn)J#>@$868V@FCN;_mS|9-GiXjJsX27& z`A9lY2m|1bf`OWu`NK&|bJi8_vp`RR_Cr7YI@C_AZWe!2=P9~c%H2-W#Jx`P&ZcdM z&w>0VDOvgm=m1Fq1h$7VyY6D_EncG0m(o!A%W&R3NcF_?4B6ZZI*~fh&CpGW(9ob0 z!H=BU!O=-*C<{8gnF>0h?g|0{NTML_cBYH(OZsE>rqi1UdOvAJlbw8?>KX1Q7_al+ z;N7-G(}=Yj^B5#nLOAFc=>&mi znMkmbQ}#Ho19>6en%e+;lFk9T&jlSiig`cZ&hh!X#7^y!M6Xu{J)8ySX;~tET;p(H z#f&i_4g`8KYUZI9l|HpI3yLs+$&lE-)@WhCluYxH7_-q3SSDFvmas*$e*E($i@pQ< z`V^Fq)9tu{pq{5L# zAr_|T248p)M<^qVF-}A)oW!FM0A7uf7;9^u)i~h-pzyK$^8t>kjZ4mdiR?oPvRl8i ziyN{-F{=I8uci3O7r-(&Ixb<;=w(7m0^3?0OI{dyi)_Rk!JLgX=)AQZyOLYBhY!oq zqy~VE0^@vMG@{2Sx!PeY2KE^G_ic?J@(IvaHTG8TpA`JnuBdlB%bZRo0rJXFikrCN zBYL37@w7M;i!j25^N@_5#3Wg0r8URgBPbN_JG-yT)Yszf8$H){pWc4>^L=ucsx*Lb z%*%c!LbS1oLb}yBF5&?22;?`Hv@x*=`u$3m^bRM*xZ4B@g$@!yfP4|BnJi z4HW=;sbzb>a9~;g1pq4GP=HxL28}p30257Shlw?lzP&XugKxp_001}y;d=7j2~3mw z7LbU-xK&|G59fISJ}{!d0Qq`7H@yBu-Z;>aUpc-&%ZE6|0MRvYdU&xUgd%Vw@kqEZ z0n!dSfZjA7R-cO<4qg?MUlK|d%vb4yYFK0IPu{Zb}N44}UR zkP?oI#IeGrhC>zVFiPFaltI0hW-Hy8dtd=h_Nw3iHxgj)75Jl_ic5*dcx7y)<>#a4X<845Qks9kTF#mohnFi zrnOZu@}CM73Z-r&N<*CZw<~8%Sr0!j2@Ahw30U}NLO{c^={;IBFar(HKn*lO0|V{Q zKn*l(2S6P>XlP&t8c>4<8lZt1Xn_W3pbr|rADE+HGM0o;j{^<=K`gJ#!Ga`{Y?N2U zL4}YP(I}u0$fUq82j#B6Az$}-DaM~T3cKh=S-~%N-bGTPAV?2~fpRD~5kAwu-+2;$ z=7@|J>~W5i=(b~enwkVhl53s@19>$HWlz5H5Fc?#lF9=X_~phA8VoPqYYZMsM`+1` z@W02$#P9a+U!sty7XPE=@p;q3_1WMp4lWJ(gJ(L=d?yL>Q1@PruCANh;JClHPjk2x3CROlK%Mf)0 zGJb~7PF)b_`??&Zd!d`lud1t> z^=JTxJsbei55!ASFes@7MVUWLCMxxWl9Gfb!k|g=bjo^IigE$TcgjU*c*Y2=^9f>`Ror^(t}Y90N1>%S6h{2Uet^JM2X8N>kzT2 z=I{6IK!sPG%pgUmlTxF$Os0O{RZPw;<&2Y3wJK+rg3jbAS12rtd*ATv`&GXQV^2OqKeJ+#?a-%sq=W|t2$@hAQOA-HFo0~Sbuhx1;GJOF`ux=(ly zs_Ylv{6@F0#8Yi+pG#WA8Z?#|Y60m+Q#uBZ#@mdYN^7Gw8g`1RtpRJ=!u-{E2@hC+ z0f6@aAOiq2Ghjw$1k3lk3kkV5lQVa+^({Nxikpy7qytVIc{NgUguyleK0pX^5r_){ zTpH%|!MGCzUDxwP@DBB`;obJQ&u;F@H~ZfCpM`tgOn9qx|1IspfxQ{Ir)t7K_pU!z z*%%jgL4WK_+V9R+Lmf@kVD5Qu&I;=&3WS~h* zo{HoN93C(#C7k)b|9ht6kMX~KdlO6Rb^?Fjw|~)P&{{KJ@7|fV><)gt+a%irVwBH^ z58{G}(<9qR1Oh}nf)|b`)Cu+Yj9f+mB@$@$KRYpfbNN(>e6Ra~eALq)&x!^%l#fig zdQr;a)e>~NuLX;!iA1gN@3(o&3z@H9&UT6ho@dwGk-G>Y!7!z9&jV|4>6QYKB!Uq< zsTw>^)x>!GhAwWbaKAbCDe%fyspib7UQHW!&!)JKJ>0)P?E$8X!QoAXZ08#!zKU(0 z{vay>7M#u=_A_ICVd15{$)@!%(%tsY{_kmjrXVT^ZzP)(J`mJ0;nKWfgrdGz!AAX{ zAEn;&Qu%1*oQ~dU!-} zx1I}e_uRHT?Ts3q_nSx?NfjtkG(`ktE%h68wsVqch^l;zsE0{LcJugsl00;_k;9Vc zJqmOUP4U;x1H3UCqXpjX6105-&uf3H;TwU)8v2Ia!b! z9!cgQdH_*`Vgc-1!?sR_Jjn}aOE37J_%jMbnK-Uw6|Y*U>X$Ox_MCZI8-$Gv-eP$h z`Np}j4GYJl|E_yye(lLt`GuthA*3XZ8Li7Y^FfyYrDmu35|9}hTi_G1@@uDm@=Qhx zd^n#_!C{ev*qH=#`s@SsfTuE@TId1#2;n|N@#y4H;U+$jFNd|CTYMAP|1S?8l_@Ux zizCN|Z|oU6&Y>{Py2H^22fBJKt+nVEW@`dY&1T|vZ$}CD42>rvkG_GFn!{_gx9?|` z!~yJg(9D>3=$1CV0t$2uy<^3A!(GV6AOaT?+j~!ySeNNCJ;TK^^d{(mdY}1>-%rzP zg+p=uZ}Y$Ypxgt0aUblzTF+}nY+q9!(RM07^N)3_bPH~_{bx%V5})jn+JUUafK){o z;fm`4Z#++kvl1`!tMU-3al8I18T(Zv3RUbq z;T!&Y@qzsP4^}E?I9IWazYdQ>xcabfQO%;gJ#&XN{B!xtp^rZqC`PO%rxxN{*>M?rU^YV|0TOlU+P+G&cSQxYh5|#Yea2HN63^7Q- zavoPQH6VZ7_Mb2FFZ?zJ9&Y-9XSl)l-8&p9Y~;N9|Lg?qsC&0>^auYW`wjXTi_b99 zd>A?4z>SBkCZ6`#298+b)}=r_(9REVFg?Sclhk-BZks4_)#{1hx$9si(}~cyd_g`< zg8cmpx~ud5{AeUloMg}OY<0t2Pz&BInEtH_7Z27Su*=#``~R}H6C-Vs0Ph*%6BzP` zCB=>B$r{Z=sCw16z7n$z>m;Y_=K08C1{U6G2JI=gw-gx}xak;`euJs<#Pg1Kor7nZ z{m7-4t<4VbpIkLJ^NEyIm!WCpo)om*@cMApRh-sG1;|Xb@HLKSTmMeM=b6G1ijDU~ z2iY??I!FcasBlt;jp-YUE*cHOQFjf)>X*#%iKmwAr^SZ+q~0)84D`s`W}YoK3Q&Il z^Aco{O#z-+JS3(KhWG%&NRUAlt$T+Hfo$)-eV2}O^RLOL9RuLoKfUt?(L(g+pY|36 z*(bbT@-W$C&qUpte3hkyrcN2WBUQ8+jmg<#W6WB{Uk{?6<^lL>2+Poq%T6NN+r4a+ zyrxCDn_KKylU42SgLV|t3S#Jz%rihaeUCHzH=$?;Y9uemooS0RX|fC7b9zi>~z4Q4TJKZTZ2SXcs zhT|4mka}$rt;XqHs_-f!2J(cN5l4*Yppnc5sNCdzN5robe_q&=-{oFUCLc8McwY|H$#}NEJ z+xfGe;kw1f0Mb(A^FYo}>YI0x9vpF=`T+$<;pq5uYTK0m zeHx~m-Utu%Q@2+N&nk3hv~d{6Iy{bWy(zY@clU({|J^uS;9A_-<(3#prxZ<~EQsP^ za@ zOMC-byc4HYQX{?Z5Z2fcT}@vshTNPZ2n^ce0!mxHFY3KYI=k710Hh8NGly4cQnQK7EZaIBc=b&b!7`8D1I7pAx?b);72ObN~ckuyR zx7sg!F-*jt%7qQ$;~un zN$G8;U-iZLoXw2Ai~V2s!ry8W1F%uFY-PO=*Q*DZD)TT?jv1u!@l+%!+S9`gMxQU>1Bov`l$)_ zD*G9sdVXV3JUIEQEa}z#_>K#mtKT%#Pc>$8;{(ej*{^{q_Ksfsn$BrG>at|ZZf?GH zKjI^)5xOkjERsegweVc6aq7;^;(xLAPvBG@=_(Q%k37eM2?yUuu)_(nU@ zo!IUe%SeJVNm)`6XGdVFlWRzM#<7?kRn9!9G^wr#YxngrDx$DW(t zih>iC)MQLqSpCT2SIR5EzcHn<0tk&K7;F~EQho8n(>=W=McTYK zqReM+XA)J=`)U|3oc=)^$Dcd@ONJAb)bLj-#`OY9`Ey-N4^G${2}YGwuP|H{mK?{3 zMik&9PFYehDW+kRr@=hH2gr0n(_=Pe25+Ey#RKal-Xv84Hdf_wAv&)VR2Eq`fJQ|-J68}%rN<-#}k>M5M{wCRqMb4MMeP^ zF2$piK(|WDuboYedUXI(91%;}yJT%Y*}Z9*WR2!3sV}ikL0gu~>I#Bd4YPMJ*Zu$UHVJ-K#@6{JZQ3W|h>0T%BoWtbXAUwnpZo;dCX>U_cGj9LEGMn}9D^du6ni z>@zHb-e!7AYF$xLRSD4JYE_!!e5)JwIR<#zs>2f0wbPqKbqL?d?RDN-vVPI)SCl`x zkEC`;rIgj45wDi5+K3TXrLGb4su>7MEqbOLqJ|LAyjl<8SK7VijQ7Cb0k8;>kaMmG+w4-HRuoI)Znt%FA=CHtNxCT%4&x8z!GBg$}&}ZSTmx8`6ua z3wgwYk<`Ril~?9f-$JJ1IxnCRTWj@hHaEC1Ra6t@pH#_U$+huRCCI>Fr^&9be``#z zhXjFOB<5TNs(MY|$|f=gOR15bDn&!QjV$=d^bjX2Rozr_h33Jf4ktFAXFxe&MVeZ! zN(o?~3%oxg%Qi!y8fhcM==CVf;G zjK5p#3F*Yu*&%5zoZ1V9SJOj+S6Qc3nXV7$ajL#*!3*>Y3_mUUnB&8aiYvvfiD$_H{ubr08#SQVedl;VVfFBs*+tEJ2AMY zMox4NqGQm@6y^SkR*@{F!5nSkhJ`bG%#Mw3Y2)%`&YpE%#OpoOrYhCGCn>DF+lf1O z>OK?AUHermVFSFXr^})bzr9%D^j}^T{rD-jMEck>RI<8n;pBy1T8Hq>%y&9hu6_6z z`G429L-*xZ{HJlKOfBN|SiAc!x*&O?f^AUkd+tB-1$&;UBCW4zuycAdC?7CvIQ<;8 zyfc|+Zqca^TlC-&a`(+gZxuIb)ccm-<@82P6xbO$b%!tR_;8m$M@m{fE_FxPJudY52Vj+rL$(rK*GI7M6Q zEr!30Jwa#ynAWnY3;o}$=G79hxg+(M*|FK)__XGKxmKMtfAsEOchxsjwM+Do(S$Lr zWhjgNa%S+@HQKa#2U^#Wac(#E1p@qf*fnKI!wR$-ew(JMl%Yr zrn~pZjDA6oHQHE+*$-4w~-08t{B{B#ztn++MfOf9^{j}v0DubaJOZg_j{jx%=5rKENl zOV(}o5bYunltGuN(7&62IFai88!zfcF2sB8jx!j{uWtB!wRk2{ABQ?1Oy;c8xv2TL z*9B%WWsuQC$j7-(G?OZ;t{y`^%yp%iP+4)fBl2XfD$R|`k4s&VCUaG3a~GW1WOjAK z=X>{_fVeKuyqa8xvB^{l+#`>CaC@(>;{1opkB9{ z2)D0anLTF5H$3c4-efI(Lj>imvHWjm~O50wjKy$<4%;TXi880vhN-)mq0e?3|^1LDhj|2DX{tz8(kC+kaB8?^BmzEE?K_}vmC4_cuCdZ3_3>TB;=57$t#EiF0@&&F`r zZvaMH4kECgx4K~X^mUXr#1_v)yGfspcCrK1CR`ndF+DKjUod)~0Q%Sj=L=^A=7dmb z91A2_gh7XE_YBC15n#um7BOKFAYg@=a1=5FC0S@?RANN<-)VhQbAb~r3Xx9Gzmn7_ z6ucP~k44!89Kr?Rp?LxEz=om^1xUr!5*J}Onwr5&E?(iMZanS6hB5165koiyH4J0Tv2i@uzq&J;l=vg~5))5-@es z4c(-v;kzbfe4#-;0Vc#eEn?PzV)Jw^)enS-I8VuD5wt9+xsShoN~u}Ux>VcAXjdZI zeMjT^!6sIldeNVb@=f3GbThUYp&^&YHkm8cmRwJVQIK$bLA-&-Q!wwF|I|W-0 z80Ao6*qNB+u6}l`wS<1O=^#7r`X>IlDb&z}wBxTdG6Of$ESVfparE}%)_W)v2gEk3 z2#BsE9&c_D!bZD9I4i*j5pcsdC zEgYCBh=q7$Cr_RWU4h;sLz#^Vpo*qHi_`r)OiOa1eN$KzqqId*MBAu>=_CQ`x zoGwxojv6dC8+USAHS04Zw%iq&)1e%$K3KpNjHH2#ATM~t67LCut4fag8)Z4jiexl{ z*EsjdKDl8&NRSNiAdo1+hRv{5D(tc58OA%YjYAA=A|_LTJv9C5fG`LJ`qiMf5*i6A zLmaT78bZ!B1>1;Nn?H<6K5;8p!Lc}ez`{_pf8$~t6kBVwr=6``msg~;&k&q=0EQe| z?rS&h$x)$NI5gGQUD_l=4F<-cWxm-FZ%^Zxgdo7UIL1W`6FX7iIu9AgSuBxc#-S;` zAXtp(AMjraltIck+4S@gVGi+d4#s=rxK<9W?=?lh002OcW-_A*moU1bhnYIgiv&0} zT*6I&nsI9!n%asEz>r1=E<7qS^l2Ao!;}R;m;typqRBlOcft3xu6b%rP#)y`MlLa`GfRAX|yA% z*Yu!b{DDJZ)j2Vacn$C7t_PrXDlH3@NLtdmgEwZy0(F1|0Hb`@ARm&+0wb@{T0O#| zs=8NlD@GNIC)Fmf3@tb-f=Oj^?h^o$+PP$H!EiV_K;S4Dx zLScV3-us8n0PxREH@c?K(I3ILGmw3wB^0jh=)$vX?vv3?}V+^J~PPp ztWtPv5zr4wPds#^SC}R9!5nC(Tq|cdPP_m^4vm5}X;9bW5LoaHr2rB|P=G;Ky1=;@ zUe33pJ%@cgHw#BU8+NLXrRVOifhzR>9~2$$eGC8VGoN`lzi!ZjjH|Q~*EmT;lC07w zEW8CZh~-fn^NmoWkL+nfazZl0gW(TUyuA=)SNvxba5)FE+&&UxOFkD zTJNJKq>X%2ds@r@u%snpI1)hMg$$%HB%?4dL2uC_Mo=4vx<}jGw-_s~jYD0do6X)s z&A8_pmP}@HrGO6e0nDeM9L$L@d|EzI{S$We>4^?P?TG&xl8ykU5D)_Z1pp#MRYw2- zZ*)29pP+KMj!UNk?tSGwAi25poE&*v8z+BUTWN8LNMs=50cEr_U=jd` z7yu28oEZTD{r+EW|GwS#mfI%V#_e;t-8SynO76>$Y$gnOgMwV19+DUl00yau#FD^C zMkqxA5$Yr5Lc|$x;%s;vm`AZ*;{gv4Ge``SR=PM|M!?B}Ow5K|V4LhfUZdXIJ>2ZD z-MuW{G8=K*a_#|v2k{d;`a02yBqe_+g>}ziGMkp+8eF*ZF{v>GinW& zUS5r5r_0M;=Z4=WxW>AHGGyLJZ{Oo^bGvnJnY-f-Qv4@l%912+dEbP#26;hR63Neu zr=4h;L-Gf+`3%*WW5y}KwJqTPxx;m9!{)+~$kH4y35ImROjzw4+`6sf2fhOvFT-dgzSve8Ep*3L)5>)@3B(c3 zAKuVwHNDP)_!tQJFkeG?eL!U~ zm52)guvIkR&f#eud1fA-T6SvsMA<-$6O_jYQfs&c zjLDYVXU)`+?D;C*jwUku&vW6=ALc`zS2mM3y>2(0`7h{pe?-e%j}yo4`5$w8>T;xC z+kUe7vTjn#Zz#?qstD!nn^7rzf>5`G9{y1lD4QgQ4{{KRY57EB2^olpMJR(V72VMg z3A*{&<8K%uPVw0R{KoTU&PI&mu59ydHDqsbnfdv!Igs^@XT0d_t6Dew#CI=zb#wP} z%NZseu_fK_d%be`jojm|ZeAL9``E9&|CSfdl&A1n!8~XgH10f6+BPj4bGV@~Hb0s9 zcg0@Znt5@V$}hotUEaH0;CuP^U+dlFyIYp>GmRgVE2FkS>twZQANPAf+qVe)hVPWV z&s3{==4p}s*qRpJ|3L+P@N3g%BsV77bQG&D%%r8ZcMNHQcgX|C6t29lW9wLu0nle# z%b*3z$3SqIQSb0&DSt#gjw=3)e?=n z*s>b*&KSk#qsU{OPQpk!~Z;GBzTTJ^G*?OCI zU@rQr3u;C>b$SC$%W{r^q}I((d9W~WJQ;JAKFry}3bdL-O$IQ$gmM?w1p7uO$^BjScAFMAM)^D8=ZxEjmGcR8mpd00E3GbJBK{0j2r zZ*0X-_ud>^tk8QJ4REp|nG3*cH(Qp9NLfQvQ-x>MkRb=R$H~LKRNq?ff_WJgV`;bJ zA@%%g@Zb5Og5~}8 zne^Rc1V+kA%@&_(?!_We^%fYY$?v3O`!yD_yU+FQ z)7w5XSytzf=2{g`-^OP%KoP>=4FAV9i+3H(#4-WNqQM%*KV#g;ui{!u_DJep?lyAX z6X1Jq(DSFQ%9?9YCu-CYj9$VdRKc|x`<(ik;$H`=*KekYANo9wM z-lRUZ;}3TznHyAN!l>$evGpAE*!SmgB^JI^dHzwV*D~e>Uc!lPV~ExbaNE(C%NiH2 zG?iwU4oDUaR6RdKio*WMDfTT@;f)_;SEWh~?hHbV6Vjo1`1FCZ37ffP^dWQH`S}Nj z*y-!MV5}vZ)>24?ibV7HmEZVx$trc_3jVvd-i%$1ndotsHJfbh zfTtDdXz}w7FXQH2XLlL>Sxr;X zpquihv>i|LF-t^kf>LajpeoTj_q>u*&kVu<8gz_Bbnw|X6|V-ZFysD>l%XW{>2?CI zb>H2)IeI+Z=k%Diovv zZm?UaM5S1IYRe~RI|miA5>TEdB%`KpotS&#;KjqXIo^^E>3%Oi_DtS-7q2vxrjrgx z1`VlolWZ~Rz*Yuzf|2Oh1Rnl38DohLO^d)6C(ouB(QnF7{M&)6mK3QiSVBnrP0~&f zb1qBhamV_Tv(ree;#+YV%evfy&fU#9@3F7${Vk4OgRqag`GmS>Wvz$zo=*teNyms^R@7O%%K13;)C@7D+`ZJ{>zxf&-l) z+~e{_;Lw8cEKa=R;oItkXC>v{p`-e0ev|I<{YC9hP1tRpH13$~jwkw0FI@OU8RSa?L~Y`Ct{uYgwb#$TGjN!y<=ItznPh)fU!QpW7 zDpKe`GM^W0j*Eh+ck!m`Np&`EIcA*|j+Xo?w7C-rQWTfzK#yALB)2HNTkbLM7K_K` zBG*2ziLhKE*LdxmcAj^)?B3VCt8b@`;g*qfrLWDo(-8Q@f)P*uI~rNkZ|?6(XRU?& zyLZ3c?zx{f<9T^IoiVv1)!Ir{HYcWAkjG9G4RRZ|ru3W06^aip$RrfT}|x1Kt^!VkQe8%1M_X|Q${G`B3{mvr*kvLi$}QrF8w zG*_4#^p@8)nSN&zw30mUA`#Zk^XJk=@P5u23zw>@x-Apaw1uZO$p{PiFqGA(zG~Ei zX>U(BuYa%Ub%4L7ZrXJ(qW^1*>S%7FM7?ChoR8(aa6R$`X%cfU zlw3r{iTkf+W<7;W7_~a7$RHI@Lkb`p5%7=#gD7G~C?16Y#8XiH7pq28htClN#VCvjCt>Oi%P##*cRLJp*S33E6GK%inUfI zXo6W*gKDrPoc!=pC+Aohb@>RT;HT>t?+SmBcrR8 zaLir!i8On80spW(o1Ki6ildg0Le?pUhU=5Vua;qb=848B;)n0oode~&NVWBmEC3p# z%T~B>nbs}JN5+QI##^ad!y?jSf4&-d;?IntxivjL{DzaOhL4|3WEp1S<*fpH}Ry4LEgUaCp@B(Z+c`B(Ne?71h;t5+*kdcG7orZ4^ z@ToaF9{DGi{p3?!4^*E#zkdFvjRqx4blWuO3)HBlvAJd5!xIR~Q>tyLGOUA3EOT9`9%3PvP~Kr>iW?OMXUtLhGY1#T9+!YAS$a)8JmO;LcYmxl-W!gQ@kL{vrIx zn)0}(FN@U{qfIV8|NaC0tc^z4R+=ck2=KmJ_^;kP?@$+-Fzg=l`Md4lQssx}t2NKS zx)bfg)P;iCy)zAa*S8m-w^;QWU+J`MHtsE3)xPegpg#F+vK*iNv!H77PNOd^Ra=o3 zL|iy37OsRGM8oD0vx>*oDxO>K6(5G2DMzL7w)D`iim2h!mRA}pUWKg)6<*m(_xEqHi3U{8dG_40?Z6tPL}IvFAC} zWp)|q(MU3;(yjA+=&)Uc&tIa((P(;E{n;;vmN_8*jB}=Qn!ohYB}_ie3hb*zYJ9gg zn>E@G9JP8@X0|&Pj^5}~T<;S8d?gdtp)SQqJZyD?3Dl<|vjvSXxw5#Q{c~f%X&spf zs(i~-w_&1~ks`V^{VRgva){{_!wMi9cJ?Zi;Y@32qD;xes!)}99rFG?YTaL{#ItVK zoVW95JpSoc?dSKFw|88(aX+^wc)FB(AaU4I<@v{O9XWYyzkM~PPuE^IGNH*+PZE!< zyDzEeAZ3#NRPN3~TgF0L5<5bP3aV+!qitZiryX_K0Fd5A`M3eXaJb8Gb8a}(t-Kwj zAel5cW86qw`hUF-`QMz5-J^g0p_~VlKlnY>Ox(6)ZmM5mY#44prKdzTu;*LW6FI_l zKCCz2zlB}qZDV^}S3LG5k*=#6M#3;pImnnG-08yXA7$)Y~R>uqa_$()zS0riNU2XHJ_6 z`G@%Nd#Kb>E>un@9a}KC-qWe03B%Kg-tpDO84pLs@W`YGHecpzY;hebgjPIdP8HF(21w@#YoSl_t=*)UGC=hqmG?HHhK z(M+5hZjdw0cC2;5zr(uM;ggIM$yoq2a-#}UJ6^X63kD^2ae;OT*zQdT7er+-;HLou&X)N!0krSocJz3deNF zeO1D;Q%e-wj3C9~vCV=3a9{zh>)#N7OboIOC zvg|2{v8*JKRjrDV+d4S)DZwKnCce0*B_kS9jCnyKu_>wCgYtIztd-FV1L-#KLZbnLxF$Wtf` zRa?U`5M}IH@dG3Ve?efBiZrPI9q&8#g_t9ieMg?J{a?COTE5XDL(E{dxST@6hiwyE z_#&AZ4QvhJw+U@7^6H^{a}|Ep(cKOur$*Gqt1U|5tG|h=9Fl z!So75t>Ut=C^L+PLQWV&xE{2jm!`vzJ4U-&zxD&vk_^nlrFR)b6HE*ubA?x={7jZ~ zLLMSu^9rMB5QTFph_ag%AJ=~ExGam;oKIRvW3CX5m@7o&eilIuNuJ-VLe!`nu3Dnh zn>CKwnnRlS(4EMXM39R1z?!f^FaenRj*KmS=6!&*N3{nc90LC;-ga21Q@j!8udC_*zK)7{A!YiM_da$gEuR0hQ9%nN%ETHl z=Rg_yw9!m=wS-AC%Qyg`$&B+%V5A7lVPHedKTP8+-mu&klWm;d1c~>b(}|5<^Uo?5 zYeD-N8zOB1R}>&RJjfCTu|SnK5-4$46!RN0eA2rxxW-BNR4*uYHpWmEQnqXdcA3n`1l<)$>{mbv?tSpbFF|Icf7Olv z8^9{KD4;?l+}QrH1-?njK`ORUKk*#a?0C#(2t)JD^8)0H1R^Ix7FnRFHkhu2O@@}!m(1W{5HNtmk^O*Y?u6{De%6>Or8 zh-4&(;WVkaS#cpM=b|D*RRybGm7b!LEgBPPEeWeitJOHrCDsDMsut7<1e-tYn#AY%fNL7J?jr~g|XROsN?mAXw6#8>+`4g=Z;DpnanP9!zNpXOdY z;P?>f3Moj!t*T{R76PTIAPN&ySs4mML}}EL(&Af@GzuLuLxyJB9>I9z%=?M8VoIQf9G6Ok2ZN3klOyBn?z+(X%aHjAn78 zg@}TxCUq6VDG)NI+DMjlU!`#1gLJ2*3t-0q}oOOoCjyrUaF~JiXwSY5BMgYbBGHp>m3Yb$M!wiBb zK{g`c{|^@Mv9r4W7&VKakVZ;05lNUJvJu+fI&3S&#eHqyc%;n43QJUw$++wW$^!r5Jd%&V#bgL zg*+s3HWK1N6{KV+rj|ogkc`k|gJd=*_gPn0UpL&{fA8Y`>q|UkNq}!^?IHZ$YG*nm zx*yp%cPY{*NTM}T5;R~2v7}IyreGVVJ^-3KEd%hr*2Wnw?Ep`dATrkf%xX>@Kypat z4GsVtL>7D7*N@5EpI%dohTo9s-}@Ge&ePDCqPBtMJ6JVvMhCGC2sx2G3Ng%3O?U3(!W=gSdtJ<==Aj{E+W zqgchA--iFhFx!Z-HxOQm(tdhMk<3JE40VzJ>=V=HaQClP$APWDf_A|_V5q3e8ARChK zQ9Wr#-7d(!s5y=~Ew*)UJ6>N71E^&@X?#ipAQ7^bQX+m$-B9h^)*Wt7KR$P_pLf3~ zcPHmv-EB+DwrTYLUmuAx;zt&w;HolY)E1OqM2A8Oh_Gc8AOe(8fhZMIK$Oa*8X<+F$%l#A~2>#5{XnyGL{Ug z)Kn$wglw4!tQ$r+%Ye2|rOTod1$d)j(|bG>B=ZIb;f=*Emg&Ci)F|7xmj7q!Q&4T8 zrZfmMQiDnHsFi3YC`UrTG1>a7D@|qQIxGYhjmvT}7Mp{4iaF~vBiuYs!#3Ohd!wIi zyAig1xz{@9$@_r$&IE!Nw=9JR6F!Hp5E#qGylyhX{s_A`BA&f$$IkD$TeO&KTPPqK zDD#bij_~wz6JXw08!g+Kof{hu?N#&ojbgp?-diPg8$|-3t4JAor0d0eGyw0{{g8Gebru003`gbCCr)TDh&p0dD)gcmL%`P*|cZ+QJENm?O~m?!V{TKq2tJ z*0%5D{`(l6A^;f~D5C=aMgXmsv-N$c^C^uENn-fdpQ^6lPrN5pZk`Qs&@rdi3~))? zlB|Qmk?xn~jHGCNFH9I%r2BjuKIkYr?mA&m22^F=n+K1|RbID2n#!tV_8J4#iWHeQ zYLT*d+ay0*iv<7(7yuBBK-E|Q-8uKR=e(Ua?Y8E&a@);pyUfgP$?lmka+5_&HbXwl zMxSCP2myd3$%v2;WI+@31XQpH%vTXUi1EGzPyzNAu#Y|6<_w#nNlj_g{S1)aIm$`; zUHa}P<$I`1URO!ar=rv}OVg!A)A#90nM&|sgzghlop!}oHbK~9hBDWQw0BgL)D)xv zq^6-}<%z3Y?4x>EC?jWQsHxW3I_cs!2mtb{MBvBjR^KaCVo>VzR@Oc~ou$T}t){7l zcIu-qu47Jd?aK@9qf3GM8S1BV+I>gbM=-3dNbt1n8A@;{k%}IQL??)1(FqX=u{!>_ zt0J(}h|YD*En8Y#y4u>BXsT&7ZRyOj>g#o8b*EeC^Ctoz&7tY#r_4}sO!FJTPK@Mrp{K6guAW)$ zvEbCBNkh}DM0B!-=LiM_1+8^zrr2FiQ}K0Kf*6TX%BqB2T9``;1u>VzRApbTCKpuw zN?TlQChhS2rUM#*E^HR^9wDrt*F{W^3fUVy;BMMb{N{h8?GfmEWrj!b-af|-DxMkc zd9OF`(T9rfhP&@CXLzmeaK*5Ttx8 z=uo|%@2%ZCIb`_UF{{qm`WIblIUCj4`Z5)^7%rIp&4r*Zkz!@No9C&&!Z4wGjq|Sc z=pmU-l+V#gxfw@bp0l=5Ad*b}eMR34?QKO?!D5ca?cWWOI*Z+5qT} ziheY^PioFOH^V2-*?E&FML517!-Yrk4A$< z3soL0iNa~epraaqIci4zvi!Ohihz-jsT0Lhb06L3T%odc*LGb@a|nTh8d#l(zGE&#epi%%I}E~Y`4`3j`n@pp?yb>N=H_1 zlFXhUzD6R_;_J4Jk3!pa(b604UX^r6CVgqi@6jcja8$9@DOrVssT?Dt)1#G@F-{aK zabWhk3PaDz97WTB){X@=UCj?>kZHRfu1aRYi>zWHfd~v+_df2O z1EqZ@Ps|UwY8zRFry0O}M!tfWw>H=kt+I#zX2;c1(I_%M3W6hE*0CEjC(m(kJA?}PdbNKZOxewFd= z%0w=^bgS*=A=Cdyf-6T)ZoyYq<8zf@dRIZM-$#mQdV=%83XNzHOGA7HfRq}JJ-j8{YR~e0E zVkEy9Vujv!`_WweH~b*oP~rSdlV5?lkI`0U7$(O^Znoz`Z1l^ngN+n2q=nz;qnDM; z-hR$?g}2_ZksF$2%`wPZg`-tl7FB7KBczZWZmewdKxs-*(!M`Kj?vu4<3qw6ETGHjz<+isE3zqttb`+OBhzA9i1cCXw-Dc?7lsLN`|kJK8m3lJ?9jI7(Xw z%r8<^b*{V-I&E$DxlWJN@qN2KPiowa$H{D6PmFc0qYobgQ68Q+oP zmld$Y_IBq@Q*j%h__m3zcb6jIP+|qBMbEB0G}*jX=sFo(ow65p5yv(MUU_@-?yMI5 zK!tHOvbK23b{?B159m_e=|ZG)Gl)~MnTp=<>yJyFllF>inewtgcH31?0);@*F^a2z z3KT%Vx9toALEdpj+yCU1N=b40IO?UKrMOuAhzF0UAV*RsCH#D!Y;<>-4g=r3gAf273$nKx`rvl5 zN9&ra(!!p}wo;d@e5&%^J5Lp6*#LaVOfGKix1VHBa@6k%&)8QakK9#?X4z}@tUbe@ zkYRp8_(wS0+{Nb_Iaoo@@pQ1&G}2GN4r#_J1am>77CBj!o`u3V2Ag{IZ zc<9b5a{;izhptx7=XP5@+rKEQZ}@H?^0%RL*0eRR&~KsHkUNmjYv8 zo{Jz?S*&j6MBdlmdJU}~yBrnB>FSZC>DoaVR&@c#mBz_|iOrD7>VK^K7G8!uQcl2_db6(Lh2 ztrK6a%I8tYkFJr>B=GusH{+ODx*%XfeKeD0O>{fU>N z|JE?^NS{eXJNb?A_u1JBM~^2c8(BAe@Z;KNTyZv!7T_kkwB7U>RmefxO`mK9gl-rQ zKuaV4UH!$7XRuMyjaZ1fJ)Om0Znt)y zmw6%2ph7qsTg6)z&iSLVgF|+~r1)~>fC;${Ue-X#aensp+3D>z3U)VHpUgg8Ce&%O z&sbLR>%3&L$H&It4~Kg`@CwdO()*ee9Nh+-DrSx6F>I&Ei&u&pECP+!;1w0HS63~R z{?^;q1En{9VKSU{HH19o>*n<%n^F&nYPpl+$Tn#ql4E9%H z^kg`*PhQGvbq?tP2AwJKJtXPLe9-X#b|a%{03*JCsf ztis76AIC(uQ0{T|rY6okl$!uQbk?S{Ds0?ot*azvj&M>DntMaql6oXKD)x!}ZFQ%o37pk)Uy(*z({G{g9{ zW~xiRly^-Rt{gc~VSq%f+Ej`PYsi7}&#PMAHUOc0``2-4xII?<@ZtEJjpMu{^FQkg ztCc3hS6#f!-~&}@73rhA?d{hMY1U&f0qwMe5;dbW%t-II;2-7u?%4}_ z9}W^3)9x-4A0#VK^C5EU%7zp`(jl;QuO{m3JT7!*NDpCnjt6^2-o13kWd16XoN(T!Cl z?t+3iGv_jdBHtO0(QmUu1S} zuW}a-*vwBblWC;Ft1+K2YXJ<7aA`>wzcVBj>l0$L${G!*3~Bl$iIHhLC5+Kt0=4>U zM0l-Q8yeMqkOYo$b$PhEUS%k;#=Yu_@mi22 zXAWw0SRN-R)PCwZxW%b&0yh7?7ZUO=47b*+kR%*A7e0)}4Hg|^zEtQ_W_skCL3A!* zz#yB~H9ld47Ab2Yo0Uv<_G_E=l&>C*uQ&0ewuA(ciYs5ePDFZ)AvqyBCngnI|G7gi zC0o6`Yz$A8>fJ)o@PD)Fk>AWKJ-GLDbS4^4!ZKP5LMuqi6K(H`)~K4fjxuES8vfm$S^8jqKfuzHhCY0(%~FT<@t%%wd@@WTQK zRlwmuLJQ};&>~q8U<93rc4R4~EhMz8t|*=Ls=g|Wr-_1`NkP+PGc_}wiNJyA+GIAg zIlX-hVNCNbvkGlY3K405m6a?!iZG}Qg0%`)8VFmf9aRIUh9nIM$_LYaIzt}AQ#ooh zT~1c!eMK6<0Mpotd-b7fi7+L(O!Ye972YSFOHU^tby+FbC!)1Pgfx`sv-!SEbe&_G zd{Pqr*ox^UWYPuFiYv~$G@)uTsw}+)5@dmz$O;6SwUGrd=ysEVT=cmk1^tB;Hb91P zUe@gzvZm_&K=G-FLkZ}F2b&+~ha%C5q8M}nn@(d*7Dug!+Bs7IS|={6_PW(dBr3Zi zpFNpciKZR7u&eCa+4i2-RmpR#AcGN_xpjKTd*x7caGKe=ig&xr zh!V=oig>B{V*Ef{zD=VC6VbwZgtY1D#$?qQz@J~6?r4J0x4BMr)YRiNTU!{-IX?x1 z5B=6qxmLBRMVVP!n6A^d{?o3P-^@TC&M-sb0KrzTAx&`wT2c=Xh(dy%oNSl`by-cA z!J|=Z5LNbIv;kWKfKU*92B zhV(0c986FVi6;5eNfRRteJqz1K&DpR;io7+MSvzr0jvJbsRcf;6@&5h;d*D zh?cB~yhR?KLh43zFed-!&O~+Ru}P6U>o zPB_+`;&I(=AgA3X8`T>dI^!!Mlq!uQWpidWT*o@jWUo8>TCmgK^KZ{Rj~g0yQ)ON- z?W$V+PoDjzv17X5DGNUNkvDy*M3GpO-ONbe9s!%;gE^| zoRWS^EpI_!K=pd&Jdxtm+dwL*}|r)WilAxSHeJR~;Zj_yTpZ*J3r zX6t|CedjgRNq+G~@t7tR08gBl)pr32Lx<9`0!VRvWm5UwNiPdMMtJ5u=y z3v7T-` z!u_OfH!D>#^;jcCM74LFqo$proCed>i|3OhrmKo6kHJz z5;`#vCHTU-VL^=`6=neL3V8PhF`|({DgsC^b*3Pr{rc8soRY4hlc#usf2!N0pnKN& zQ|oUiDPk}nb*zZdy#OeD%biMr*)AVqVZxCwD@2iaBodv?xwbrXC=-zQZbVYXO(`tb z2{$;7gZkFvOMXqJxUwQ=)=(0p`poB5sn^VIIy9IVjt)!Y}(g!H-IA4!K;XJ zl_RZ%k4qgQu}!8DVk#m@{?X1TYv+4Sw{fZ0ttLMwq?<%IQ&cCP!CGVT%VKh4b=}pd z2=pchHr(J16|ED{tptdK+yYDqGC(!AiPU>SF%>%z4sTLz0P#>GIzSYRPC0ry5g3Id z`3UcnVwKc6EkcAMB;&7{PS#rCC}!XMi+zy0y{y)*J0XPsg2`KH2bUpY$iABjio+FW;9N3BJ{3_>7q_-cZzTk$dEUo z_v`Xb?zlEAsoFRa`_)Y_zb2&V@?*dh5!=Md($)!U40imZ8Pc6Q8KSHx>NV(^8Uj4<<$-Qt-T~n{xt%{4zu%hRlr&%*Ir$J9=6(eG+2C90VtqcIzII(>FIT^uWIdbAok_cQ|0TeW#M!^~FO zpnhR(Eks>n-RAoDbjH!k10i;=2t%kebwGuqupY@%21e(VacAgbmJ zZXLVMyy?W5o0_eorK6gipWvA5;~VuV#sJ2cIaAp|H_b8Z`hPOvi_LOlt~1d^-sXQM z7unpJIe*<}M#|`8Z8k46QkpO+XoxX-|FOS{ruqv8+L$->Kf9DYGrdw9Z_o{){>|l1 zDJEY5^So+|NMYT+fa@XLDqL%CRNprBF*)@flklC~>9bQqF$06xdVP*v z?{l|2(=Yx4&=h4)5f5~qD8U=ZAXe@c8rK@zz~L~1uQ4%JB9Cpuil^wCvHY7iHShbB zt$%d+vJ1w~uoGgX{zXJP<9V7(bJ4@rJu~Y5EB(Hqo2th_7o2&+Nr@fSoOr7)Ub@ek zxP$KQjjw_Kf3-U82m6H5N~XT`sJxR6Iz??P`jy3HvOSNxKe$(Tca-xL`*n7jl%47o zjTb(8`-q*XbJ%gd`;ofxd#dSW?#W}!LLnB&*@98lgSDNx4B4MkRqeoi!fxYuha=Kh z2;teQP;P)5O7^n{#z->eP{uLhSjT2~faqbmjMu={{;>JbPYyB<5E%J|&fgzyL6&7m}Fi8yvaGlksVO$z(%PK5mW8(%Od*e8!I&peBkD$T>4 z@MjD_&B`YI=1^w5f?^D;1-=m*Gu#~tUfNM_M#T-(Cw|OF$*>{6^+)6g0RZ35@Qy)T z{OcCp*)tv-Zjs}{m*f-9<5jC}!9zYN!pREM>={VbREJ~sruJ}mZ_*KWWX*VXABIDl z)zS3-t33UPV^ah7lI~RyZqhHe4$0Tf3$pKvUl|7EU4Hu1R@^bw+r@5fijK2}F!zF( z{*t}l2wF7Hdj!Ck6H>m?y`m;fc)!F$@ZByzrg9Ob!<$S>6i!D~*9M z_Q%KqZ0;gwZFLaHm_{6;L04n=UFv$pi{gp;So9OA6CcT^u)RTKF+pyBzrxK)8HVgd z58>%}vo>^O#(B{&5Bp|iA|AMx*VPy5kC4ZhNdoWaWwJJMkOw-u>TgBW)>Y;3M)DD1 zWc&f_2m36^aq=i^OL76H+TQ@$gPng!r8!=Y^`I>2C?HB`I6` z^=3eWy-;yv5aO7kQ8E5JR)4WiCy|Mh2@~;@{{xb>dL(9Lz=Z9jpX=S34|R&=V8&bS zSw4*<1ltdI){p&lN|kZna+8&*5r>-%Q-%#XU>mkD2qtXV+1_Lv4?12sE&-O;pm?%! zLX#YtA{UhUd&>QMTtmu?NZPmy$T~oaqqSZHl30IaayCb$m!K!}_n2csxN zqSG&TCjVjRq>WupMcYWq5#Ks|8N>wAtZ^w3>=!5H+@Zkuro>}Rh{Z~>!qH?iK9J8g zI;dwVeZ@i{)V}lI&%91H^>_??zQ%7ucc1r1m@#Ue*Z7;pWT(DN;nr3$GatFWJRELB z{e%Cm0qZ?v{?m?C9i7d`*HnSzE9q|WZCg|5<674 zw)eXN`#T#q;`cxKAVJ6kxuqA=r7!KABVn-rw}luP(dH1oL)dlKnMoOB>U)N_0uzvteTs{PEwV0nW%O>yUh&n|(T!iX1lo@;l}-lt;3jl- zDm`2HZOpqhyBgzq@$h=jl+og|8LDIoa?+KhHioz z=wF6d;L}zq;|>M@x%~o7m3V(gUDA@3>b`j&(Fw4=$L^-XS0g zrmRTnX>X@`Ca}v?$R%mF5@+q8DsKW#g1<7cDXBhosNIxeHnkQ_aKqv1?*7%xx=ho=UXg5}&71+alj*9#w+b^EGS#@5X>Y^6Qy^MmGip#$J(` zg>64%!@S$>L^47c&L(s2U@0S8DsfFsV--p9&GCdJ75}QLc52&5xjD~ORjin^zE&C> zZ}|L*fL)Tahi+C_EbiwbdPypYeQ&3Hno`idwIAgYoO2l7wo7|U9tr>KISf;RnaQ7O zzN5B(@j6*uC7VkhS8z}1@fAVokpakbqFza@ z3iF!;Adysr!ifNKx9U>NXZE5qDCr~ClQp%b&Gc6tT1g_?P zcBPvhn#rII$|Y5owr#sjXQ*;|H7A2wdR$w@^EB$;1-GXxf4 zrs)@HXfJwFRzij+250DI;MLt!+%AuDri6xG%^0#aozqVzbD@m(p#Pn8gxxhGL;)2f z75A4^@&-a9u!i|C1;EWz)aRdg>ENjbDv{!Uh6Yg;4+gg`njzxffcEkIP?Tg~aW`RQ zgd(Doq(@KB7UvQQ1ovI0eTKUXS3+)}ih54#Ycl|Y>>%3|u`-lIofLp2-Hp#g2~>ng zlDA+f3695me)J115}*5L*>{c~xXctVficJvXj~EOYzayv(Q^H3)cS*L)F0f=+edC( z;=jC)&X_{oJ=SD5@IA7j_0TyYnijey$spWqvS8`aiQx#9I{6rp>~jxtpZ!c|hqnx^ zSH$BZE?q9x*)jTtuF*ln?_h`#PeD?xzNM~icAP3h!kq4uH8kcmWvMp+lQrGEUOZ(YXO`TltAW z*^m_nGA9~KPfh@mx&A@h%l7{DSVV*~P9d>yE>=WE75u!mmgH9KLJA+wB2p3mN73U1 zh4E+cIJt90qrQ74_f%s>x2J4hr2o=KI09<(=T-!L_eX9h!Um%r37P@lBTz|)pl|BR z`hgyz#z<>)0a#gGAy}r#PU;cJ2s9(UhiiHc;6TU%p15Gbz$z^eu+%(B7S*Mm;q{O* z9A-H8m^{+5EK`7bLs$lTL4<*e_L(L$pqll!O`41uk24-zP+|UFpx*5Uqzy=#$NoJ{ z2BI`HCxCh?L=&<@QZ)hs7b5FQ!+EyUqsfSv5zYn9=I*8H-DF72kl!QJP-IU+(+A7D zs@zGiXF{;)dm~W-(`+D*@MBWOBoAk-ef2_YK^19w04j?p%X6e<0h~Y*$fjL5&y;#7 z8Im(2o_W)-Q7u4DsY8^ zg?yT-jJ1up6v}4+?ihiP&Wy;^?F&F|t#Tbb4R)0V%M0FZO>3FMZB;%Xz!(k?&w!cfb)w0|w*@omx*ou>p6$Aq@Zx$P_vSoPc5i?to((0UD4g zbk;Hf#Rc2|2OAC=kise@3VL8!!5TnJlL=gDpk?8bW+7m-NpJ@oO*{ZVZV+gqoB>GA zgOh0mLJOIu20@$NLKaD34g=C4xBwh(KxjZAp37o#E-XRT+&vOhrUXG$S2H|Z zxOKY7i3KECS<{n+SwI^EcLieP28jlNt|rZGg32OAz)^+gJQa-z8F;Km zOqFQ}aFh|;;M0gmp*CZVD2(94v?FqbPQxam*n&HqGLaiF8krTa+bWY3nrKp`;QD|~ zK+k3CIPQ4z83C>`0wJD9lNduSGvks|hBD+rCmw+%n+(U~v?nrwLR$~VB|;1gX?ln# z$_vT_;76Mr0|g3rmPL(1HBq<}KULZxz!1ZRfd51a{Wj^2ON1CQBtj>Kge4my#{xJE zd9sLs1}s3WkHBY4s=_oXYm@_(MR4AmsE%lo;{hCQKxjyd%F&=;;RZNr8YbrqqIfV@ z6clXrZAjZ5ci?Da3PW;*PEakR*pEBl0D}rcaT~N2&61WYi=Wz+A3(z z@NkuR2pz=CFc64=q$KQVqLrJelW1#C)?`^pQ9a{+M#;GVSD=S5Fc1&~00aO+L`Ee5 z0AFP$(g^x4+vh5g4EtT*%}lo@%TR%Y7*ztiFq^79hF;w(PS?hTh+ov-fAI7GU}T7D zXaK+g4DU7fnVTaUJw6`z=d&YS@%XvBEAg6EQrYor4IZ#Sxt(yy1|Te9%lEi|tlbwD z3LEs?ceMeVbhrw<85pdla<#V}St~z#8^+2a_eQ+##f=*`S*|i+ja$f?m~%`177_~p zAOiq2GjK*%1he0Mcgx-Tn==0;S=+I86lGZ>`^jl(9SNk(0+7KjE{QSX0tgqug!snq z#lrZ7@h-$@5$waNNb2fsF1F8m(yWfH@wJcMPc3NK2d#vW20!bzN!sXhAM|qFBJ?C# z118+6qs8SUsdNBrED>$QN;=y&d((~p-IgN^kRWo0VuXZJ0G?&wnc_?(O3@-tfBOcF z{P@-IR&IOv{^%C!rf_fk`L|T3c1pVK5R_H-JkQ^xQ zS{!3-;Ff3zBLab&-qZo`Es>a9LF9+9F!_#1%8`UqG7NE!h~ftG#AXB%h~X_0DFe(S z1spOE=6;(6f$JRPKsBBQ6GudG19)O*L^22>fG`RM7;q4HA?1NhFc>3pR1OGE7e^!- z@`zbk2tC5VG9_dIjlh)hDJTTEpe{rQ8wts%W{81=qYn`vW`iN>hznN7Av4RNq^JuD z21%}3vsA<^#1X7M21u`%*@l2e0I*aGS)N?sG9-ZrqUd0c_>15r2YIXqL8T#pm=K1m zBP>`Vhm1Nv2~8@m&c@V~MESq%Mj4No+_Q%e5JbXtddPwy=`2ijIXM`(jyrB=aRZ(T z5)>qn0^~CgGcyvJ)#6aXfu2LkH-k|GfcKK2t_1j8%}?UVNZ_*%@t8S=fUy=qfG}iP z$Z(tjQaDcyamAW~lK+w+s7SM7v&*-g)95HmBj3*VL0jUY3-v@3#A-22dq5%Y@swThWoK6(GHa8gtLbb5OpHKQpjs*N_v`3M~RwdL-RQp?LiMsLWf@1 zh_ig81gD)WSo)8Nd0xgWkwqT% zNiCh=oNf-8j5DEJ8QDV!h>U2~u^|g1s?v@IIrkpoz9863d4j#-JS+qL+7HnnX1yU| ze+2~3rPkLFDDSjJdhwW4~u3o z=vV?yFhT(yqCm`gL&W|CktdJ=&Y;n-Gm008A71L$S%*PU8hR$oMg+vcIK?y;fJ#C# zF0RlCme6(1oG~shDRU8Bvw6hk{tR>k#4$MVOe_eHnY9Fb(jRn_a_x+2p*bmGoF@^6 z9>futJ(7Soiqg$2h?tWMY@PZ3%`g0P(3_k}$nJ;v3x=@lAq2z&e`HqiX!k*a$Q|Td zHUXNVqD^xO6*-`wQ5S24c@`%_Jc!vC3G?O2P1>Xq`OE{9dipZR0SGH73mG_7Ssdom z5PS)esc z&6spk3`vni=KL9H+C`-Q4BtY>`G^?@2)_R!X(9_KfTg($+Crg0ZsJNh7hq%TV>q68 zjZD2U>i_}K|9M&$LUPE3SjbA05P|F`G+0f9hHt}4%-vjv2oSUI5;Tzou_Td3PbwFM z26C0rB$7G!oG2I44$2H8)%FkqViaDOCJ-n;vh!>ZDkbz;Y1O%z%F4;o_#Nj6<_AH1dhSr?497#!Y zrevJUgUv6@&A~65%^u<)&LJVESu6-0guRYwJoh4a5=Ib=aU_AC&PtTUR*2v@dys&$ zUe|Q_!chPvMxr?~HOwb5*P1~VaMOOp9nC32urp_iW{z2ED(9SS;JsnOz&4f3=C1(I)vfxeV$ zI*<@gGda-#+7ARmD;B1N&pBSRhuUd^vndt6X!#_J?SQvjqvw7eOpcWO^;s8<#m0 z=`=w)8BYmF8#*Zhfh=r$h=4c^o%yH;CWuvX zO8Hv0@9K9)j&zzLI`C+Ln+7)z-u-`9lz8DYoATs zn?o+n=ZC0>vvvqgOGl~-6b)GlOTi9-w9kam<)@p1c~eSEEgsN3c*=7SXA2UVuLS{U zlLJei%?JYAOkfFUpq~*C1~zCzA2Fn64v1CDPHkzZSm_ZSipUY_spmcVi)8(DK%a-;6Vn0t>QABu< zFSvx}T-iV+;Esb5Lrmq$X}kl}Gf@#{4<#Uj z)$J*+H643RUB`cG)HQ26mdSN^s71S-u9|LgoYo@5^dW=yI^Yf(pT_?fL{0x%80B+c zb2QV4ucGl>-K)DIFskT;+00d`NEiKR9kTn3HjtgkPBV~TGQ0p_#*v~r9&1N^t(b5( z{)gVR(vC&6tI2IE;FEg=oV4POg=QU3${2B!{<0$4Aw?$w7^QpBCq#mQ=gUDMC{^_CR);N-bYOl({QYh z^V%_W5S2NlEXi489-GN`h#&8|sKLwC+GQUWD^+|VqZ6cLFWk)>-h)64MQMm=s!i`t z9QRN>*(EGD>hLlpcUJ>TM#CE*S2G~@E`xYr&Fx&;@Tefi1>=;?DegpX66qk*-`>9t zUQYG$$-peA%x3gFT5uCke!05fhB>3;UEp!u8XNkD5;c(G0+%DfgQwPLY3|-BV=6L2 zK-R8zdnU6TCJv?;jPxUBSV)Zf%8!%jMH0!PKcB35VDv1NhQq&N9Zic86GXvON(-Vv z6X?>`UbL|ynkivH|BqjDL*gSdRR;^e5Tgi+Aear#l~-)VI6EaD(HE4gg*Iz(fTT^q zVvc$y^ITyX;)p;*qa`MyVH~c5%mHcwnX^1k$7I?)sVD@(W1K%`VvZG&z(^375JQzX zsH?V$GMSPK$~Y+Rp)3xFgk{E%|1xA1W+6@pr7(_VLIU;0r=cy7<^oe{kqqZ;CXzmw zSGvn@S?=EzW+4uUrAB~1O%hX^n+a}?DfPP0$_DX-MHYPt!;bSwf^>)}%z?d_f|0Bl z_DYF_9v)JAd)%5?@=KI+4trv8z$W285lg2m0*5$71lf%-Ve=@oja>+g4i1%VPLR#4 zPhdc7_Pv!v=4Lv66w$zOOc?^4X*L;LX?hg>VFxsGeJ_A1IYe*JrlU;*!xY|7TY%r)qXaGdfE)A{pLIaoiEKC!k0jsd`>zAYcHrLL zDy;JrNE>_QcR6vjmKQx5^s}4i@SswqeY@7_VM{G!t0H54V?WICwA7)>SysEiuca=P zwa=KzcCdw))QpII3M=i~;of{bx!RQ^?q%aQZ`cNGH`bTZ7(GP-ldUdn?D}*Jdr}>( zc18YVk}Df^?oa_tj|nl&AyoZ(GR_3w9}j>E!xm>GoYO1(a4%Py1=xH~Ill&2#J~0z zhYwNi(5i=UidO;LY64WWW7Opdb1<)Y&SdfL&!th&^g9J|%T&dd6`jr62lzI{3AJ1r z_Cv2tZ^UD|yS`YE7PYH0ZddyON}JTA+U`*(wHDjcalROjLjFQrNRzlHSaGp$`GO?3 zp*z*%dj#2(sVPKRTZFG89S1_8tU=gOCbLADNif<~o4;6`S%6X(q zEni6?kL>dy39UV!fdt$<^(0!Y# zpYmC~P|A_TgsWXRaXorLMQ7FL9UURttU)#U$$(iK8Gj*dbaW#B<< zsfHZ)AL9s76g<6f!;M*n~Er`FYfz$@ew3 z*oC!Y^hT;b27i=86(1(Ucj8`n;j(PKpk9hLbu#Y%Uoh=zN0PIHI*?nm)yJMLstLl` zbRoHtf`D@3Lyz>0lD+l*MC(;+5`mQ-)?(x?nl|Z~q1ft|Q zhh0HDIQy7v8o}63O|o&-d}>w+s0l*>D+fK#J&vzl;;%Jd1Ycy$^4V6c?)9GUIJJk! zR&30*x!2aOYuFVnVyuy-iPVHa%$L_EaHl5r}vvz7+){c=M^c3!dUy2}! z|1%Ngk}y2DW{6UXxuiKw0l5ayXz>z)wSYxv5r_K~bXwV1N9{V3w%+B5P??<15^1B` z`3zs*+-#!3V4*0y^D|P%t73{DEyAK=)XKBFowJd2{Wco8y8DU|%ab#TR%#TYLxj~z z=k?c2qzQ@)@urPRo;NLh;eWG2(Czlcm~nM|6}M_y8_wG^@t|Kml6x245yb?fT~BUX z1-{(VU7l3?ExlZ~o4~ZHEkn*6Ej;FE)I$|;*XVL_&iJzpI_x5!_nkV1WaL^??)q^r z4m9+pSL$dgD)eg(EO4Bs*Bk%tgHs%~X+BP~O|jvA?Md5DY%Fkdd;A>{4v;gIkRk>! zXO#*>Pj}4JV{5#9Y#sGd%`pLG-wf^H@=LPD`bKY52 zjNM(~20F#S$W8pts=z_c56(h^bcsP!16UG~C7+4rEuQRx$U4O(@ng#tuj1(!(MhU` z?T2+cv|n)q$mC3t6w*Y73OBc%8ekn>&Tc{Xg+TzYQ;w+eC?`w8}2OHx=Af^`nz?DozUrA6K#&81^-5aC5jMNLXS(yT*jVF zxTEfJZ4!M51%9S9o$^}NDT&(G5aTCLC2=ANLdo_;!5(J@IYxdGbCfkjEG;wn)Hr-< z5avJDlv zjV+y>H&?WrT9M+ckhM|Ul;uJ#m$LkAFw!10dL z9ySEbtf#bPdzQgaq)_}hBoSl7_CC#fEGB7{Xz<>e^QJVn{K5>mf$oYq{f`f`*H+jn z9N{3OPPlEd*cU~+Lm%gCn$jc4@uarO(#AU?kd~Q~*rUu3!F7(Dz1)UG=3vo`@)Rrl z%|NPN^65EOKdG+b7~OK@(s%sh(5tt_IDKzVjyQX4_AaF$ zaZg^3VN(B9HgHJos^x|ejTU=OW^vAnx(J;*)o;yRTc}ys)SyqNk|dl^8+_sAv~0_b z>bobs>QG(H+S)kEdcE3*u=O;Y7=ZBYUh;=)8*1*AwH-FLZf&ghOO4jot*dD&YtTki zQ)^VI$<@JCSQdReRhHl3!a4dB;z#=mx*Ifk@kV^$*u9>?9&T|hu6MNAC~Bv4y1dq- zH&J0W#cw0!dv4IUsdKLJDDo%j-HTlsPrlzEEW?C-izyuMZtm%)$t-&;s;VohD{1O@ zxTo~avZrheh;43{XcT(;$*BAN#P=D&7`f?3Cr9x^`Q*~L*zc&Sf0_JK^4=R)Rw?U4 zsHtscGN zHgw;rJYDzeD)?yabQ7(urmCA=|DE1>yIV&$73K=~qfN`F$A!hKys!SD(dy?o{n!US z^JJUfk6Z8UgzT+b_)A*0ZOuWlagEVG;Hayy`uo*tn%!?w$eglT##F++W6Sf~zYYDj z)&0>}p)IOO^ALW88@=1pEjWv5Ue6@|u1i0%?)bECRu8RvrX}Wka^MBJ$7a%>!$)iu zblMI3I!6g6y@77i_R%fIRD5P@;^;}!J4FrwF#)hPx@bNx-rn4J7v@mBf`$Ew@`AuS z@Om@x{;4-UtrW`#M>W4={>tU)yc40`=07qGoqaqRCYSBSJ8N(0k&d$W5W0bP=@2iP z56k%L?}24+W9N)e&?o2GUfMQy`+irokQ=9MnDy*GkMxzN0K71s*Kh4XoRZ+)2r{l$ z7k}>4cl*_9>Nja!GfepuJf`jb-gV>B_qu+3%K6KDjoK0uWMkRC@RME>Gi+NX_gi4>z4iVyclmC%^mCW}>YIJNd!}voFQPT4QH*ByUUs*FFEw4+!#yvYS|cXP zS%OiNv)fhSCIzM7wBhy{r?=h7d>Rr*7AkD`)5eCftrX^8Q`f5N>ywww$~5md9szoD zw=6e$8!GzYO96peqdgaUy<|8fVeh`acUTkaY5F)eSKIaK(>tMDt60m?HL6WzyL5@z z4!Dm;|6=b=4ZXnhG{L)%_MkzY>T#S}$r#Nq_^zA=nH=t1OOMkFej9hZh2!0N@)w?W zG^lNAE&6A(x4l0xg+HxG#S zO+W7+d}eVM4;KGU2-Dk|E}!7&bX$gIT+bAqB>eMtlZx3i(x|_AG4CM00rM7Y0OKdG zAXY>{Z0JG???3IN4)ZaNcp;IkhWD|4bT4ba4j(6Kv)?HVBJPPQuDDUZi7__1KIJkH zGBBfS<=}CN1nLbMwT4?_nQbn8evXN=jYNrYWbs7m@wZR6;mF7b`pM4<`dK@FBmI;;_TF#rT6w16r!$N-{bx6yzx_#OuT3(o-mDf z50!h_ZbnaexVw`6fxS=>G|a<0EsB0Ui)y%VRPu0h&pLCu3(R3Eg zD_QtZUE6QrZ+lLOt5DS>{L*;lFor*m{_d}uMwFXkTbBz?nf?HA`;Pw7X2IzDj=|C+1A3x_wTle|-#g%LOtro5PVJG-&@`s=t6wACQZ3x)ZW;{_>6qCuSeV zm#p0WOxz9g(P&Mw_)`meO4DYu;bNllyHwK@sLWXGrS9b?tm1Mc)TRx;C@uT3ttDVxlpnl(VaF6`*vr62urJQXyTd`_ zj~fkik6{Cc7k`C6>m0AW zH|OM8mx*kZp7jnss`Ilq1o^R_8$ry3{of#hoUH5nH1Rhc_Ad;o#@4TCjRemqBYD~L zu8UTm-H>ZSxq272@IE!IK1+&E?KNgEe;XlHFmQg)m0lR0kc%vInmA)~(3i%7m~iC~ z;pXx0N*)&X=&H2#O)NWnp5L+Xgb?|ElMlWvE za2o}4L+H5mP?I)|Yb)+N`i_Rc-%g}8kE4enE5j>%7spJuHkCzmqqGmyfIDgpUB@=U zuNFsDexDzIQ{;%mhM0imfNLJom_?AtBtSVAoCH43lLG;S_?P64&Amr%jmOTOtnh?0 z97AI6?)MK(_htT5heXNAq%wVU}PFJ()C1Q+ppI#Xg1Yi?ru_0@NhvH?u=sCblWA?c+vMM#S)3!=Th{_}hL! z1G!OtoM^^y5bY{f{YM6d><5ruA$`jXPg6JJ*%l10O03oP8?5+}hT8FIj1x7)76-#u zDc5T2A1&*trX8i*($CvoS9@#U1OU2f;a0n0yR6TzE@ny|1g>JP)tk(5Zm7yQAlw%L zbgBSa?K0#PGgx${aVtOLBJ$5{$9~F3GvQ9HzqzMi{y+AwEu#MHOt*@)qt2Ed(hOOF_em2%qzf)NnslBq+V zX)krNMq5{n)~uyh)$HJnH|wmb9$w9Apmm*weO0qvR}5c56xFWRtwPqMG2q|@Q`W%= zsvzrV&}v92A&%RS9q^)6wW+SJuw3q)Z5&kQ@b>Kos~W6Jzg5-*!>f{Owb@`PbBote z5=*hG>ojbKUSiB~5L;IVS6wcuTjjm!)N5VL5zLif(O_Nn8??q-UZNyAfx0&Z94PE{jXy?5W^XtS!_BRScpHqGMW zcA@ZxepTnR`nNund*U0^-WK>BhF3Y(Y9MrITmcQL1KjNayVYAloUFGA*2VKkPiE-b zjKB@c83)s61J6(qUR>Qb^s|rs=U+k~hh3GczkQM^n`k+qQ}a696~NRf8E#kNf83RO zJzg#P$^hsp29fQWVK2^;)b`IJBS`ypRiCXm#J_JTZmIF~>;({2jV0Sh(t9gBx#~#g z-cRY$JU<5QxE$~*kXr4hu^GgL@CBvciS}hi&xW$$Klg4j%m(;1!sN`M<11 z|N9M2mAT7A=NLkVzlEw-|9&3o3Rh%@U{BNqC1=2QWQ1*jw*&(?N-5S_4G93T;_}1 zILGta>aJ?N)fMT^(N3f)L^%*NV9B(EG~36`G;lRl|e+9h#;DCOu&nbk)9wJngNd>KpGG3ST20x{!MO$ z_N$O%wUsPZZ}qQVmP}*jS6j=*9LpIvc>3w4EaP~b))t|uRUQL&>xuZlTqUWgh~;n@ zIe~?X1QI1-060P1fez+pdFrU9z$a0`Avfw+1*51Ji!;ftc<|jd>&esmCTpm2HNPf7 zt0B=$_hk{3+PINo|BA!}&`U+|hRw&)WN-QbL!ODnp-s6U0tX7(5zQs=%Q>ETSth1G z4n`a8s=!)74*5}>ti~6k;IZ*Sxh&X^Q1}PSl8uxUqK zW_#*0|BtO%)3%vq-uk~|e$wuPSL|PI;jzfbnnQbb72vFUtMf~q<34c}xi#NxS1vcV za;3CF$E1)7DUd=bq|6HxQXz$2NPM9oMFo6FAs13ou!R&zArw*}g;Gcr7aB7Jms08< zmqLoakPP%62}|NH7_vYxPPmjoB)}mkk&%{s1_)aHcgompU`OzTAd$dBVR<9WDKL3@ zNPvXMf-@x#jrZ1|s<(k4=jCZprhzBS0x2Y!6a}Xp?-2}90j1~T?xIXmla8N3ca7a~ zDXs927ma5eF6G6TyDzG*OFpJcku-h;ibxWDeyP=lb7gE^Z;X=z1;X+*1uB5iP zcvcB+^(@3ut%16Vcd}RPzCE>zYqD3{zHmK(whVXMRdAIATBkmibL4qC9j}abFMOl0#agQn~eQI3{YpQlMe0QIo4_ zfMv`0q*=9pZmfWtP|BEAADSg)VZg|Hj_Y?QL zLE*$=Xs-Q%X^j%bC{^}z6|#9HkH?gV{;MzMDJPZxedI->nJ zbc@1I#}kb?(@HRr8Xdz|Db{M;-?rIVTt?piJ5T@MJAfj0ysl?CjNK1bu4R|uwLZK4 znCjXE)&A6RhyEw`EJOpGk}zx)W36^|bfL|BJ(#J`m6o5D*YnLiQ<3MuOYN%dT6g># z>C{Fp>@Af;70}LUZLDa6DK|d2sLYb1eg}#-Lh@1d?>f2}A|9bq7MxoD_(sj>@N8@~ zdgPeHj9Ue(Sq<%m4jvrp2wd&|p8vc{-2!2dy;8%#)!LEmm+B-CeMRbg+q!(xPLQCs zt9_Qp4u<5NYED) zQXz$2NPM9oMFo6FAs13ou!R(VApnCA5CZ@N05U{IHvj-nb*bnJ_+oCRyqxlWlkeuR z+bqTd7%p*=1=|g*yzW9j>Eu%+mluHhiU0nLju8Ni3|P?t049LG_t$r7_T-!h#-N65LmxRzkj#wsY8Z0@DdNAfQ0oWO*w)h#Py!CY@IylX8>zJGo)_!sv-b`2hlBvCZGKS7EE(4gP9 z5dF6~-0}{wl_rWiwL3ea-#&;9j+3jTt)}uK;6VL-WgdEHV(q{{W=fu5y4@w;V zHCQ+@ip}2f=kzbrg1ZC4rq6YCEAFq!atG$O=3xtCQTLnb^=4q;YxdLG^*_3A$e|uG z-L&2Muh6+@Vrq-Vx?uv;Qw?J*R`xC0X|O#1fz{{eRWpswsWj<%)!#gQV{~Rsuyt%b zv2EMV6HRQ}=ESyb+vdc!Z99`pG_mfy>we#@pS`+!o$BhUKBv#FUEg=KQI|A+o~rg% zI(J`mguAs@Q~j)T@C6y5vcr|?xhM9oNT2?mNzHAkuhr#@u^3lL8T!{X*7O%N-j6oC zW$##fZVDo6n*3qR%T4Noj~}qupCG-ts=G}4yy%wxpXq-1YGH$0_53ZRjSUz_Ipaj( zjQD<3JBmdD>1(!Ad#&%(-$Qbw98!6&L1XbmIQt)+bi9MHlj5;Rq!AVP3b!%guRDq! zpY@Mtp=9r3%@Gk7Hq51XDVSvO^~kn|gBX`(*FdGZ|drGbNzDM3p$po(=8(Fba~Wcp}9OOhDWPtR|nN5CT^gQ_jO0sz^|Ux zMw}=b_ck=9(;RL5c7O622XixtvZS^5O8+L@Up@(I)oG5XJY#GbGyO(hLoR2q)1v`# zMPYi{D%EV{*dZtwCQ^x%Jnf28NC=BVUa zAbA-j*AG1JG^ER6r$UX0M;q!jxk zEpNli6Q|JBX!Fh^w8rz5-#6xfW33ho*DcK{*5(r3wpE^N?N6ORixb~%^&)C`bC+a) zHO=TU2|6}C`oeKympG5Edd9E_+*6lIGL+OY7BLz}Umw0itzP(5MHzx6Q}!Oo)6?^} zbS>g!cvAyV01_Nm64RORQp&mwH|kcCo&$4L1(78!Y-n=(lTq#VoLOdn-8p_v-2{HU8%} z^}n2mY5R9S%$&3ioFqmxL+p2^V7us|UO@!jBCy{=wVL_L9gYJ|V_Lgosb%4*dz_(> znq?9#_q|M~Vh4T^0F;!Fd~CPq zIRFa}gzF)6SDpta_CnG}2mpVJg8=;J=kTvAdmGQv!zQ+Z^yZdQe+rPEf9ayO0B zN*twzGVQ42M@PGhln9vDtXUw%QnIK`qa6l(o2iC<|bZ@7CT-OtnQqv$v3Z?95sCvxsq3mC95@;%b5)~LaV=C z?Bj$dHBs_5)!Ws{oIMS^YQF^k)Kuk3*UuGIT~ro6D#b?Nc1dK|3qvKVXKO!DQ3qER zims8Uh~o8-y!VKEujVRLRd3(8)gpO4xOcg?io;#ZR^hl=zkvPVB()4y35y0YhNeFF zY8xQFYEZipmk1rr70wJ4Z&h{g=JWK;7Z#_AFRr4=J#1vt<*A7M&Y5#?4cJlRNFhb% zBn8fS6xYuZg>p|GsxIJ>A6Vj7WMrq5AiJY&UmQyon#+B;J69dE zFn-6;Rv)ttGmAZ%PhQi#e}otnWSvJ(KfIhOwy*#E`z=?a5-0Pg*t@06l>;!4LO}F& zo>BzrLw4Z7$R*Y)OtJZG@}_UywuO!HkC^MwjB7*Gl8&hciSE&9?m3vhB+B>{&!@`3 zMtW7Q9_Df;#2}=I@ge&ve@CNI?~8itkv7#D_2fNXy>QueCrLfxkHb(2iV8m9P=yna z%Ui7T(@s0yxUMNJZ8ZlsHLD{A8qZ06{C98c2o~5+H!Gzzv(7lgx6S954yw7^jAV{C z+%zhknk<{*)CZdn3Hse$b&fG8G9DJ>1Mj$dcNnD9qo%|h4i``73Ybt|1D<`V%;>JR z+&*$D)!l^ft6!>3XCl^dgd8`H0(meOPXZ4mM)M6IS57l{a>WeT@ucD|`DpWfLA z#m}k>4Aa>^)L{XD8@x2VZSdFg#wXnsQhz~4?+InO(x1Gl@iXF576J@U@O7q>)ymwjqvd_F3FDVXwS)Ltb% z`UDA}q@}Nkc}$v`=^nqONxi3)Qp&ozre<|&Uky7#HH;@@+%3^u2;~kLZQ_Ks2tpkt z!`IZwBl!?985iIoCxDQ5llS-KR!e-Kgns;+6G8T0YAIPsKA*UR7q1pCD_w@IO}mGW z<$KaR0O|O)M@O5COQ(pTtDW$fjckE*8^046+y0rABV=M3@#uun4`UDa(Am1kuC ze@}|Acg@pvsz9z{X?$cCYIy`npN}Zvn6T#C);?k*v(%DwrYdl8j ztGu|(f|PG^+*?>jxY}kgi$ang*^2kqxlPWclge06XP)OevfpaO8KZ9s6sBKFHej|+ ztg}?ec2nUNZXh@Rm>sNu-|4FTRcw&L7Us>w6wtKb*ov6Dbm_faS}eLvm=~>V+S!Kc zvL()=M;xiAqhwHXl-&Dhc|je{q~x4GtByREmrRyGmEw2krq76(B)=wQ`=4E+$%VBc z+ANL=xMaG5YB&SY!JtH1I76mUuqPgopC$FQXQ~?J;68=^ltP^$LCc~Hf7kDG8iJHj@+^b)@4mxf6`g%OdSt^$lGo3ms+LcuW7i^xlt+6{PH`ywV74l8>`(b%^Hl~a=&XsiUhnfK^~Cs z2zS87-PT>|@;pif4Un(0{($s*gLDWv^Y5o0$80VOBd6?Cv1Na(P7aMBB@>b9nhI_w zCb-5#V4fY~dA1Gbm1@qEf{JxYvXLI~sc|mey2E+0flh zPLNK51c3%f-Rry4S0Pr;8~VY&%`eMM7ph);212_km0uq#Mc&n9e-6PlTPma| z3@xO_FedueIEoPc*fl%eFT#`jBVTN)S%hvQLnhCTvsb+3*g3o&{jBGsZWbr+`gAJ| zqj<$}HGa@`XIWF$qIHxmQbPJ)E4W;VaM>B?zwn>z{AHP6wiW}`A??4ICMqIIl+tTLBXj# zm8q?X78jf)xyqaiRvqrZ?e;z6>PR8xLmv5BXEaoX_((rX?u}$fVWim-k*XKQfHZ?1 z=(WxM?)ICZ85sqy=M&<2kuS`~Ku+^6Sjy~^J&`)WlFsgdzTK!3y~CPBA(;&KpyNw& zhp2CC{;RbR@{oOz%PP~K61WnBo9eKJY=1il?@X;aP??7~A+kwe&*_Z18|i_w3{xOQR{p*t?=~g{Em9508Qf{?JUj@#_FEoz&&({DN4tuVxRc_c)aff9HU$4twql6 zsAIokC(=XzYD?Ti&E69&>C1uZRUq;$ywwiu3p`VDp1|>9Cn+1iO7#s-@U=g5hHw^> z{=-L8+kEbB#RL1#g$q@XKjq-md%4TxTt%PAiUUJFy_yQ?qJw%W-Jjjy!pNX2zO5*h{wA3vca6ojQ*B>1tm2bhMR9j-{~D-S8?)tP^m7OP8&;};gwjVi6II+C8sIIovR+iid0N&5Fx zN}Qb4ZvN7wZNycm897%1Ly&UlcP%lYr2JVedb#;{Vr6DhKm%&U-`hw`CbM+VzVxT2 zVih{@%b!tiTX?!vg`|5mjY7|}`~`So(1E8mYSu{dxj!@*i~xUv$khH3fbD9O(m2Nv zelS*#X|R*iv}e0SamHf6u`~Km;iz>__ukl`{xY(D4z~CAwr!z58lB4`-vy%uzlJ)W zhjy0@bcaAea$3#<`}OfDokqCmfr{) z%_zCk<60VPRXO6cQjx^8KldoFq5WsQ^skPdfSk2m*AM$0*QU6>OUb;}G@swS@9xRG zN&L|viP);ALL2aL!Yr7?mIeMA)Nt+I;=IQhcpgSF2Bx+>4p+(Ra$ZaJT6BE}RY<0N zl68D)17r%d4muM)ZUnxby{^X(*OAMf{kGZPlr|_qLmB~a?^#WxO}{Vl&pv2Ybyu5gE# zxClOF@`05k{PO@FUvnY1@#qrp<4@7#^l`;NjdDP8UT;`vmBBO+|f9yR6?WM4Zg^Y{K zzog5Hvy47=^g`3gA(qR=XM*a35%EqdWt?RcLDpFYc9IpPX)hZ@E9o@ZR5W6?E*E`k zE1^0PNzP;l|0D^3cmC%;-U_}4z}9fN(eKH3yf+oDHBA%9e}@R!Z)g|~I@#ki-I_tl_+mv7Hl-9%)@g| z6rX=)&kenc6gEuT3;6+?PXCdc$4S^$*YmWm&Fzsgd3AnR@X{<`g`E1l4;8xAzR}fa zS}&(js3=uveEe3l-Lm^aWM;0@Y@ET%+IX=OMIO3O-lp!|2}_#y^_!>O zx^}syI#1W7{Uq;jtP^{A(66!&CRBbkW{NNb0v#*_5{3{v0sV!iHjmDVzZKn%!bh3h zTqZ?Ez<;?2DP~xIW{GVV4B7SUTq3{fz*ia1c5y2P?R|*|oquePgcFY5uOM7PF@CA; zOAF4B*((}fBmQ|3lV=LH-tuxs7E~<}Q=G|g_;V)Wu=ogHfyyWixJwv_brybxQ zY;f8IJ^cOchrE)Qp1H>+x|p*4#j(Fvkbgdh#b8a0!C+k>{-0Gow4<}EmBbke>rLuy zlqz~KTUU#U%Cwi2qW`zYS`mtW;VD(v!$>r)wNe%3X%a|HNk$c>d54tUHA^8G)Yw1x zBHL&2?+m$-f>15caO@;TFcIeRts)zxpTH&3C-?yEZNo5r%L7``g?_=?fhKdV&eU`m z6oAz*h>4Tt9PZm{(6^x0a(MkKmEGTwd+p{H*UROIFC?XQwp2gV+Od=TBUj*Xg>NG) z#B;oyo8YXEU*vmqh1l%F1xWY{JDFA^mo^_W&!d4(X_uhf$t!=N{pB@9clX;{)j{+Y-I9ZW8JV0)vI@me4pV{MM4;5|j-Qae)8p-181N$2 zb^AJj^zMH6QjX?NSCf9oR}<%XU3bB7rk4lm|59;n)*qZU+EbXd6EMWgh1UO~5#i%! zXFV45?e*t`KU5E`6G2z?3<8rLx@)?74 z>Pa-Hm7wNj24KrX*CU_6*nMTHpLwPINfn2do_m^VQRjtFu>o2v&tfImB(^x%CCWqG zXrj_NrE0|R;i;UPlw6CovcEqnkUS1+fw50@BBK4B&^$4usGFcN83|eyZ0(F#zxR!d z{Fc@vx+;2mU>@eQGJ37~QVCzm^c=O=R4KP+U#^pP>T$cDka-4022=fq3j$zH1&h*R zzAHIRj-)UgTB~@9dzfxeoNHaVDP;)qS8#2fe^`i7Qw5UVLfIKJX$@6%j&H-nJk*+E zNx6hhM8wb}WNA|I-=%JRYJBft~GK7yYcNw)2`W=N94UZPwAH|Ng zrVE*>Ona8*t2=eCAnqYZAoIRifqk|myb}|UUtVc-OcA7fb+v_u0fDEOr%0o!S+;=i zt^++7skx~lPGhD_L)xiwT+st?eJF#ee<+F!09C=_F{*NZs!o%jNgiTEUriHNLlG|q z7J*nk77;m)5QCF}S)j|6wd$tGjHVj#D7If7x!9rumLV;>iw?{}J>dr{q>xZwkV<-e z!U>Hw&Pjt*dHc@RR)y8mMjvgZF_-mdHYW>g1l1q(g|^LL_Ppe%WpWl+NxyGBf}FD5 zL99{!VZmPimRy`k-dD1+kHT0+L`QdIzYvl~QbddTQP^E-0ReI;KZwg0h3ujE^lA`|jmMdYlKJDts>4e@iK^einH`Z1lKeNgHSOfAwV){s6 zl?9J5tvN8>2&+g8?uQ@`)&0~o>^dwnALt#R3I_nsDbl0JXeilym4ta*1W=~OdE9@+ z#WO-r(rU?M2KyCI!b(b7O(OeqM_IO5c7GYi3HW0`Dh1#$cRk5FnE+ArH8){52`^^f zKZr!!PHVV{nM?VT1|ODNGouRTB2^eRT>$?XeDN z&?c*%Bf7#wE~F89=?Iqerj`ulz+F^OwzP2j;BMMxsfYC$A7-T3a!K%IqpFhmn3#G$ zeHy9)TxPrY0EmiqW{YE?0=LJ%Ip{w6 z&l*(%M@5qlc2F}K#o$I|(adLp*;-}a&wlV>uc8|7WoroPXil^c3_d)zAWUrt+5wHd z4OSbOv46ZoR|@`9O}XG&eq$IIPT9YHiMeV2lwZe7sWij?>#=M6-YTtZG?!xW4G7zaEzlUs z@VD%a=4w)$r{(<21&HAF%D`eRpzyvkqY0&`#I^(cMpjnaLM|--^B)_JzNIWsQc@lE zsQFx&=uJzgn131CK40rbTr47pQxv>O*CWV$$0^#p3Hc8}`A^$sQ`f;p(p>bG;{ zKOBRa3fGens36YNfBs5uot@iKIY;cJAMu+NVF8bGJRVLimAlv(c)qVtXM!dxb}3@S zPx6Yrw;=goDwj(U*spJjZHtiHAfQ(iTA$FI&JoeZMkG~o89ZVQU8c{m3j8;g?m*ez z1kF+0O{=bedc2}ZMk{w3IFfS^p@|+lE!5zbq>O{yXWn$qXtG!qq2kKHV1!N$aZHa} zm&KrqH9}4$!Y+e}AeEg(MB8^NEFdf(exf3dYut%n@5_icDGggfUzMEstI`(!W-dl8 zTq&^Xi_@(?=dxhId|}b>z%9s9fAMk1_$E#OL1qksv^pR{C_3*5NwkSnZU#8y0^}#ANpFNLC^9=X zWu~C}imaA0T_Bh#lhZ<*2s{z zz(Nn;i)vIaIwRQ(^-rp7;4O<)T2O9X@kp{Ji;je2j=Atd9d&g~BXL#u zlxz{Uc_8qIWLY(hH!}kc?n(grHZWw$%AsMI4nC_rhY>d#0w16>j=G->LWxTsrISNX zyV5+t%^huE-km`3L?{qPaYZq9 z;|^DjQCqfXJw)0A!~;!L_0xT20jhqeKgUKGJjJ+0#bs59L#`C3JW(F~u@SPUA<_+! z=aa@wO>{GnV7ROf3-|zMc20A+WVS5f4|8mQfuLFl9_X`@IM#D#T!nB1?MW(@7{cJCZC&2sSIA+-wfRybqhu z0J!)$6fe3_cfK-RZ?oJ`W9eKsx@Sel|nm4U};qY?15F@Ag4 z*;r$GQbw9a_&7@T0fayJ{du4ZkEQz3?(~$Ch0rk|l))Tp%*7VK(tbHc6SQ?;lDPT& zAk4*1i>;|b#VL@xLgIz$VGdOcZTf=s?g|$WN$~+)Jcv&p(Bb+CYL^el2mfyu^^qD9 zU(vB352oJF`L(kj0f7MP5`2#(u;l$3pj3f`^&@Djhm#lAnNAst(n(Imu;ze84DIzx zSEt;^eDHL@R0GuMLJvfn+4};ru!xm*i;! zIi1WAoO2O|!4K}QjvpiHVn%3`4M=%%^C-z_B9_SX@{GoGv=M&nbIX791~_>mpi~is zp(gzHFnmmD^S!e(S)^mF#AMyc+TIX7-|#H9Lx$e;X=_+OSx-l^V_6Q>8BQRK{$Z=FQ3 zC@JX(2e40%``Gw#x;nyV>pFbzBN0Xgc5Guyghp_SwFmuDQj6*pnIa!hj^5YPPWR0| zACe2r{_kuIFP~eL-g-z6^iokBFPdt2RbaNa$1zz&%Y4%@+YduT3Z59aG^!*OxPc7)L7AYUo)5%2K97oH? zn87e4hEYsP_D*~&gL;Fd!<1z~aAIK4rp)3`naIFkvx;S4%wJ+KEQ==0>`zmawOswu z>9goC3e++Kxyc4wSe9$cWD)v;T!v;ElnsT`e!J${hJ556!&*H`!Y=5RPlDA$S?V};L zU)04dHpBNnd>Ir7n~E^`f9#6B3y{2(HRP?xjx+A+i#1Gk|9E5r+VQm#U3TDS=MiMF zN8^>BBfmdmt~Gtq9BJx3?A%3y z%(Fk-py}*rw{< z`pf+dA`Ay&DbXKr1Jm_w-{)(;;jC$^J{99(l*%d!UJZgxIz_|d?Nc5@8o^HtdpO1; zk^(qFKde%`13`wly+O|};g_G?&>c$Xz~q6=4|n1Iok&8clxOj&n8ZjB1kcqlEZRzi~nJ=+Di z+Sh5JPLl^!!C;`E)F6X8a9L&{5Hg7hc47dj8f-SLmIkA-)Q$d&vGcn4_9rj{e3BOb zU(v`t6AEr#x&;R4xT1dX_7Jj&#Re3I{t}EhDivc1=i?17n&KwG z9TaSwbT;yyx_%W5bY?OxKDeiF)C*L6XEu#tD43Ik`SoNjNX#pr9X;;rPf|$dc z@+ZNo0a{`{Ygg@=u{wx@qIhTndV-je|K`k=#(Me{U((sl-}N5DK;)hwdS@i2CgO5A z>9%mh=__dhuCd}Z0i|%Sk%l7*Q^gpfoa|uP>|Qt3bxYW_ zOE3bJ8VQG0yB;V0o6Qawbo)q;8;_=7!7fwt`I2=$_7np@(uAG*-frrk!NWMWIvg^q zazG;){WYOE|FSUi*+qTVP{mIKrGf!cTk=A0%&?^s7VJXc81XJ1xtf7NlxwIF@dD~- z1`%dJKdTpVUaffQhfS&G;}(R~Jxb?8#Ll^A7dDzEC;t+}gqP%|@Xu=aYnQM60Dq|682|u2g&j7s3ZBb-SY@Opu7fA=j$k_b7Gnd20_Q0+Wq?ZG+)P+9Tl? zs(R=6_4L8bZGL*5Bk`V_n9N>PF9NwA!l({Jl(lrzaiCbxN9b4p^SN-9*X8Q30X9ko zI=Tce521Z_+Jd8o*s7P$9D#7-`sSMe+}&6Ok9eP;tY@yb-uu*+;Y6S(H|M)FpCa<9 zE)3a!w(ybfYs2;*l@rYt=;-wiDmEEY&>@mg!C)#EMQai=nc6O3@n?8byhTu<6;vp9 zw{;nKo8K}?VrUR@1}Z6Yn2&@bs~I3(gw1!X_X;$%h9AVSAkuF04InJt9oqxW)Lq4( zfimi{cQ4GjRZv#iS_NW_-b^nGb2{jDPQ~R>$np(4BZ0e<^>|IZ6MjH!ZQfHJ9ura3 z*75Ne16J&DdB7n^VRs9F%I;uDxatrmjOWyfNnc0{o(CkZ(^?kQz8L^56cTP_@f5(;Y*42uCVBs;R{(ej%AZ-<-$YL~1p_se?O4A!AqRxd}?;;P+=HvL9CyY>k6Kl0x7B9SW=N zsJpk)cAUh{$1O-2n2mn?=TA^Mn}B|Tc8f3K@t|JUq2(xKw5qL;h>Q8Om(9!Gp27C# z_0k}e@IbYZGuTr-pOLnjBg!dJ(R1c8<*@&v1PdHb0sHX)QOzq7%WjCVGYHMM8|%+= zvIL2+!}TVT?!{1g*SJux{r~#@Kya2pcKD4;>UyQgdk>3aHUUC4x}ms z=T<1wa^~#B$3G8%arB}Mqg5~ZxsL4w?|hq1b0HktIg{e3oeobv>p^P7?#?*dJj!~2 zIq?O-UzS&frdZIMwP-anja}j!6YNOojJL02)G(#CWVC|P6(2j}9#VI5M;lGtLE2I# zEwUQ_#ghZ-?(EcDgVOY)rggU~VjORx${QDXim1D|IOv}(DPd0AZ*G#3|7nq}=v;Qh z;OAGP^gCYsg8JpZJ*QENq0F%5SqHlZJ}R(E3He`J%{a_X{t;@AJAXfrvK_Nj(?rdu zMD~RMaV+24=K6z7sgpMHzbGkQcOJAL+GbyvJO4|&X0slelUcF)4_Ki66{Loj+3kvs4C|;JL}VJ8*I^oO#6Izc>~jvZgRf z60;bm^8i1n;d8Ro7QUc1A_Kch@Qj#0%w*Gyu%Sj_KbUC6o-T5K2w{ukFqGm-v+`+Q%Er(O{7`m`#UG-MR1 zd0)e~K0@8op{#;2PiaESV^-!CwoDUxZ63P+@V=AW_#yLZ^yp%yea)%z5*DT^n@=-8 zWN>+WaAGZ!or!6cHIPwNu_#bV+1!${{S*@Zl)Hi*W{ieFrQU*hF^+uQDS;L~@jM|W z4Rs88goF;p&MuGR-YG^mm8Pi3a7x*LIv7yZQD5}zv~T@V34fWD6?8U(!#90l+)ESi zilPu`KCiDOZa|k+wxuz@BjbIWMF*dq#>D`S#Phe8SI674);AKwTR-me^QoI=W!nUL zGAASSLCd1Bku8S^?jO^&fj(|SyR`_{E7$${<$6$>X=ank=w3Dl>~%J(l1ND#rg@9s z^lOoY610A%`Ux=q}YxOmC7sBRMQACXGw%6boRO8Qzu&hIMpj@l3=RTZvTUma9 z#Opz*U4A1|>sRrgEKY*lV+i}NCRNsPX;liD&esH&qCK&k8*_%MGy=Dt z=X?CGFVmV7v-5WXYj8m*Ad z?{e3BxAj}wkZ^AAd-8K0g9KSU`Ha?I`cx?B5#QjUQnaXkcVs*(lQwQvW9G8PJGWvd zs+bkZj1XML;S*QYn3}cy5V;+%*e7|MA(WuCF8Y>Nofq&KJj}<*Pg+%Zxof~S0X&4 zmzrgChkpO@XL8D{&&i!Dt+Syw8%DQRuWZ9V#5e)c$0`xfIoZ80tx|NaO-fd>RdM>| zmBu>B&*dgRW3h|m#uHpNm3~g$sjQ_4n%*#9>aXL?q9ItOm6o-Mn^EQGhFi62?cPO0 zgsva<@P?k-|6Y+)gH(v(tX64ZqKI_ZrKv^gqE%#nwpN(uW)YDyLHWgQEI8BKRFWnu zye8ijJgPorsgmUUn0;fb#Wk(uQi7l#O5MOQf~|mDU1?IHXJ|a~(r$UaQ zt}T0(q%Ai0?@joIT;@DeJ>ui`C7{w<}S%l1zT7lsaL z)^6`XCJs~2`m{vDD?RmL40>zUqfQ*L7z!SGEt<|U)N>7f>{5vifEAN_-lGJD2#%4p zj~GwNq49<7vA)Qxv04tlY6-u$5?&n4)GYcj6bUr_SQdF2DKAS~Gu`YnFY}P!noJ20Qp;{y zn1c>7kZr%4j1Pf_Uv1$WcUX1Lz1qk%cBSppX_r#>wcn_Cd!h>`s(W7%de?2&{2#df zE(S{tao`18)^#XiMnacw4gTqYQ(* z_eqfZNgH@yCz?s|+b1-Ou9QZefK{O5+2i{}j^6p+{;>O2_ zwRkkc=FB4|?bMSnF@L6?<&g=uM(-+kqa!f63Wf3uXY`qHZkR`T2>{_Bn>Z8#Jnh>*}mb+&XiTGqw z_kZqrUXXk%tk)0Dm~C$hINNNTVwdFXOmlDTt_|mNrG;AOm=YOC(lAxWZyE_TKf}XX zR5!oe;TB#>lRE@$!VQ9nr0Rg?jZp#%i%$cGM%l ztzC9_1$~g6X`tS?)vHXsOQkt!jw8}{Bw@*7b3ZmwwD3F>I+b@l%OTey_QgipnGeG& z>v*+5sTks(l?6V$u)&D1N^?Yp$THQ~Rlre-pULG*7yF@x z+*vl~{V9p?giA!nOpGT)8z$-PkD2cAoLn=Z@r=C%v-kKh6b!+(Xg+;?)H%9gl~9$h zQzV4v`Oq$|-Gcp%C2Kv%=5?nC(+{Lw1;NTODaN#E_^iU-! z7FGn3IjfSzbHPWDcI74~!YLQ@4^oWnO~Hb!m8W@hF(;3ySMSJE`wa55^~p3oJG1TX z6l3nKtz*(PE2l}>AVlsuZhEfd>JI&_y^cBYr1I>@STQJzDeBGVt}WMgp)H=aZ>C|( z!OV;;_}j)L?gt+G6PUt~G0P*Dp7Av)OKIYM;{TIiClpOEMldi(sQ=%jN9_HxiXiPT zSU5D;StV^C%uC#6++|T2X&YiI5NX!N1;JB>2eV+TEJBH#KlJXeT_>`vyrUnQBXxzZ ztAzkMtKSl;T&>tH&EtD4B1adWuN~@{gnAS30GYeEDX;`LGgJ)8h7`neynx8*BZ0|P z~j9Er)*e8nA}> zO!F8{YQtm|>#zEBT~5UT8FItSF&^ZW8Y|6>Y127UPQ!{uJx#d0(a~4gB433C+wO~O zA0Vb35I#K&pK5e=C7Zd!Gq%avZO4f-(bH?qvm{Hmyg@|bY}XFB*115o&`%F@JQ;w_ z%!yk^+Vk`2`%0gmlk_8`SCr~vcO2Ww-h0xw%-{N#NF=>{!2i0CX}8rHE%PJc%C28u zpt;F2n24ljL9DpB_!V%GQ=)A*nyYNfo0Rk8-BW1l%=eF+uM~Q>VJA-23(!(j!82Ne z{pD{>ICjhnovz@*zq+lzoJm3iLP(Y{UK?OH@V%qEQ<6NL`Uq+-c9TkcmOoC8xsf}s zu?jZKc()mO-iW;U{;|<3npy4yWtZmN%#g{S$@qYLx$#vD9rYi05d*yE`T)7mPbv6q zNt$CS7EUP!>0=HLq*SlX;dgTkymX$-&IIBUgbA<|W zd498(5p$}W)4A(|SMm5>PG3`*iCUP?6dy>f8KnP`wpcacZS)aHIVgnV0>#u??W#Yb zC$yrpJ|Jq({hoOd9*Sei-%f4yb+M1`rx)cA>E`nsOmjNvGbyxTi;_hA;Z-1Favjz; zxxz3Ld@}`$@aWu8s>9XwyB6C}dM+yx)2_xVrTPBEZH)dV+hWhAj!)Ov17h;C60+iLU&33jb&^|7?or*-zSU z`hMO7%l5^Q{?EZv>{He3KRBXOD?*^wPuuNdq{t4gm<~))Tqi~PV^XcJ`R1w96dDEi z=38pH%2C^J5q3P96L3BK^qqr!s{XLP%6Y0(hO7Gw7G(G|H63zq1F(NI{!)5a$-g`` z^TP*bUtsY^^Z$&kEZ%bs$+|8@|8CVr4GtXhKUHZJPD^m1@RKYz~@+V^eJcRR;Y(NdWK1bqqv7VM7581P66|0sAM=V95hw zXU+aT^cbnaAY#JCgv4Z`B(S+f<*nGtMT5fl(|OLgRtZ93-}?Mpl%QsqQsdG_8HA#e zyxJt-h|Ix1*oM4-+`q!?dug}mQ5Tk!=!s{LO^nge7hnRHxX~3MS;u`;7NnP=5Bd4O zSsAh{zg|IbGLMI!kB{ej)Z_wBq+g@JxI?4r%w+AChC2H`Cl0~mr1)uQ7HwSZed4k1 zl5-L>+W8^8YGy zNtMQsd=^i8v5<4u*DXVie_#RCG$2;@>I#Ia)k}IUv!tVbX;@cQbgI-@V@f2v=b*Jp zvA{6(KbupI#9nJk;`~nZRHo=JZVQBu>YLz?&cR~kO8Sa@N*E%+r#fJu{dI8u#D3Iy zOPH>R6}?heJvnuM7M4eKn;i74rW{BH4%!So6w-BdPh;ElJ4M(~`2PfPR@e~c7Ys~4 zPQd?@pMe~dNo1P2FfeEEeV@QvesS3YR1Ph;>{5opSn_6el*!0uHsY5Ork!!5i+6|% z8_}%zB^KRVTQiNkWV*)CpKV-Z(nn4{cKbQ#V)jQ5>>~V`{qlJn`Z9KGwkKlw*DpfV z`?V$WhRJ;jX{P7$I01lSmn-PkdySgr`dwZn9LYD<*ntot@5v z`a7wX;pCmJvvMy^^p2l+9<+xf+{>4QHZjMFiaiDpyt*XlZ=t+T64TW((WJp80M`)j z|7hkbu+;D@!6eo~-1b#VKvF5Dj8AvpUJP1kMOuGbTBCRLV`hc>7bE%w!-NnN#SpP( zMpzjIj$usLutxxBIB;e_qlQ`}|8<6qTg&dd$0uDL;edqCB%pJpu{bVdk>71cZ5KSf zWXN*ly1a(HK`PwqZm2@ukK9-TtC`SAt#tD(w@vHF)1ucQp_n3B_c?~NMY|MQMfj1 zyx^4rx65k zrDh6G2`G7-GEhULez;6-_sTN7=cx_6?^)Z(D`;T>JD8EO4ybqnQnoBOI-xpgQTe42 zQ0-GzRF~z@MSpy@QYQ||1YJ28tnt5Bj5;V$x&GsPt#~AKfZ!It&)o|>=CiEi!-!q( zkaoOoSW@U?b>=73fP29l&SMY#95`MT*A{_EfPiLsh&x6R0LFnza|wx8o?Ee{lf;$2 zgs%Ky(0Ngqc&9e@J}9$&S5_z<_C@3w=Es(qDkS_L0GmK$zjy~4Q=S># z8bd2lA3rXa1ev{1uNXCSw4OafCCP`bB)`vJj>fO8_Zwi@VgIO4g`{!(_PotM4m~YN zYjNzU6AdsAOVT+W0>MO4Bqa$sPz;QPLSh*nB4_AWVIUBx zZXc7%M-j8{eM*%c^y87IbiVKvARv|=IS>D+3OW#=5D*X$5D*X$5DNCHVDfF*9+vF_aq6w(X)_&!XMouz;O zqtgTcBQsz%000YMCepqBx5<6185fc!{#iaNlH|$V^hTYCDBgY1IYJP8s0(l5fr7HO zeH{aBU3>3gNNTNZ<)MMCwpN!(6N&&Yz2K8v5iGX6RRZX2w5nu|>CRixOgbc=`H}M@ z07L))W`xj)umIit_Px#D-rj8ck!@GB8#7^MSwtri0w{{z<<=m$kO|gE%y^y&0Rsy0 z-~%Ba+E=JwLG%J5z!U#xKft=Ho6Z&eu!yRuoULQ4&tTgENZ8XA=#_fy)gV?^YR{Ld zs$H&}udpN33ceWYaW$I-)K{*uJ9yY22A2hL##bO@&{@G(B>r{W=2Usj{?Tp!idw45 zWW9R!sltC1zf54?K*V;37LuNFv8o)9Gt%W$buD3Jm$UXPdedFi8&~AXq1BgNpVOVD zER$m^Q-}8&B(GFYs>(#2fE#7F_V(H_xXM-CL_w8&eAb(OSN)jgGv&Y)7&!io=G&ZN zUXerKz&qxJo>YtN!rVUiZ6(;)>MGsfB%`Jt z@pkWro*xeWXXqyJDNY+57#^%?`<({PCFU zK2q)%Ey)`ZaPdlVNt-PGt1GLl%fi>zNl%8UwuU-gOUBR$XKJgN@q>^v51!*3E0B%u zy&581i0J1*yfeN-uKxOQ+B9LwmfO1X><%Dz-LF>_;wIoNUG6gxEw}z8kLq~mTjp@K zFIMGPm-iGO(ejo(HMuNywYR4|l+zmpyP!|xBg9d00&Oz{2KSY_;$P2`!2w_W;5=VN z>#NipKza>#eDmApRPhuCrx(xH74?#-tkbuQt(*z-WC)r4I9PzeZl?Pm|0{TJE5_7%kI z&=ujh?N^Pw!Ec%O-(TWg7K+6K_T6l^Z4qGzxIWn$Svg?Vqf|Kg_Y1%&n(c`x2Vd|4 zrN88sfS4b?;sHBw?_$g`NAX806vR)g^+|atS7-T>=iyWPy|B4es)@D4P#mFw#h89X|5OUxQun9n@Kz6Q{9dcsI{+Mig!hjRJk zp2x5K{EQQBa|AmS1eqCF+y_D_%;WUgF$w%@&cZ2SQ>$qq2N8>YfS^M2Evg&_5L)mP$i0>=; zO}$&^sPMFO{S=yx&4~{dM{3_cyt>q4j%ghe;>K~oKVHkBLfki;+Bzh+~3$oi3amJPLpX zeE*0??4EFLvZ}a9T-43V!yfir5Cdzg?x1pW(9x33a{QpFSlA7!g^lFS$2^IOz&?zd zYss%_J1(lA?7V`v!%|sp2}7LCtgEgn6>Gz}$YGV5y^^lu8vdwCUl3EnTvt_;lH5Hs zytcN1)JHV^Ud#mV(wCq_l(wkEHm zbassvyWx8H1dH{4ca3go@t*7`!V*(w)pQOpirSskjN`Uuf!fdb^rU=C+Z14|-ZFEJ z91o}fN^L;xcU%Nc6BZl$80 zcOh3<-F9>xJ1MjtRbD^ITtgq^>gM(t{SP&Ah0WP- zYx%J+LHthU@+RwVm9;qH(|?ZI-QK&K+}d}Jy*UQcvm*=o-x|nOK+?>{i{ zEH+ggbOqid0jDsAGbU<+yaMyGDDu9y{XP713{Rz`bU`ah4-4nP`q(_2DnXT~5yh&# zRSv`xk_|;BUcbTXE9nrYgcc*ZrImyMB~rBDK_fOsZo|~P!hhFPzP?h>SiT<-Uy8I!@l#)d)$U84PfX+teCcMN^iQxPSpIt*!vmS&M9#RfASx2aD8%0vts*s3U44GBJKX}K(ZtJ|Mninom__c0Ri%9p8 z+e2wN8CsZY*Z!XRNbaT^-^YGu!1=$r^eVwvM$|cfd >KZE}cGdb@0&*TDS*bV-x z+DPc=w<2FX%wK+Au-as&`D->)`1rZAHEv2dx|5k(*RuuvT5|sT&6Qqk!9y~0duVcB z07kC!(wZIsMds*Jq^PBXrlQiKxl^N{(WUe0`__G$9TkZNI?7XMN=t|4lCC|Aprka0 zO1j2Q6g)b5U2D}oy|guaq(`~X*Fpba=+dd^onah3LRelpTI9X9iKBOPQLA27uwx%q{oMk_3gRW z>%@+aY3wxgKVFvGyXoDixRC_~|0Y=qHzVkuu`b@D;ZdIw%YdLuGji&;$NX1Y&Y{fj zNpe(sP+s%ej{VXCQ*HRTCKOxT2|ZBJmEM*WKip{BgH9d0IPAa@;+^``Z;l9V_0O&f z)~}m&(^xTf(6poI!bNr6YTq)ABd@kzZEKO~0Kd`x69=5fi@DJ=iS}EukKgQvB*~AL zJ29_o_5PQ8XX9!vQ0?~IDpS?E^lWMcSs7Ux&B}4~ZjFy$hpcD!wRiqpwBdejjkHUHfOJ-{1c`bQGY|nE%*8%^AQF5>I zA68W`j`{<5%0a&tt(IH*{K-dJk3MqmnUl7dL-#$rQoEd$-_WNJU(VZ+^q%r5ZJak> z&vh}BVh7&E1eJM~wr-DqIC*CWH630!!Ja+&ehJj(;=5$L$Q1U}6>2)9YvcZ2`3}i8 zNA3H0{J#442G6-xL()E9QSyVsuR?A4XILAECmFtc=Al`)?hmm{%K^|Jpam>y+RJP{@zzWq{f|(@xNYm4_iGI z*sR=NkFMRln5L!OX8oC)@#^XcvT1zm1^o~=QTFoWeDmc@dGI#JXpGqYF#3={&AAuoJ0yQ9 z7&-{=y3Eyvi}@}?n6I{P2{H|o&x#T~9J6_QI*rfF(Fy*#%p3(x`td+*k9^Dd-kd_} z*v%Mo-s)}16Xk#3Zj`gwyfmXThmp!`=Ns#Mgt=I}vwJq&+FPvr#eNd0D&NAU?(RxP zsW~a$iLg@kH&+S~4NG)Qz(fnEi?U&^PQ&VFoc(%Sdj#hzk)NO2Cqq}av+Qdt3as&@ z@w5DaYW&fL$~~h-mj5`aGyut3y@tPq`30uso5lBDIY3lw<0)hLzgl~xZ8e;V3G@kr zHz@ZXX+zzMEl|D&8t8YZrF4paZt|_W#jjrXa^veJdFO38PZWmc@!-OJdM?qScJcl5 z3kzuCFzpvlX{WP-p(t1%;5C6m{d1T;$?P8O-?FKYTN*YOlArqGe|Wgpw%zIJbvr;ludw zK70=!!-w$UdH+ZL`NI#N!-o(2!-w$UeE1(ehYxY{Zgn*YY87Z+yIb(#Gyg>Y*OVAa zY=@G(N^~U>k=+0*C01?`#+NaFe-8<>Zcg3$>p+UE8M2!=$jb#}M08g)BST@LH{S1w zp}NPp$?&8!I>}vzD+Whi^F$VXNfWPc(F-Kjb8%L9joD9r7W>}Xe&KYr{lI}n=%oFH z5q1-GpC+s}j`rJeq}`;^(x={j0Gv6|`|zlwcF|uC>V>^}38SO!j!uk{A^U2yzEB?A z3R*)FXK$YR6lO)3lv^4W+{iNJADS@4$+`X(bfuJGknhgVby4d1wMjFl<|;4oRsOIf z(-hZ$r8ar!zm&cF-aHQbK{*Ws#neXb_HEu5byL5VF=_f{jS#QXjB&-><9%}B|1exx z7s`2Fw5~AWhgcv~tdzgX)369hk&TL9oUr>(bB(X z(C2^16oBc3k8Z&R#UqM?vu0xl6%gy-i70MsGazrT`}+I3_a{Oco_N%Z1d_sx^?a1dFDKUjd?2{Fxy9R2lFGbCH5W3qzOn8^-Fs3 zscF>nb^U`hFXXeMt5vcw);{aA<>Lc>_=&)nPyi;06w(P-N6{BOAYsP$+1fe~xHZ1P zN2C*zQHYZ4*d8e6Qrn9pW+L!w(zG_*v45hc{*e3(znKW_0Xl*8smPKjTRtGuW-mG! z&Oo}X+{mobg`u?*8>hGDrYK&&^3arCeBs zqu(r}JItwLQ3@1D;afsJcxWm`!juTzl!i~U8DUA-Oj_tb&PdZ){i~;w0g*)I%IQ5a zlF^pozbekI98d!w!|^jVo$A{a}r? znhr~kmr*izR~@Z7)qt|*J>_Zou(ezvyZ-7uK7r41S*>+hGt;q|gz^@aa?ATvRk%ZB zDEp(Q)?VmLLcGn4*yt_=(wbAp!f?9R4)3_HI#tISJdKB=?=P$~D{DSsbNC?Gs;XtB zM9HI@AG4Py6*kB|G+-f^Saoh$`5*w6`lyZvIPK(hFmE*T_f&zJjV&3Xp2!; zP-b$lZ=2RiXyf>=%Rin(>$7LZl?XiK=&}=8ZfR0R{~=zO87(6U21sF?jVQSuN<>z+ zG=j2Kgu5>B^}MQUv8>CiPOy@Lm-*oW{73XYTZj{7b~;E}fI!p{RE|V+G&Q%XmCk+i=Z}p; zt6rVg*l*WYeL#<$Rct(w*g^HLl}hbw{MdgPX?cahKIaONqAcY|So6kvM<=SU10&{Y zmn$EIehG{qm=db`Ey60<4fGm`b5!2Zs{~?SDX!C7O}IkjC>varn!)9mDT^cg$NaGD zZZHDnbmd-t2#}U?T;5h@u_mqO<*9o&=3xKu24tp{N=Avd!Voda|G;zj9Ysemgmo<< zdAE^*946DUt+>&9M%PWxf>nnj87tv&@;iw;Nh{0xe!OgGs;>;_4c~}lWi6Bt-N4oU zLt9QcM<(QaJbIhZf=tp!)>7h9Vi$1WKlaTO^-2KuwMIrN-09@98wA2(Cl`#S^5QZuM?vX2@Yc272t&wXqVOjl? z`!!>Z`rIM!maTt(Y|KnI#zzUpLF%|tLdt}6sLZXse_c2 zl^gR=GYSc7>5& z@Onkn9zD*LrFUJ2hQo8W=WW|kp}dBNM53}1z=;V0X<>Fw2c(WrILxAzc@lUf>_S1+ zVPm@jdnd^`YPF@5`l@o9zb_v*mT-bdQPvJhg10nu7E5kKiC{ZTCZRP!r3{oqpQUtx z|I_d6{xM%LnhIYW2aiSQ6}}J&%IXLz=}rcciGzzgx_#c`qP~Yaf^1bvkq$9K$-m|X z%-BecmVskYC9gR|wZhF9(qcqN*9K1{ixgjA$5rU#w|jva*N*bC${2Bopdir$I1O^1 zEy0~w$}qYxISVMZJU>w;d*(v`K7y|8Rk<_o)|~O1vLPiPOq8i{TZgtvXb_$fKxTxZ zMjZni4{!SAJ;-z&{72@mgjOG3#6P}k^Q7S|cx(WvMHB?W zOLtR?WM1GfyQ->u$W(TM3Q;j0EJ-COOqe=3@*KP)Y+FbO83rj**5yBd=)kVn*>lEr zcUIg{&gJZek{>HX&$6Tg9U3*%oe-@h;TAVhtri%&>3r_Mp?{mLce1AsQiX}6=e{4%j&K?3tEy9 zIb0FJQD6~JsUeD%<{BpC9s(_ukPi6?-+%LK)mvF^_k?rJiNZCFL~4~CZ599vAW_r& z!psCER1$!9vPTOjZ`=P^d+u=5;7*gYA4k1JX5sXzjDK0qD-3Gae7=ZG$I zkku1oIH!Lm=~QioCveJXv;`e<^K;YW?jQ;&ad_I*az0Vq|!bF~&koFS{!(Ij~7z!=LEiZrFgt?fk zKMP2Md2@ij{N+Lb!`J%ZYO_`!WNrACg#d@o;ludwK70=!!-xOj{1bR!^bZQUHs{CK8YVdy_EWs%5 ztE*4^6X=T*?_!3w>d!1taYE%y&;P9JVCH#qbUJFKs zy0&5PAObK3QQ~lIwT<2tzSOhL8QMR;NNGo}@<4F{6R+dV)jFP~1c{Q+s0{l|EbSs$ z!_3E-b}f=xu>-d^JxgR2-M731LHUGirLHDIH~$`Fe}u_v)=`}nFyuDh*?Dw!;jEZ4 zLxXyFum3epN*aC-P_eCkcUbRf9P5YdjC>X?tZst#N{vz(sFFmlA+iglAzNvmU+Hka zHqw!P<28zGSO#ifLB7K$342M8PIF@V;99pSuN4j;e0Z@}fa27RLjhgun( zrkX`4#T3>8;Zp;2`Nw@^{lQf}k+u-4%?875hQ_zb)OX^krlH7mno(~dEAfx@6&aFH z28eA0y;<=b96~jPfe>NPhAH#&`;1(IRb)}k=~9URplS06H)QHXo?bk)!*k!|^WvZN z6&Wgp=n9dQF^hogrmWUNr885CaREjM6aVPG#<8v14gwBf-DO(gK>$+C3XA+2n?qaI|iN;weJs zM;7bvp8oSQAwvw4qAa8>IVX`0k%TBQy)@Tby4d9T;HBPmOxU$bn}ZTd-Zo<1X1$D6 zO+_O1`FzR9FR~Q{GHqSmw6J0!r(?WaQ2V24uc8~Vj^{jsX~V+8VZ#YC5@$5w8ii`> z0u(!fNbK|Z1d?;5B1NGH3~3A&I)PJNrw|lG>pK6%Ux`{i(i?)Y2pNa0z4Uh~gNLeZ z3IVL`^rxnk&V0xIhfhI>P#r<{RFfL$36Xh*n5%@Ju`lO4eV;D{yEM$%=R%4t4z>^sxkXGg~vO3xZvKb-+;2_1snuhF~>@o6H5>ZG%O%@tc045(9 zLOiW NgDd@cTMd?C~EK{Zj(${-daLpEsVY8yx^x{{e`vk#A*(O^l-s0a*Eo;raV zz-EkFH_Jf?2#y|AD6)NH$>+dJWcC0lpdt|K5Qip=0=$L1agbyr#J--7UP>whrACSz zO&cB%xJC#m3t8dWy62K_-&fks5>3mKAH@zJJY0we6~nr&mkH(8=Bi>}&u1Vdh$*~r zml-7?ctpd7E6A3kC$i>BeLsj-Pj*T^KtQG#Nylx7P-1F19VUa=Xf)7iAjBm95Au{8 zo`3;S6Of%4V+8zo5CBY)Oc4N3_JQ_|t1;^VDWVC6RJtv5#+rcWr*qe(w8Tg%Ls(&7 zYK~^Un$eJHE+#e5ms;BoyzUtQX)VGpc;Uppo-bZXP6X~lmX5{p6m1yKrSLnzJ8MR> z0`(XBJYT$&vpH(81ULorEDv;3a}#o4ra%m^;@{6FFC|O`u(YdgARsp%GT-*~B`P5$ zMjBrhH)5U70Jh^Pub5gYgmoEMhVpES(QwP1S2>y3$@5J_GiJB~Gfl}`!MIIuuty#S*BiBsbc-1XEob(_k$eT31!-E1P%p=S{G)1+c1!SC# zS!9q|*^Nb%vIpachn#%y^xAf^e_Ngj#B8Jk5HSeV#3Ccia|*lw!(4g35GYd=HZ2i= z1B=^Gx-yz~TS3CMwIN|&o$G_zE)`hbd%(n5Eny*|5t&P^r<&v2;e_l9`9!7Skl_jG zcxp~0xFt}4fiziBQ7>jRuwOg>d+^EH0D=$@0{{g8GD8G5003WQKGl7J(#YGyNly9h z@w!Ox2rUE&P!7qEA^5s`Wfc`IlCnGnC+MHSzC+_ z$HhEubX=drtQ|UKiZwKy7ETag0gbS~0Du$#&5QsX!x^`By{u<FEa@)n2p!^9-7YEwJwR~kru=1v`U&jY#1rwOhVPQt`fgmn?^A*Ay6G!64cTE-FDor6y zVv%xM-uX)20t(9s+Ib%#*EI)%@yoQ;YyIK%zj6FufcD{eTqbVA($nqWCqDVaw}0O# zbNM=p)(s~g%Xc>S{5v}jTm>I#oMwR@o%kEC;uA8rZ}0rw_8ko~P}jieSy51qIK|-J z3T7tU2!V@uIW9Juz|R3NY+$ZU3p&(b=J+Tmw-l2;FOpJHikbWr00jmb(Xleuvm@>l zS4KNW!@$b{l`0dN^bKO85GalwfGHL!c622G zksNk7GM60}t0a_{8B3uaEVF((q$9@}sDYj3SWA)Io+1fF1do7Jan3N3<;Up58sL5* zVu9x-sJ1fgQ8~F_n_rc7rTu8@~{OWk5XBbpt^O);*}_v7J;mmds~>A%dVf{dwss|2HumUM>8vfU#+u? zq@Hzx=xA6h(9<~nVe~41zK~;mJdI(#JDP1^T|uRt01>$d5S~BJK>>jfNCTy`OVJvi z93Odlt#D9thzzjt*IM}L01gcdvq`Aoshkt3+RsE(mZYGXD|yj00zU#kpn*yg-ug6= z7HLwR04*It8%io_dwJdOfEr|B>Xe}33@IaW$G!vB_L^#;>IlpX#G6l)@W65fPxLZk zqBt9|heoAG2$RRL5L(R)-y#Y>mO&*7$#pztv{^P<27ppU)=EB-a0*#PWE8>sE<`8S zkI0TtCR6q~HU^|*H0Q-zJ)J)jPs;#D6~OYz<7yX(Im$12O#u2?HIG_glv~78_^AL6 zNUpRX5pGVWRh?jttem)7){CA#B5TuKSiHFc!2*>&gVmNT0jm7AtPiT7jqqB^W`2l8k)DS97|b z1FQC#Vy5^>00v&J3UK6jdRjW6n-WeHv!E#C7Q6VdIEFD7gd*r zT*tLGv}vz&U$@{V130cw*n)-YnsNwOIwmaLPa^CUj%MX0_}KswE7xO(s3X#J15R5U za|$9eVa&SIv!-7x@ALo`CD-WezU0i*0jzNygJ|{?Av$_38b*qq^E))~Tmf@|ijktQ z9EDggXf{~MnTXPwuh|1zRM-F>K(61qfE?}C6T?-j?PrgsR$?Bo?PFI1FvacELI|}yTN`O8TCF(px ze!74H|21Spjt`|>TEEHSho^U~+-cLJT|NAvx7yv*BXS!vmRc4%=?t$lrO|Dpt%fst zM6ehM_-P0dT#4koZOw75A%OB2TKp)hKVM%Zy!p4BH&)K1@#Mgg+{GbBv#Tmcxa8K(ET zS2@_KN4ug%q`d7*NsMO{KNmp?3YPigQB+l|js(k~Ls7|GL#*6NzC>?YQ|tu?yAZNe zWRl6-uRtM7eMeYtN9PoAl@Y+E&i-(E4AA~48gI)cVQ+6&^JGs1hjL>Vaz_;Li}Q1@ zk}S&=d(Px3bJ*Z9aYGssINl3t0;_D7L1Y0x6~NBRmjT5Upo&mb8SK9FZ7-rd$4%vm zGTiw201_$Kw9_6#ORKMiaJAsQDT3!|+KZLTMIU||fCZ2&u)Hm3rKo9+QcWa7IU1@h zC+77})m)Px$mLdVt$G`YKbCxMmPnGPQKt^j^seVvv}mfAH~ULzGC zd}&q%$?gIguV!?=0*HCJt>uqorqYeqpSWG`A+~dHkMAl1?hb;V3Sj5u2Bf1S6{+rR zg(*=r9UA~mlX>zUCLnJ2LR35GaV6D_I85&7lnYu{GJV$6EA!4>%|W%L`aT_@$(FYm% zEd$?(N$L~5Jn*UkcS^w`Gm>%I*yzeU-90JA28&ixM+fbfu(`NJC_n<1Cfil~b zVqwKVLwS^mTCrSf`(EFu>ceSu1ts^)4g z^a~L8OarR5qGlF+|72bWjZLRE(wGst8xIE z_zsk$Q^qPR9%d2VwMrDFEnlX)qT5JgGts5<<{X_c$cW6L?3?uA(9ZHulU!?5I$Xlp z8sbCYPZKx&+8jRBqzwI7vD1AM71DKuet}#5hy1+682pw?YVsGzZE4xi`#2*_9Y;|fF)roGf{cdIyiZ*A8tSP`f?b(H@l zJ43~fn!lL-W9@qdM|*E9MzXGq^Ie(?sdDhrA7>10G;n!v3)=Ybznq^4aXj@M<6rIc zYWpP%1CLO**v)=OBtp+A)$%)ZlN6de3kViv@seiFQl@uGMsf?(tDGCPlnm7UO zIT+b0yWaoy?=EMcYX_H*neQ-Kw+8xkMj{|!@>soA^&HT z&7Gwm`Y)XpUpV!7Q3b^%y;ZrrCmzTy7|aG&?@@g5Hv$GUMjd`&(J-((l>cI=+*{J)4mKdAmfL>CI@yYvT75KKysn z@c!-4uQ&nf=crwg;_a}4=#sa9B08oWZ~?y-A42Z;ZuhA@g_dopdG9-c^*v(jk~##x z@_Vnu>X9=`p2Vlkc5d4lGGf^{_cvU!+C(>5brabooRs_4R!=A` zWto}gr*?+}zWVy-pON^eeemMsd5(PjUv>ZR)&#MF>yi|4F{E}0CCpm@i*0dZZQI4s zDR(lM7R^yii!%Y8++>qOmWnMPe1wnRoOEZS#(FY}^a)2z4dHL?u;Iy*%j z%e=aC^}jf$MV%BBmz45OHQu;4sh`VzWJ6ttHl<{tNa;K+Y#Mf8yk zSVvM(L#~8x4uY@z@$>f}#Fq1in!k}b2Y<9bzH9cpG7J=SmptMYIwTy>sql{w?3pdh z*(ZQb|NEvU{ut!c1O0gw-nCq8yJsWM!fnvHW`VtMSIqZZ`2(`quQNTC@9apJHk?;PDz7X2IuEHY60`pR(a8<;vMnC(TaTQmgtCJ-ss*kJwo5Q*ce6e*M$@ffn;L^uGCuu( zyNRbQc%rL23;lgQi=X?%@2iY?YtdNup7cXe3OY-68=ePisS)Gt7WWR{Yz+ZQdf)S7 z&+UI>-oQq8ZS(2EjU9!i&K|#Io3ZiSY5&gE^o{ft-dqpUF~V}|BSX9zNkC`ocJXU>g?(t%x~3IvuO=LREhd41ov{Otsu#*Opp!FkNuaO698t*ed9 z{?^`XNZvg1)vedwy2%^MC*S6G21R-6mA`u6z3uYy>(=tgT_jt12L5^Xyth2d)*U8n z@0}&8em!R1>s}I-X)|Wz)#K0^o8|TDmEXX1`rjkZ?-IO~eZ{;T-j(0TeC=DlRk=@_ zmK)}*VEz1W->u{W)5x3vE64jbzrAHEOum21?l!x2+`T7{IeWJqcPVo@{zG-|+qEBN zDYH)7@4^q4K3sB6c>j;iKQ=5T!9Qo^ndh(E*@^kne;fPb-I%TS(j1UIZe8B~nin|( z?%#MhbKdr-zzK}|ySEdG_MQKguOseVfBa;&0*#sSyS&?#o_Uhl>3V(2XfYp?x`E9?;YD^)=vj!&>MgKH(q4kJnhVly6C=Jo8w^C zcHr_Y`P;>n|9Jm#KPtvoy6>0FYrS__s`9*dd(7eDabR=s*kAYqO`A(gZxaJwmB!8I zFYwpTxjn!IA7{;rS`EsE2K%pa*V+7T;{e+tKB1@4EZKz@b5vA84G;P3H)b1rmtCK; z@!nZ{zzzNL+6?~iic}?e_r$x}A??2M+E&o|&5wEB@K8c(c(Bxb*(K||-nP2={I7j4 z6CZc|U`OiF9~t@NhJDoMXMFyp`oM!_-}_7Yrhb0s_?OR-yz5t)9RDvSwPHT;@Ru;DOCPJ+vd$6nrP*e`ZLoxX z_bFHxn<;cw9yd1l?*_hqSoiB*LCOmNduNK-hF2=DhwGaJI z|2mzSEw#bk76HC4G0b!`_=7lgez)bTy`6p9)0$37JI>v@$8b8CbLSpP?x0~HOL2+@ zP*^zaN=L&B>ttwupINMi@JGh)vs+cDg6xzAV#p%v9z*=3z_xYg!tgpuQCo^lJ7~6$ zp(RjyAE#vZ;tAS1osdzE*z!1X{J)&bCNF>MOV$GW$_~`-0v{BT2Y&ihDnP1L_>h*K zj!t0647)e!uR{2dH_8@d%aaF3z z%^4<=D5o)EGK6N<0vS{Z1@qPK?Bs9ozA<3L^pgpQp*yQCNjpr%0~?8#fZ!Sl^1i3u z9xFhsOzn$o&>QG{hA2p5gun`9;FgQHS^`No@BXDfggPgGdFOdoJUK=TH7blhYcP~R z8HG9tQV>Cf99eitR-DSUgP0d1o1wn%47ZYbZ@%C)&$isS&Olw&a_K=dM1@!qpVSE? zga{cEaAx(wY6jZ?i;$V4 zyi#jxKord_S_YTM>(D|X>^Z-$=gybF9{z9dh)Km{9VAl1WKaUF=1C$7)xczs-Thbt zeN;$X&TVgQYk%I~-FKK|`bR~p4fH7e^%E`j^;1t%6$N5?C<_%>9C{zX-Ya`P##Jff zbEyfAL}{!?0K(A5VE)yn?VdzTY{p&t%yjOhpB5-rI zv2-!bc`u_%g&cr5JeFE#GDb&)h66!HaWIdeYElRcDG_Wkx|q1VKiLcyNegnGfW1WR zaR-D@&bZeSGAK-BXe`uYg_;Ng7>He5AdPh*29y?Rg*5kK2@#rWq&InIkf!l!hqeff zPwL=!^+9ggZj8o84Uh;+74jMcmkbLm03m^S(L{uMA|c3>#w0z4s7WD^fhNU=qu0s& zAmOYYAVoVwEcu2R4MZ}nk7^AqZU+lAm=kAn34E0HG~8j(IFV z7tIq(Wf0;#m^zGi6L}gN^%$cjctLiRDRZ;M*rsd&94I{!_ori+DFl^5B{UmHejFRm z_yms7_oM`#)dZkf7#zBiQTkY$h^0}WVBRkcL{Qp3L|zi2zMNBPBOw?XCiOw2HF=;} zJet((0)bWyM`$M&lcmn0vXm*10Vfna zC=ZbWQ7yx!S(=NIB{Jrn?q5%?dRiPDt+V|tKA&G0-bDr4#i*yN7^A)vAeLnijyNE; z90)+xhM3R_j{LwYk#!p(F6?KMu5WcNYsiueW;_p4GM2iMqnM4u-U#Zd+u0`SFg(66 zy^f*)R!^;`rvQQ!{bB=`OVYw4YB&p)h z!jrN%3%ZUwGR&-vlNBV%^#+7`Xdy}I6hcB{*Es=LbLXG1vh;$& zP#oLAvP`L2qE@c+VL4?dx ztPud^5)S#0O;9jw^b+xEf6p5^e}}y~i6tSyRTy}$w_FcMlat2KZqNaSNM zIYKS(xsU4Hd#1p!36>Rko@|H44j4=WOLE2@j|G}r_b_w|SxY4HaTpw-Y4!~6Brq^= zy4X;*5+3Um+5($l6e_8t0$GVsI%=dQN@Qp(J4b;FcTSTuutQYJ`3V#oq;^T2+G@RG zXyTUuWGw=DnNubi25HG?0=>*AloxT~6bekNm~w?75<&zG#-?+GO0kU63E433 zGNjG_v)3888O~M;s}0CAhoo}cfIWpNWGb20J{==8HJJn+lcq%|uZwVJN)i-TU}-hP zYy}<=jj=<=)7oermB`RIb&i_pOoQ7AWm547*kqU?Zm$87libFoMtCgsK;^oB0E?5z zBl3=rQz>0R*eDe|V8A{E!zT&%FAeZaLr7pX9CDN<;xafLQstFEYt7_(4$AzrnUZ~-eUkaDh0Oy?TJ7^xXC)F$mHWdIYLsUUfLC8Ym>^XhaLLSU}VXOsmj0F@3vE*O`Trz_R z28K$#K{~27$IOJ_hsI=*TQXVvNE{;ye`!3dtA3`Ausle8dTfuKx&aV&_qmLM9u0 z2oLfk>@|yyN?N3(TV?=4t{|Q{0U;Y><=W60Pe?=YpTGG6$)gD*!%n~(#9-HzIZ%mr4wWlF(SdCdY!3`1QFN?cw;>b9ak#E2=r;?L`145HM8L?)EE?S$RYBR zkR!+7ufu#`>6*btv}OXb&wTBN+8a|{IL-l128e0NFa#?l#%jE^SS(Xql*wrwNBHSA zibfI$0ALUh0{{j9GcyD=002*9e9!~5Fv|D{tfJ1HR%Qi^Be?-ea3TshBxPezlDX~B zroM9DK|=4};Pe21j0{-O0009R-rf6TMZ8#k?jer+iJuVYFb{eXuL2{RR(G3Ca007a*SQS_ScQ{o?(OBR$y<=RQmH5|rp|CR*M*51*A~>9UZT0mf*AJ&)mj;r9x2mnvV+aG1A|IBZb#O_EBoq;#zE_AYXsbST98GY zE==;-iAja+0AnDY-{}n!VpDU&^JPyRXo&9_s?jh|#B-&2o;#7LFdE<)*ytB~gLh7~ z1+fAJ2nZvEP+bIOCc*?2Q_VO*k+BmR3THc7@&1Ps0eUXXKXCBgeNM`o;(L3yuj@g2 z@oc)OUXMpWahJgX2lKcgt~J)JvU5rll5dpb1jsxUi^|sEJRlfpUg?=Z**U3G%1=4L zyjh+k7_E?oGhi}in6bw98Dt{FPKYR=0N-E?2GpQt`OpdF{36DxoCbU55#?i&af5pE zt}_`dBB??SfbKT%70RGS4Rs#E%0Xjf#ZwPV@S!9f<$xA8tl!A#-RR<&oxa!BaEDib zY5JS(@x@^v4L%c`k$7!)%A{0u^Mx3c93h?P8!|^`Cma>L0&q6^lD>YT-IPA46D&mn z^5#{eON^~34`)~eX8^6#E`mqn4@7Un>y+Vu8`ZApw>Aw1I5dSgsYQlqiPNaGy%a>|MnsdgP!Lbtt z3RnP_7-rVUTSd-WOJX`iTcDr;UjxvpQ;b4|=OQwc#mZMkyp1Oo1I+qv%SN0b z^Ju_~oJ%g?wSZxj<*7<3YJB7A_i+Mx7S}6)6*UF$*?~uWAip9sK0KyOXpjLjN%01_ zETl#ahU_3(UzA4-2%&GtOqiW8Rg4aJJHG;q&xhQI7EuCF$fX@^skj%bN<}z<0oiCB zhR_*j`XaKF#hO=iymCi1%m7!}*X*-d5j7@_^%BW?Iue?P)EGKIJ80fw9KL6ZM86R$ zstWUY@!f`Sbikng9S(1}&5GujDGjv!~L{thJI%S2cenT7cAYSIWufu{glmen!a8D+8#?O}u{IWrl} zA&k&3TDO7=5o2oNK8ehQ*Z>QG(%^3TBKFLldTBH=3w~;@gqjHuqnUK!lD+|sa@K21 zL$QdO3Z((s0!_e~^2jNl;+V`L{Ek70D)jEfp*qJ zkIFuR`zK(eFTJEIqqg1*Q7z;$QQi7Xb6~gbv;IZ#@UT*}~^T!NpfHLc-M|}Kj61{kE2&ASzPm1VL z0@F)}sI!6*Qh}dDCeK*?NQhU4$2gOZu{JlxH^LnL`}5{Yo9(zgHp|wo5_s$E%|NP^ zCO!H>>igqBqa5QQ1fkSIx8W}D>P_z=GAhKyXp>F}IX01c#C=Wb-Brtlq#|bhn8Fm= zYp8|LBTP8#U(5;IXOVd{7AlkRD()B$v%r}3P5FuSllgEG#rq4gF0#_ph+0dN5hC;% z-+Ir4A$Uc0(hInh!GYLm-;?l?TfD+(f?KlY^j*wW5`nawN*WQ!hI7u@(-v{iG(^`0 zoT+6RUjeqn?Bk4h#!!z_j%X#1>Pr>pq>47TdpUWaMn9qAZr&v<{VrH-PQ%&OP##)fyr@!g~9UkigogTxb zsbq{DkeLJ7^hJ!ij<^bdvo-8F`q`#*kg-D`no(In&+q_hD0-@_-V+_iG)+ah7C)M* zwiXd3Cm~*277pXEc^t+8eL8Xq(nIx>czyLiyY&X-gc3?(DP`uIk*4riL`u@@npEA` z!3t*MdojU!EqEmreQILMx}l80tY_=lu=Osl{*0H0=O;20Vgej(yG-X}*dY$kY0nki zWlRvd1y6uXR%2sbGSds2d~N^YQ5wG{YrXD9)t?^qu7FxdEBTaW(O5)Fh0_4@gMffV%i4(88N;|-c{KA|hl7CN6i5&?(Ljc@jAlR) znaX0JD>zMJSwPv?rcs?3IR&FB;*>xbxe+Tz6I)unNzK6=dXae{7Qj=nETC-Ba&2Rs zQCs)G+DVw)3lOzwP2`GTtrR^1rFx-6W`$S)Q^m4^5=Befh=mZbA$wr#dxCZudsW8q zpOkSukud2*-ok{0Q)f!{v4vxIed6dNh zqOp*5^JcvOV{o`KFk%mOEGQ6I^DMcu=}jnd|Z~)LvgE_HL>ZKnTCm`d+gffAO=b|1_H9`;Pj53AABCK>MOq&KJ zCYuLyVl36n1D!b2QE*_2Olka8 zD-fJZS|uzjiP*I8mdNOW{gBiNE29?4yv}h;hx=^dT9XwyjPV|gUnn)hS#&r6Sxu8J zu?&-DM5UlsYM3MwVxioFgw{eMIv~zs!V#G@V}k|(ioRthC1RV3UcDG9fpb{kF+f9w zbSN#RMhdLbT0oGru_l7&I4uiF{M$tT62x#@238IZfP_IM)?(=*p2t#FTB1cH z6R*gU#+j=)$=h}5qD;Pq5(_S*9*vAvdJ%(JXCNu6lu@6f%rZ`h%w@5(0$uO}r3f(3 zCYaedR}g9x&LpS|Ss;)o!spEEp;>9FoA+~HQQwJfh=9@rD`ykq^P@a{#z+eSm@kb= z0|;UoL@7s>M!XjT8U`BU>tj z#*&KpuHU;@#IvsJbi=Fy{<8@s_Hyetw!sR15GMJ=F}>nAZyH>{08+$s<34ARnK~BN z=%!P%aQP1r&xvxnz%)>TfcWbCmSpMeU@ohzPj zmdT7%8-P;p4KAh5i1U0bB0*S_${EfLtrvu|!56^c5YG_CY0ol_(SW5WfwmY>P$Rv^ zvSc=hMa@y(f&kfR%1`mweWLH>I-pp|$0IOXk{W&W`(CA6#rjx^=d`gRL39SDIRFBL zLc$CWDAHQP1=^?hQW)Nht2m18uT7ebM{(_CKNK~-<@f7`&)PrNn}WqTLi~E)IM&K; zE6L}%yzSCpeghwC-4$=exRQx(v-o~=?w5w`+i|7d_;u(B#!x7B*5VzAa;hWIig^a4 zi2@$r=es;^n-_YC-?fO~pFBOfo>?GagNe9(Mcw}d=RI^E@$vF@Yb|@OYwKj1@jvuW zlb^x%(2bd4EKm%2q7u%Km@7-;0!=i_LZ1#-YmD0;WYT=zB8QiKQuOvfp4m zc5Tz0$KxMczwND?^=nVpvi3+#Tf&aZMtk^%U0J_TBdTe4)z%~HPnvd;->J#`%P(zp z73O=ZTs@5Tu5ErEo^GVDXRh6>-c1#?x@Entv+-(MX)EKaXXOJjTFy=4AHKsdsg!=w zC-_bYFAz6+J8#nA8z2^9Rozuttr&NmI`zPFII3%R+O8U1ZO%!5~*{cJ7Et4r7H*L(XQM6{{%tY5~8 z;8odce{Zi>hdlRnSJ^t-Ec{%+WB=kxWAVDTQsJpv)U6+n6eI_U2R_E~-fQ1=Z+O8T z3%g6>=Sy6%?d{YSHnR8Pz9(HyCf+4pcU^OwY!Wv;e1c(=}r;Rv=e zH*z+$r6yiZ_64f6-LCp%FOpMN=&PF_S^P=Q^E?JG^S8H+d@Zf!BC@LP6JIHO6F>GQ zVeHrC3cDyw=|J_D?|sPHrb||bKS5qml_JbHsB2Vt+JE_*eN_d+vkm6(`KOa@6kzeX z$9Mg^AMd1TgZx)ERP~nsSeM#$H}NemYpfhwQxL0L0R?~~Xaen0i`J(0k`mmP->4pH zHqP64px{ti9;ZK?e%T~zD-p`~MD@>o@vv{L8slWFdygh|;G5zax2q`Au zk$Z=ycSlGbamO0RLiSqq{_nOK_n2nP{Eyqiu206D#`fiL()4%#%D%#?QLgLcIb8c0 zez;XG>#H2{B7F)a^3umpQ>>O-|w6MUfAL_ z>|6Jnf46$m^GzMiyTTKGJ?qvN6_r+Vx!HfhKj2+K-%I<#jaRojyE|!>jhMm}>RrRM zd1J}D@a2IRU#-0y=B2$3HpZ%)H4Lg=71-uMHk^Bzt7=}Ak{?adIlco z$j{TCsj6Lf!<~9pjh=lbV~?wsU)|mr<_&Zw-GA2k`?0(}t?iA~F5`LE`lwOx>^RO& z=G3y^6OI;g(Mn}p%R%ZJf?c`b@&)hy{Ew&i&)a1;=t|qWdRMj&gWBfHr{#aBb%;fW z*w^0Xs^i{Kb%{S$Rb~&w?c$Cxo>3F#^eO%(85f$H1E0TJ*2)LU|Eq#_x2c$d;4O^^uhb8yQh@8hNN<`*5a zI!;&N+_c|<%bHyKak&;>HVw}6hm&v7`$yk5Q=c@9cl^05^c!Y7dFIq20I-5@!B%Ne zJT`gp>m%44c2k6n$l)Gp8DA^6 zk>%RCt!S|hob!ozx~>j@g_}86Z6N=`YXOP-kv`Houp=*B!+QN1sjk>y2TX8+oekrzPze_t(Mlsrzx=XhG;L5-J9C*B8B2O#;& zFV@w?T_Q)1NBl|fSLZz+%u>u;HFJR}bO*ujxXHi#)#t?+=IOQeDB+!xkH3dLQh#$P zsyJUA^Ym3;-v+kj4Vs%9f<8LMK?r@=zuzh^yr+@K%Sm17IS~KS=)5dvIqeC>|NeF@|HK)O4K=_T|IM2NzXV2Tx1OEq6 zAO6Asg~4>6<*Rq#^pSTO#XW%=^(?)*POSH&ckpkY*OO#e=%|woU62p zDaH4lEFWP~iU0rt07fHl0Dn-v|9AVlecNhxTg7&BIvulfCg3V-ru0(cjmQw<4Kfr0 zggOw|oBkmntRM&)2w?z^@O-T3V`v|`pQ6d9rou5fc4$XRH*v1y@=izE>IDK%)JyT8 zOO&(afRJ{7FtpnRQr&DmuqMy2CV)|SpOyL~8>QYV*od90xxs$Nb6r3lqiGT7s3eF< zEk)hVXj&^Jfi)hO?%*b$^r>xO;16H2#x;(mc^Cbl=2Hqg)Qf&~g*jOWuih2tw?W9Y z+X!4ki#pxtfsdmWM^DW)X_~ti*&FIG8{mTcY>2sxon@@ zt5#`soYcsLR+($m?hkKX|DDML5hvs+Y0p_9{oE^$h~&a|6rx&SE}8WW-(w*{mlQ)z zCNSaUnL!<&Ei$9|UxVa;N4nh1dVyR8IBsSz+?$)wse>==Ynw~8e07SdpqevPb##J~ z(DNC#wMz=tWq%G!m|6W+U2ILG$j)WB+qZvZ?HMMYQhN)A-!?ZY?Z5lhT&H(GrpMeC zp?AOBxU>hu@PF(I8Y}N`k*~uDA*754cX`HQyEpCRE6Go!jnNO3M0d}lHF@o`7JH4Q ze?8;PWo%mXKdC2KC%N_UnHMvtJj4i2h>=|3I!){$@e;{l{>SjZ}=@%XB*(Z@^Emii^+meqnCS7SEl(3 zbl8pD#oJJK%RmK+vH-2jlOnTGG%yFOZq!6IkL*iz0<}k1^cg5m)2so+dDXj0rprDc z>KX+)K_*Z%2oTw?M_pxMhqj&6ceobmmMeNyJLP9*r5gd`s9h?>=)SF*RI;-qZK~d$ zt}Gtxt@z&a*7K(2YGuNJ;mr}rf`tfoTyb_~5HICOG^!!`Z9ae5vdZ(|Q=tk(^{bzp z)Ex?2f?jm#lg7)co~{kjvcUqX0Q;gGjwv}K%I@DD5%pyBK|LP`KN43sBU9C^r;nxN z{uz(4I%$bkO|2Fj5iQDz9vGt8B)z+0u5&QI$8y^{nelRO7sMP7t>QIhFx1VpeA7xb zQfLQ_6y2mp$xSxp$t56eOi1O$lzv_w7Q~dRsinqiccVgKr%tuH(XVqZC0ofSAdTaSXlAgK-$-b|>GO^6K}7nwzpZHgxf^ zEvUPvdi5t8@3r@HrbNgP;Kq=I4x&~^0T!-DnsFMKBux8!^3azH@p3*(YcZf>BLkd( zqa-qdromN?Qo`wDo+|bx1B^s%CP(B2sVn zNva7&d^WuGXErSwKA@e^^KvR z2OdtXo~lCHgqv4*U)G(uT(NmHge34!6iWfdz|UyQ<2fc z7#|KwykY@)!W=0V|N19oG!%s+LgA|{CRYr=+9*#I{Dx*o0y$YyQoA%*&(pqkQd5X- zxyA7nXP+x|WiIT;_tI(6BrQ;p6FM=5!k{#05EtOUL?UW%jd^+ly^Y2!Gq-2t#l|C~ zEl;Fyuqe}Wb>FSzq#5WANZyg0m-~>Xb*{S4aznzC=t;5VKD*Dny>8)>QZ5$yZCzDH^N!TYeShy7#HP z$3hl(WN<**>B~`b+}%leX`mo>7KC|fmT=H`V6iV4Pt#+GSx1W`eK2VIwn&KADSo_L zJBH?C@BVjJ_C(u_#iTD_Gi{=MS53BmI{hZH+Efl}ZoS5LO(#&e&Y=Xd_(UPqs5 z{;*q!z(|Oro4#;=@Q2$Sr|#u`B4m@&eck|#sa4qF_7|6Jc;_W8hrtrmzrwLGv}5orBn7n0EU=NY=`WIN z12w*w#rjz`XHZeewmr5bul}k=i7)M}^GCXsb$UIp5FEE396-#u_rHpgI zVWjy5@W*nXs2JNMP-Qyf;TFJ(a1U>@r>s+I*-D(~$+cUfR##C3X_aNg%_?+7hgI?2 zW*LB}X5?7{zN+Vl0V?sk6C8#2~<=#451PlGH90^*a?8>e^;T9H> zAz!mude+7`I)C_TUO3+iJ-Xxhto?c0zvfm^bw+9v$UC$ttQl7Rwl0FUC~VZvNXmGC zl3hhtxVq+fvAyYn(OxVMxz&@m+$CEqDN$3s*6l;w;_qdbW2)h^w-y*ZD_<>88wI3YYlExq8EHx^iI7*zP`L()l2ty<#pId=Jrb* zx|gFz8DX%8$mPBydo1lvkhWrLwWg8H=|N)c?ow3K{;4%!fH@($sSbDr+wcy8H_{s4 za}Iy70*L&OM{|uBpGE zFXkV~ael9B__`CxUj}uNc*m>1x8q92^^#WajlD&|vUP|D!in$T9jJR9Uj<{Lq|kdD zUxODAu$4TA-*b5*&JmH8LNnh-$Iv zo-*S0=#tj9i+aTOw63nMBfg9@G*yK5$8{FjcO!A`Upc6^dCY>Qi8(|weR`=Gwz_}5 z^cR#q686Tw-=*ROs$UY=_THLa(yv%`{D~}B2YfszHh&_4-YOxGs|*`s zQ{{LEv(!lPMJ}W8Yga$hO}^+@Lkl0)O>k3v*8L{B1L<`eQam+c;uhB#Go+sIg*+uX z+rC+UWwu?B#J*-EG-JnvOn#y!YCk=u?X^wQoRI6;?C?WSvcm4)jFVS7!7yfOcurns-c zg~m2Mf!z6Xg(LYJ_JhnEoE243+!L7=rm%Rk=f;27n;#gWs8eFXzg0C3QJ?FE-7Nau zh?UORH1pGen=k=a;iO3e4d#W-hESe!hN*ol0w9J6rZQRv&;+Uz~rG}}EYdeinY`M2o zmAwT1WOcoEH1fGBt*cMUbiJWvPRFIw_j69Ozhl4p>!QL7?Q@no0w{m0Kv@femLCId zhyfe@+=f$qmP~TkHh4EB5-c=GttV7X?$Tx9jKn!ZHF*v4*mG}_by!|Pb17K*qlxnv z1m>cEtHa}u9O@?L zMjtGAh~CSt>8TPm61muWUWDV4&Ijnx9}5VP$AI{n{7x+Yp18ku4Y|b?o%E%4c)rK;V3*7GtVy23FH*9&f6@zzSqi$ww`qeA|^V@i>YB2t~ z)))loPs9`O%IuF&ULl|MA`@XPWW3BlSV&e_{q@S1F|Zx`Vr3l*pz$%E5Ze+UyUBQ2N@do53Am_92NCM_2hY(g5~BS(E} z`=b2g@ZQh;Xl!t}OAV9M2jay1{{IE~mUZPksu&qR%KL234n9;v^0%9i7dZ8LVL$EL z`&5tjyx)K&9X%Vo84rJifSd3{&%*B~JPcLWHwJ{IE`Gb~fwFi#4*yU;_ngOiSIm1a zh4m)CKXEvtJi8#%ir_C_9J?_-lr&dUeDl0xmL|n7EcY9Ssr&FWHPt7Jl3nhAj?U z8+0=*{42gDzY*P>gnzX8=CfrZ<;=g>XZB|mBE`PGrgzV^zq1Y_=3f>ys#(iIyVP;t z;HKmGEOy2;GZl@tm@#W-Uz z+9K1@L$v|V4*kXt^L3RgvlFVBY7IAL7J?0NO)`H)31HnKYOnxRpQ_h*e}k5|b}0P#EM1k&#)21R@#55pt53{1Nl^2h{Jp z%)!zeN7fLCQSV-cB@xM-6r1NViXev?2aRW4?-EDO@6$l%%K1JkHS+5Sr z)u!pp|1|Dhj!tQ)scU_$cBd5Yd5%P+o!LxnXm&Exu7yl?qE%9bJF-h$nvJ5-l!%A6 zy+_r|NZz!kJ-@em=F!_g$~Tk2n@f+0W$>NJ;QnN0m_U|R(Vuz1A^nB2<3KCMnTZ^p zW1XIdk8J^W{Vt*>Hrgj)))xrWw_66u7phf zHeS2HWy{){jI{O%YGE*EEq$Ka6+TJ<+t5j&;#&_SevgIj(2mf~<;jJ#Ont91n=>&v z=dUiIqa(A7*FDoK-@Q-i)uB9Agg95&EImN@_CW8@oC1Le1RxNBKnDUiXa>T8a0dcF z00Mys1RxO4K!MQLe~P<-UO_qs0-=K}=>?HJ0K{a}Yd0AOe911RxOg zK#ap@AP@*}AP58?5P?7h0{9G+$u9Ldv6rS02opRr1bl}MU;~PHoG=PaB_csk$;YML`nKB%>50bRbdDv~egf zPWYDqgChOag&(ZtK@vS>$x~$P<33A+DU^V+<*qO=_a^8gnvn`5NaRZjvjZU@5gJg! zS)kWHKap}*$|~GmyG&mqt-ZpcPn~Hp#V7{MRG}o$haANZ(~&qF%iog{NGM8!m4gXK z^uo4f+gD`9XKeV~9!?1(T@MQub4Gel9smeA3kwuOOSC*24uL?N<_K!&=a}fo%Fd#k z(nfk75|Hx%DKQx}$`TazMS^k0qY>0mL@bIha)7@{Hg1+gRz^N$XDm4a+Z$H}}$$ogwe;*AKQ}Q30X`(1B)5*j#DHse6 z(qv3EMH&D}N_rd%WzJb12Q5hWo(DQd10YyDvmqDc#FInB3kU?U7?LB6_&>jm!U{8l zD-Z}kAOe911UL|k17{!*2yh?>1RxNBKm-Eu#^0?x@en{jAVdD`<(@xic}AW8_(;-N zj<2hyn?0kN1nvJHIOpddErs^+Cw2E2laNz{?;Z$&a0L6@4}Ks60T9d}Kjwki32Wo7 zpkOCsJD3M25X|>Q*wBkWAOe911RxNBK!@x=7!b}tAPC?f5dS~`#1Ie!00jUuHAN%< z0B>Zuk`**`h)A8V(|^y*%tspl5nYmyAW1-78))Zduh@H^7PaelcXtT4l>Pe{Jt+W@ znSi4M0OtdbV`=t#&&dlPreg|L_{ne6B~jkz%_sfH76lyOaBe8N)&Oqore0gH{kv0l z6k@x!TVDc#%Pg}lSBqUNCqY_lablS=+p@({WROQb7PdutF>cA0UXs_6_u^L|UH||y z0#-x>aQkq5@4ffmzV2@3X3J#UzT(D}t-z79WJrv5Q^}CZOu$(MHiAN02tdG(h=2ft zAUw{%L%AY1K>pR^VX+=R>{$^bnD*t!t(Z-@T$%kRinA@8?F%%5Il3HXtFbrq(3q}F zJsPUN&9!k{dW3e4RHd?**0%)q(({iMW;VZbVsf=D++laKQO8=oEc;M(@Vf(`wlZ2) zXU_IzxcdVoOEztD-fFQ7lsoOOS)T2K8|M7X!E0LSmVKnT293xO#747H@Hw?Mf!j@d zOX572NCl0YVJuYhtAM9ZkXGEW z*sC`V#vmW|m-#C+86&l@SZZ%)wT)diaA@aNC1`3_}!itNg&)6h$RXU$g3iYdf z`+N8RzsIe<;rzQ?c19Qr`f*qzb|;X zj6I|OzkgaiLEBBx(Vh|Qy+uNY9zr*G#)gSg6Ea$>=k)Xa(=y|bWK0oh;Rj;8#0?F*?fhxFmuGzi8b zZV>8aZo%UtXVNGL>ZP9{qa>R@oU*!Q6lxNfr|ihnLb;NroS&yi8RD69wdgd|{b z%32r8GxThq7D0%mEWLRPHAOCy^KWP`6NonkI%|z0G z|AI%5ooU)gQ`W-KqN2>T?g*!oU(QyUw^6i(&)@D!6~pP>v~fF{X-gj8#E8rC@he%B zQJpz5&Z&peyDW~A+n?-=CE;wQl~^HG9AqB)!o%zDszRH~$#kVN)R1i2Ss69&-q%BV zvW=P?t}hM^4M#bVoCPM52P6iDm?~0<9zE}{Oah|ciGmZ9e;@etRFpL$`bnm) z)Zh)+!w;=PbMLXcx3Cd4O2XsJO>_e6YZGT)xC zOV8IsS91U7_Og}a1k#*{{cYh1n@k9ru%9exN&Oa=-_-vhRu%owzcjDEy zuT@hlStM_B57&NDa)c7#mki>=$;LFzlw-JvIz}y&uoQi7{%4+LagQSZyQqHcYPP8; zTPl}gKCN0*Qi|p+Ik>40#hgXSAJEVkZLhk-Pz-C;996Yu?tuSs<((*f)pjT6e%diQ z$e!`yeppn1%+ zCjX0nI#QN?CP6(aebisc#-{I_x_^C?sP5#=ymi7KgIw$SR(gtweC^i4*`;68vu+I| zIR^aBAaT)^r0lKHR?+#2ce>G$^O$xln9VfPqpClR_9ii2P1K7e$wV7ARe*XriV8{$ zS~UHPVJK;Hv>CQM8X5EP>}d?mULghD85s-Q`Sbjs;n2_V_G2JRMW$bEvWW&`^3I<< zk)@%~rchBnQZ_nZFzEE+HD$d|)Qs&S2r~_Fuoka`u={TGr_?jM)FKytvhN126;;#$ z{j(G(x`9qe$H{t`dI@I{o6Mh-Xu$V*Cxo?-0O9ppenf@ z3%_rkckQ|Fki20DbUmIBPSj^7G88*E+wH5OEVqwLTY2udXrY_acSdwj&hai`YbQtt zcxC=?JnfLVr2bmX`Iim+!k`p8cw-wq=6Pr zgUbU87ceZJ0CRhkHeA`T&7*wSvNcUProYFJPi3FlogfrdN?0N>{*^Qfi$)*Ka$6 zIln;r*r80;3gub!4%O@jDJ0O!FB5T{yV_Yc1%fD<&P9_ryvGm4SShs3=;Qh44BaLr zfhaIw`Zpo+d+Kf5{n{cnluAJ+9gCd7)O}hXVztMzO=)`NTz;?pn}^4=Dqo|gFVSxX zkM0y%xri|hzfEHDs*TR?YC|dwwM_o+n)-nKZoPdqYTY~cyJ|J$9NFmGMutn=VI{#b?r@x zZG9U1E6$37%0Okjv<~@sa_pT&xxprB$Jn_vidV-iwy@7qEK>BIneJbrcFR$-eO%6M zO@{-D?X{f?6}lFcO)1WqfMqLB*aJLJW46`ynv_=iV*QEivfe1p1FExI4O*JjmTXkN z?wP#8%A~3GF=O3#kbE+0z_C zw`I@yG?}+1$k~af<6;du46p`c&L94{^M)Dh=7$>*pynn!CdWse*JQK4*KDaSJ#NCC zWE()%x-F}IvQt+uonBRq{DeU_9S^A)eFz6Cf$wY~&pa~{#%!tMGa{-Ek|ZF5$1OFG z$YE)pV}rj^F&7Vm{1~&!_8}l(cRfQgy~nd_CprFbws9u+r5ED{#T(SzK;Z^{zzi}X zO2w|O7^$6lRlWE5C59Pu+G)-Lq4lXHwg+}`5)*~yZG3COA5ZpeQqR0CD}SB?{SIolKQ;@P z6876dCh78`U0;32(cd?`GCFrUrnY6BHaj$1h~la5(Q_M zS%vI-e>NEaTXT1`v~!>mCNX#+96xw|EY|P8F%!3Acjx=l`;@p{KUz*Z^go#!9I*C; zu#Hl)JGY#SrxVPkn^htu7c&^yec&OxR#g2`{PFq3;_$F=1N>d6n8H2_mjLpwUV1Iv zx-=d(jBp>{{Os|hM`nFRu7bt_oKAlCw-cLrDgNn(*!odE&m8{rI(kP^-d!ik=~8ul z#jt#KGS>|&$jzJMh!4#J|118-8N1VL$e79h35`@@tR9IkMQrz|34~Vq3(eqsVp-sBbQ!I zAKPOlv%e`g;ms*D<^G8KBnoX}{_hZB(gDo8#0q6c#C-DO<8|%Oxt`=e#?MW@)m>rGP zc_~axq6;;xq6F^w$?XHL-1Eygo&Vi6Tw0{ywGfISxh`4R26Ty;hv{l(gZZN0^iD(^ zHRZg#`{zxg5yOvOuoq{`vor#HLhoUTG2*-r7i5ky4wPDJ8Q%Een;uf3PR+Y{*4~N1 ziWjZV3;fy8MhAV-<+9eC7x3o|hI|_irj6WmhtfMy)(EZQpCs_wR9awZyVvu0=ZLRi z#szY9yKL@HGE6+r#Wk9%YB9xOc)Es4G+bH8kC_5ZZHlv_84fqve(8 zq(a~^DNjgYVUf(;6ya{|v#b2y9^H*D<7^fl$O4x2w>mRhoDVw=U-yo}B``Wjw3Fy2r_NxyHU1nc|Lrf4TH zUTyEmwwLYFL!<{eI^kdDjrZC_?y8~|`5-}a=(8a?cyqt7TMB+4V(>t|`2fK#oFByUCnO)Y$prf-BclIM(x_@)iM3;~1GAB`w z-aW#j3v}7L4qv@!AcTff})P!qSj%iDWph>!CBiav2>1#|?Q=gSMaadV|}S)!XY{nYX~ z$}((FH@>FB^aLr*d4^hhIYHGAVLKeqYcgU#sh>0+-EFQ0h53q2+CIAA$Mq&{HIkzL z)OXUUj~MVOZUkxCa@}1OnHoz;o#YnF&1fUzmgU3sM|;Z3(WEr*00l>nadbQktRBKi zL085!itg<=>)=zf-4ZD?d*k?PJ93a{bz_>ot!j3;3nSVb8$H*U2oO!#vy1i7v)c2$ zS3%OwDgRvmAmX=^^@iQk6uN(}s%)PNcER1gXAxPMIY~9OU%AIqhSodbC>XY_Y z;v_r}b^pf>j9aUY&RteVDCj&Dgyff8B=yt4nu}bH-*~^%R>wJ>PPQ%9(0}G4h$vn6 zt;NZ=WXbjE;Fd0hZmah-82_5;KQwBZ-{foDx88ptG>9H%VU@2 zhh}5&_YHI9+X#P;=@`5oM$)b`gOBp*dsYXWp}`@`2Nw`_|e z(rJInTulC^ap_x}=$110uU)S(7WFR1wAstBtq8H>O?5wRc>nbtMU~r-q;2p4=%TvN zQ*qULRZ$Fy2G#8aomOYWd&1(+d-qUgJ!x&gF zj_rra{9LR4$<1}H5EklaoNvbMNXuUr6@ayv*AQ9&`%2K$F2#)zJv1Dewr`#9QF6oPczsE zw|@9p&>Qz>5&FRhtIw@}$!CY3yfWsP?<;PQ6-3pX7qU&NW-_&4yip%{ma|mkF~8@u zySrk&vC49W4(x}j>(IE~zAyVVRcYR=0Yjm0huoCfe-#QS8$#pL!yQNMl<`6HsCcgY zbU&9Rw&`4-G9gf*RHzjyg$kiUs8HuusaLL0Ld8&_BCk-PRHzjyg$kiUo?bz?eWh?6 zN`d$NgXjEVY<+rlH*f35qhl7ZALq3naE_i7CZKMlpr(KTDT{_gf)mLU;sGDJ#y*ag zcZR38prOBkw;A*m0T9Kc5odmXT1K1*MR|!LfhG$CDgjv*L?f2)yfLm!V?V{-)Wxm{ zIPV|@g@TAE01r~afMtf`%>tBs={0&VXK7J~+-feJMZ3`78BLf*AbK=0G0UPrB=y9L zmcS>XkrK?Gg~la{Oq6|Ga_jv44%BI+D1dL%j+`0j9wtS3Gom9xGzkyBlytun&ezAq zCE?%2iqIo5G!4|U13gd?0AV z5RF9+4nrXkVkk&3&HN)BY8w0na9#O(M2VsZ36cXADAdH%Wr~O(B$Av-YE{-r=x5^O z9OhkQl=q~0L5VL2iXsp>S&|sa_<=)Bj}kHIgVv(5zT&B5_9=7o(_u2n;op zIKB%njh{^z!cd^ZwB96%McFB@OQSnYp-sP~gs<-k1S!}< z5|R>$8fs~h&&X*eqC}5*XL0I{^iBSPD6d9QzaUR>WDD_HE|$IQB1RS33wDBf9tqP> z1xX|kp5p~33l)G0pL$ecF}^eGC{F(0)X-H~dy8zrw6D%Vu6^DNh&#f4ttnrvExYvm9 zK37}e65K^Yz>0P&s3#mQy*_etH?5RHj;`C{bS*rN7LX`n5)?T}(E{QuNkkcA8G(XN zgqNG@i=pl0E@gVAqoVQ<4I00zCwxc^rb~QMbpS|7FwLi0A{Izj43#laX{40JE%MGZ zY6+MW36fSvXcz=OcaO%zs_9Jo;<06np7NyJ*BTt@X12BgFY10Hgt-yVEryx_4_&my z?3zgmz)Fp2Mo3OPS3Z1L@QpdpY!ZeM+hZKtxli7f6LT*!&=#;Oi2>pa9mfKhxk;pq zERQ155m1>t)B)P~{IovAI*j{4iWs%JP43Pm?gn$+sSO5Pct2I@3$<9HpSFTsH9?dk zML07Y3H3b^DN&jWBuh!7pFm(;L;K;-ICH-PdxrMd+)Kh=yeF7luRGz|19UYGOX4si zzvUDNE(VI$kzq8BumOs|B1O`RYmyJJlC+r1FH~xRC8lcZ2kd0--xxc~u2CU^EXM;G zaiXAxz%r6}Ax)tmuYeRH5lsbx6kwvzJLCtg()g%dwl=ovrrs+W(q$d+k!I7LUb!um0Gp&kJ;A7J;kU zNU)+O3^5I~!#E1mNMQA&l`(RM2gNH21B;oNr1nhGmF~3Bu4gsc_If*`Ya2@@$J~Js zr2Z#K)Bdr5y6~MS_PIV_iWj>x${u3d!!Fzwuq!7SAPgf(DO5@XBPjuiYUcn#2X$ru zu`UXTJge|NdgZLg9^kfIn)h1gcK)nI{($xXLI&3 z+s#!uzny<{DMf4D_7AT~n|tqZbDLmT)r6+ri7iOi_0Yr{9!N@QnH~kBMR|b&m1jmH z2?L5hv)Th2aC@~7bNT4JCmE%Eg407nTrQ9q=-;p1Wgo1 zk9uj4duW6No+SrN!ZvF4vlg_}q5nD9x4K+X*ZX~%$ZE*FWKs-LGcylM{hDtre;w1q zNwnlZ8YLtt)|tT(+Wa@xzFDJ>8tExsCs?3kCnMja!&+mC zEH_`k{Lds;`#33+x6GP^fLO?(qN1WiXqh{Xf}kMv$dOtWPUPEpEr+bJGM}e;lN89u5CqBmJAMyPM z^T_=9bQuEDS(xlUtf+o+1FhC4Da`FxifqAba5zCC|S9nH_j!b1gtqh@q$lj3UEQg8}eFQ79;9gcR44 z-TcijJ4r1?G0B}t)6GWDjaM0eDdEJ=&eIzqu3KS(Ima<_%5oqA!%Pv7K!b_oGbQvy zf*O@#niJPhnrJwBZY~%XgF7C)!f0HyJ`L)E-B6DbRSmBCAo7kt7>OK>bej#MGSf7NDh7u)$DOi${2c23&izK_nT@(GR z%HWZ&3(B1Pmv5I|WAQf^A9D3inVM37AxA(2X;~y5$)lO10p$cEj3Z7zc;8q{t3Qgr z^va9EWf}j;SwtP_+SEj&XG#SGM1>fn!wdk>Lh|l{?so&I=_9|$6KUfulrOPm@-0Sk z#NzFWN2~3TNc%|`fk@sYqzOzDz&!Jq;4qS+oF;>EG}9~##hooL|00@r#i2=D>HrgwQ5xdouQ-leRWgnH~J~2o%04NZ^`UKqJhv;6WmpGfnc|EKi*$ z@dXWq#L8HVR4(4@G-c?PKWTdwEx8T(9rT`HawXXcrQHR&NVtjB+uO!LxEM6o6zP*@l!v~sD( zV-#Q0VG0XU``h#6c*N~R=ZWTIv?L&Jaj)ca`JS1?uaD16N~b?6`e8wEKc<( zlpNe<3t9~k%E*S2f_rF+{{ z(rVpX^w#d}yWMwtD{E^z>CKZZY=wkCG!p@k6$P>#O zBz*Bn%#R2n^9z2E@&gqJh%urG0cu4@?5T<&n$ShT5`9|F>L(<=MlD*HnwQc()dQDw zMa(;OEsR-DYStt-uX218a42s6*@{C_TvNnCzg+yX;Bemlyz9<1?ZL05mVmETykS=? zMCt*1%ljo?A5zzoPo5H)+G;`pb!(hXz7r6 zjD^m#`qN0va1aiIr68kzJIn1l$ctaEl*F9%l}z}|u=^8X1di23hi$w_BPti|BA67? zun6W?S+gHygIg+)Zm{&(s>2YTFr8*fLQH^~U`8q7%pqi83dHS(mm^$#*@;Zn0}WGy zyyo9B{8^JsZDzmj+8^38)0|PxKqGbuh!pdHNe^&IydP1~ikPEjJ%(XluB>C&`$-C$ zFZ*ZR3eT!%0FDxb5!gvYjjdctPJ^oKZiAOmhs&y8+3Q~vxV3<3>(5C-d@7Q(**uK1 zTQP#fg%nwKKC*I}`3h`w3wx_8eEh?Sw}A9);gf(?CI$?Fgeftb6BK-#Fe7(!&`sY( ztgf=H!P?&^?wY8C)is^TjDqUj%6=0emW_tUT7J0?DooXo+-9_!w)uynotFR0H+mTy zuk-);#tkffqzdQQY!bxtWpQHOng^zeXd*?38Wn>|fqCLBMo(qzpWoT;7wz-*l!J$ZyAC!7+-vYgAG_5i3V8ARa0&#`Hno>P|b!~o)RlBpZYS+N!_r9>0rsjo+hH31L zFuzsdY41ytZqHwIF;!#+m|5a``12U`c;6gk{8aD|7mEG#SK&{?V!rmfluQpUq%YY} z7@~d}7TBH#uL!>C{oo%qi8!qO+S0Z!57zkd9xH0|stGb=w%ETXzAZ~Y|__lCzC9ExJ) zeWjEelgsoLXrM?EE}+It!6TN zXP#nr@ltv%E9L;ji>x5_Y=)o4Lh#ZS?Xj8tgAF#vjJR|?4BO@%pWWBn+Q1A=s?B{ zITTdMC@M;DMMx1fE-Kr&cb-`)Er-N#7Npj_s(2n4lu zpOW(wTDdOskOCzZFsszqQ}EO!1{Qq%@CV*25veAKRnV}OEwE9@HeSr;f0Cj+VjEnzuBRNq` z7y&#_isM0wt4yW4kf47-l}D5gN{Eeijis`F@U)khlFy^q-eMVr{ciG39ot>Nbseyc zmV&UX@+`H$ft4X`s-h=FzW{A!k=LfFhBT;g2b5Fc_@;Hq zVH*%tK$N8hD;L5p{lDAl#tjxXGavhuGeEw!VRm(SFUd_wS z!&j2EBiOQWCm?Js(~55U8^MVir*J+FP^cVJ`8YwLp-4d!HOIMsI<`EkFV-;dqj@rHt& zabC^W&GFHxefOP=n()+DtWC2Y!r%KK(H=&5_Q~UKNHYn=XX=OhOL~sS8bU`(*MCMW zbpgI&SlTD}zNrDXYwA<+NhINs@aZ6<75VP(&+WvxA#ju{o1ZUl-UoK<)hW3N9SDQ0 zAA)DXGo2XTNV*maA2v}{4K2b>9aN%J>AgH9iWGSsl_<)5Smj)2Pw2$|NHNsUoo-5% ziN-YJYfpJVH2Au>OLu)Fbi{9ORLB2i;SjU8N2P_;td8EJQxw>re<-O{43Tn-kR-p9 z>67Ly93D5xLYW=qM9CcP{${l3f#Pjr4}JcVl)i+mbxKMWZ+7x)~CLy`nUX;3p2 zLJ$b`DU)Bq6%sK1Ij+Ai?db~n9F}pPaQ`Uz$;w}A_cNPTXTnt6Uhhqxm0Q0#l^s7r zdv*R?-5eoNY0dUG^!T_(J_ROa-b0SN^}bB>6((KoCF&We?;$TGDTU@0`j5;p9}8&J zXbVYtPjt%$+nM7aae=wG>e%OS!_@x~{q32-!m_s8se;kj)OnH2g2<>t zEfftK5v8E0%~eLg^O(cMke$PGfb`Su{Slrzn4?wNpxg8p%S=u7@gf??7W^Jufzy;j4$0v}c<)6mP{J{D3xi9csUbM!F?v zh4d%2&P(YVAVLu3w!Eel?q8%Ta^cKE7L4Zu?bqM#?=u{prgnY!hShtPrLQ&nPOQjZ zv}E6qt>SsJUgY@|S-;GVle9n0w%$0ueY}BSGoDuHL*8+IzCIoJOVE*JQ}EHA)Aum& z0XEqhC;hkQW85is{7~tnKZ!q$cOP4;B20@K8K%Qtfb{4cYObS8$PpB(f8fA$|8pL89a5sbPXQX)1yuw zeEHB}tgDv#M-!eg2pV?795V}WSMowFKxmKa<`i=mM2`B&ibHBZB=jRn4cAJhnRML8SxY|M;Iy+-V1-d}?HD2I^4 zEn7JvalX9G;L!%IeRj!nLT?6pKh~iFf$_DtM*7HrtF$3)LAL8|b#4A$UpAd)SuN5v zm(T1encPb$xtGKTLk>*U zrUsdbcE)6uR|B&@xBO(Y(k>ZSW7xBh*plTa`Jx#3s7(9RI*gSsk?eP$AHXCoAccv= z5$)vhCNkVse%6tmWcGj`nxB@nupz&L~-RBChRcGul`4!gL2MEUcEYRw4N^bX_3eC}Gf_^c7%z-!<0 z^b;0>FR+BHOzp?r>vqu(5{ed?9KF*Ykmx$yr4QFUiJp(uEvH(3(Ro(MOxF4oF2Asw zv8?lHpefJ~VewhX_e0TJSEvOa>COL=Sqwg9nyM-@zdh)}AC^9CT(bNrWd;S$%3tQ8 z)1+?nv@dBnoWSQ$9ITf{QXZ&@6eUj0eFd1BA^u3_Q6p)iu<}|tEx9s|W(mG(ySFih zDOtwOxD&O}Sz8giU9K`*DO{p7kG|P~Pr?f#c2uA{JL>qr*hUktSu=~#eo$A}Pv}GU z`Iz?Q99@1>3_YTydGcHsVe%nwFm0bBTh)L6RT-XCj6TQ$y34@US`SUI$qnVSl(j=V z?MUqq_MS9>dICE{UgFeHdmd)PjsRUup~~*KEKR!l^$&j+Pdpm=Eos~(a7>2EA3M=9 zAm{Mgb1}b}nMEL}h_1a4eg;SC*u06wh|uvh+F^F-ukWR82krP)c;&!nR`wD>GUh`mxTt<1Tbh$L4{20i_^ zpB7^j%5TXN9dTdBU0<`Y&h!?}&P%(u{T8KE9QK?~9F|s#^}o$t{=Knt<`WCKzjz`WG{-(x0ak(>WO@h?1GtDuc)X+10~GI6wlznMlKIh$hP87i%a z;TO^e`g4@Iun#sE2kPfW3^+0`*(1#Urp@9A_*87`eP$vU3P z;#m<&1fptP>fCaF$5gjh+-|0awqYNarc>GpKg@*D;Zs z;GJEv!~da^#`}t{xuYV7Eu1M)smx|{fT1t((Z;drW-S1rpnfN{i~dSiKX%-r^$;v& zrWCay#^2;i;yZ;5oF5~WIFj80kw0>^*7;@8 zixcM6&?c0GmQgd{0+rFdy47Y1kFi$*^%03B(-qDIa35FPbJ5*Yja7AJopmpk+U*-W z!cVVrXy@GP81e*x#%Mr!V3N=P)G)kIXe!XIfi&f9Vqf!8X_XQ!Estc%w_`1F&!c{E zJ4i%+=4)yaC2F(~U5oMj+=eBUl_{7%%YPoF)!&%T-8!?9)g9!gD;9{Bs9P;jJR8Fx zaf41_ivpJzGm53trDzFEBED)7rM$;eDS1T2nBecIA^GHn7Qt9cps1fcu$Rh`~heC%JIOX7?o zWuF8FNH-eNdJ;Z}DcI&Xx}BQ{O?`nRqOLH9-hSK0J@szO643bu8-bii?ep&tj? zy}@23sZQ{(A%UC1Ax~hNo4DP;)AH3HQmfiN-xgCb)% z;4tjAMB#?GB1@!huHDZ6(a1p_d#&>e6LJ)M91ZmtpRn83QR2L_=M;EPQsUKb)1a8< ze=Ky^ouYK2r`m%RykL7X#{G|~*|h^S5T&~3mHjE#~2oXEe; z*&n9;;rD%vFqQz{FHhvg+z9Tl3tYA6m(1~g*(V3y-z3TSdd`Qh#I*t4TQXSf>=wDL z8`1ia(-Pw>@z(3eHI;!dq@hI~;X<=@DL5jGT9uGVph@6i zobyTJ@o4{(SptRlzo`}i#YhNA-BzD~M+L4@Vu=3!S4vmsry9S)W#J3PT6huzTD|BUH&K=Vagh#04j(^=7$t; zArdG!JrZ3|{Z()<;__M2J`GM*3FH4y-ef93n18A3CWE^cX>e=%H_~8v^;v!}k z3mvg-JJZIhj3Yxm8Wbs^3Jns4rHa&q1qcXEoCb|*L5nu$T9SzikBrtB{f+%?E)hny zNS%oGf#v3ha~NQNT?v2$=;+@J3zmbew9GH7l;_S%cgIr=FMF%6N20d3Ir>gqTC;EG zMUlHsjG_nm|4G5@L1?Q=0*oSezYis-*1yIu)?B|j@~*`>|1Y9b(WU2)97p~4v>Jf1Q0=t2uj3PL_h#vNBS?sM}SAhe!{m_x;`kSQ1tv@ z<;_FCgr%e{{WFqgIZdH-^C_t!+Z96@D4c`BI|9Vn_}6}zn6+14`ZxeUQa$&myBP3ROYhV z{V;6m8v8#CUc6GAaCg}6!LyX`%GCWS;qs28YI@6FzLg&1wfL7XvgaKtFDVqj{A=)QuwaNhkjA(7VZbp`!)-m4%9l7*Gfnptu ziGupN0yv_vYOT=YCNc5~ku^aB&J%?(k2EwN$(JywrquaHs4-CY#DFJUGG&GU4hPSPh z#lqLPVh$oK-P#crhHTl$Jh#>O)=SiR)i?p8H60@PdZ_5dy`RtUTEM0|WcEBs=~AhtZw?PlQGS~kF&x_CzDTq` zyIvpz2mjc42=0BFLQlh7cuOO`o222VCcRZgdMaTXs#r&(?4h0xcs}mzn0xja7ts$* zKv*TbAFR+R;9O>q4%ScvwS}0&LMec3>y|5bf`zJaH5caql0BWyi~Xi2su#4(LGpLd z>S*X`WAUZGAjPuc0>1{T6#{82r{Wf<^RCR1BS}#e76dxM(V1vTBr0_A za-K4y_ftE@(p>5I<#+?iw#sfqkL97wuo|x8wu!cy6qmA5XC0p2Nj|rBvy8?0> z^#`}Hm6m31TGC_{ZVUZDCfTGqmaunsk7$+0Z6K4ZKx7NsPv-%$0%?cu6O6~uAl58x zWRu!JCK;JJTLRnIGLgB{=A%4@0-Z>16p-Y#VrPxazLEzk)Yxl1q2 z*3l&8MRypsF427`dx+KM61$9N>~&7GJZw|U#a&D z$8K#jgnTtF(*ad9R5k0}YUt_x?uo}}C}0R+YX1Lf^(ot0*{Ujwp$;Cpa35_0g9o=L zlxyTSUzehkn4|b`k-YVtcN7Mc2g3crM7Sxy(xqzcxlN1NQ~H?><5B zaM`=)i>l4MS3+0fk?yA6LO>uvRI^x>NA&?GL;(dx5KU2%i~zgKt}Z&X-QS6G%u#%} zm@;QNrKknO3j5o7aUKQjIyW7WY|%_NI z!87tznG!P{CNAP)%a>-}GhDkq|6GFfSP7;{UroZ|am%=xS0mQEt6wwp`wikTAv#}~ znW4pUn2~3)wY`F2O$XrR=rFjTMzMWx702Aw4vx%?%< zyUCTDlg7J*_nWiezlB)L0b?(hS2Xe9GHQ--B|ytJ#YlPrgesnBjhs3Z!R(C+)c!{T zG8&>n)79s~UV)R)Wsc%Qxs-pdOX3TNnV{j=Czd>&L~uIEjcD7>A-J~h!_C>*QQy^6 z;M9PNhQ61`FhkdZQBhS(tD@1U+GymqMNq)SD=kOmk*qBNrLF9rVQ`X|0+0P-N!qBO ztE%eI&ZO*5nK=6XK~41$;V6(!O~$1giC`EYiGqYGaY{@H(}yeK^3E~G`<#b~X$AX{ z{+yvnScM+poF{Mr+e!Qy-nE_B^M_x~ZYKqHO-Hsm?!RefpfkWW85?t3JH5(HpP`{zaNphP zXIkFnojBy|t7m95swOm|q-ff0|D_04eFCYnGl6!|ssdO4jlLWOhscSLsy+(_T_uI$ zXmm`8LFT2SglEc4;x}Z-`-L-4YlnRqPBcfoBIs(61*U_Kl%S05B+PR02>a!C8ANlC z#vJZ*OMBMkgl`3qD2Emk2##^rwT{XsHy*j3R9n|0woqgzJJlBV+$^s6?!pg z?}Ab%UI^~z$*v6B;ywg9U@_}hMEfTe2r~(-FnsLFXEUE?fauU;4oH0myYq=AsH{v7 z&GQFDS}KT#3BbT)0jeWZ0x^rkVG-!Yk(zkSi}9-awT2vv{UI#)7;7xX2&2? zBGSzg#7Mf-OcVu2hyYkO5>KQ_=W#o%caAvhrLXRsb}p(t%aV`qHh))6`XQby?fazu!`IdQZ(fQHXSWreveC z6R?}wju9tx0oN9X0kXq5Wp0X^NCKWFMQA2D^E)@H+5snt*Qrkl`tyMhPMtvCmJm9@`@CbVm+^e^39l@;n>U= zF32OtwqUT1Ki5!W%6x5hn82& z?zB`~fX9*ET9E(t?uO1#uEc%ny)}HcNunj0xLi0>pZTfc-O5r*Lx8(-Imws4o^JI6 zI&Am{Zn@-SF0N}}bEaP`io#-tUoAI!x;w$Xw|EdGttaKpIK_=)j;ebzdk$sU$RxU( z?YdCpILIKQ81M|`ebP2$t$;nw`I=W-Xa%*Bi9$X;oX@LLR;xWtXC=t?H8BECn1)bz z(nz!J5zAV_x$Yp0K1sTL0Hmzd^|IY((L4VUus~;-Q%j^zYS8qmDw?KBA)hI1OHu5j zu$swSc{+`mR?lajitF8TXAetB-KEIC>DoNI14{x7x*l0EcvBV_0(rDh^|Ve?n8^@| z@v8%fPcr+9-xsEN@xW*-3X&N@tjLFfc^o;w-9RR06f+4_j)?xGE>%Q|w9`G<`hG#O zk36`?zcZw!{DV#2%dne*di?4NulKkK$(qf{u?9+RSL3-2={o^Vr2Uo6+%8=U;&ClW zfjOoZ`LVq6I>;P@C^>E@8$Dh)^0!f6fIuQEU#sxFDc`bZ^nGX-yu939bsXg^L6S2eBokyJRP8)5W9`LtbUCO7Nwpnlz&e^^wH0 z0;*s*&lp5WR?j2mNYkRtMPgGV;ZiN_D>ZeC=2$!o0bCv&!=7h&9vsGs8naWZe>+9w zqQvZFHH~R*5DgR{g>F>~5Jh3$2>|8;5W6~I@q+$Qrln3bXwywZqRI#IBArOjJA5&Q z=-sHiABz9#Lh*#W#2Y)p&A&)@)%{W9Se+GDKG+w3VC>c0x6S}Fw1*MDD$iB88H9&n zI*%sKsT|W3oxF`jmvqM`<|6srKNw*{JR1#6$&xoP;9 z4(2jbkN(HoTQCuqgDS^u%9HwuC}O>V=j^A7ouh((zRvgOBG)Ec$;aDrBRS^AamY4n zmC`ev1l6X$On#Ad?pr@zQ&i`q-xr_XX_s9zycOCC~;}NBJQ!;o>w8R)6vw z(T^WZjpa3F$yn4dZJ}jzj{e6Am>N}%2|!E}$^knlp^;*WphP2PR2sR}A7 zsIGki)(3Ja$@t6h=A*BGoi_2-xNG%mAFE|JElr9ZIggdt+5`VY5shHMHjc=LlRbl# zPF&koaGV*X<=p4WE}%(Q-JW)vsrp9cR`_Kw0iK{F2#`$90Y?%wvqV{uku}o+fT}fX zc!2Ts9O}QAOnEXDNjiTy53VxrA4)vLkI|2zjgj13ZK1q2|Gk~S&*okhT$i2B26f4j zI@+9gbGEo#zpFL+l6mq&sMXNya3LyZV5(wOJk^cWKvjSo2;p22>Pg29515Tevbc}_ zIZz*d*^~tES8xs6oZ;6K3a(@Nj#(7bmbP5mQ#oZgiKHxn)#JoGI1~=kLkcvAB=8NI z74%Sco@(1EvNk=w!$LUwHbgcn;v6d=J6m;;ifxX`5txay)`z|#0XEm+^WLsBNK5BG3(0B?eHda!G|qeKvyO7XT(D#m`pGE!-{ zE(jW1cg$=5sYvcOo5p%!Xv_^_)1d>39?my9Sn9rYTxf3-H7ZJ-hqoXEBsDaPCSbSj-*_X7}T^H>j}N5X(A3D)?m2upxyOT;uei?(VitV-9K z`wr{(n3Sv0Uk%#e&8Pgxr4)x#UMVRRvPo7rvr>SaU+=gkE_wm15ETSS0G^p`y#hg^PD5D~T4vr>~emH2TNOa?%invHENp3KNr7k$9~E(foH zcVrF}BSkDuOVja4v6fY14`dKYG6h)EMAJ}i0l4CycznU zzZpS`djFYC+1bTa-MokbIWr|QsW;|BCG*qf%=2a)3pGwY+7}1E4Guvq=I}iIPzTsF;a_D#wTj(9GKrtNxb{r8U*gYc!Y;I|1vrYL+Dy z97f(j1g48kd;cC;vaGi5h0O%QaK&_O$WFRHlJ}M>m3Io18DJdgEFgK1nu0LpQ~tj7y_ zxU;gl3&`^Q@rNBZQ> zG@4gNXIq1~^6i{5A+J#e{O6jf@9L4wK-<;eCy-?f@60k>(F7lh{@NZ@ikelcqEE6b zrm7Xv*-v!nw3KH>rziF2q5z|bqpJ4)@f;Oq)>Zz)K{1UzTC{vz5P!8o5s?O+MXnc8 zL48UE$`#gj-u(VV z{_DnH2mp&CYN^w7qR3NnXT~KwC;GmA>sD1Mm)#rnbNBS$8-d@Mi=zNX-b?HzO{K)- z>sB%f@jRgE>pYL4>vj(EY&)x!RM>xnzd66}(hB5*3}yaMMJ{^xVNJu1bctcr?@kgiFo zv3B!rAbI2e7*b<6T(LlP}-A$5-_;X5M}2L3clA_gAF(ixhM>aD%mb zpc1)yaWJUvKT1`8SumFoxyH^4sW#$mF`H_U%67W@=LYUn*FZw^!D(TbMFQaUs96FSU<--3JJo?g| zaI*xzJ_W?G4skr-o^0_x`ap~?HM_a2?c$vAR_-JN9_sC2uc@!QfRaBi)^3_;#nV6h z8Kyj*(c=f*Z*wRP;g)3_LdUJ+n|59m+AUAdq)TJXVZ$fk=Ir?`?zo)dz^8F%9&Pt6|NR&p0sxU2 z1DgT>UVtZ!yZ98B%Uu$cBx%C?F0U&|+}uWQjNmvOjkWNtzhR;o}Hl?NuFj#`0CDz}oOSPIJATu!8_iIJznlT;o_%>~f-0Du7iBAPh3 zza#I@cYC|r% zCxLJp<);fLP3g*KDW<)GrU0qtch%agIFp9VO3Z6y=9)27CUsZ-a?vdbe0TqRR)>pz zRD_hKCMFUy`JQ&oz}EA5o8vTTw?A;nY z>oFgobvQFnU1#}C5)Vdet}UAHB3muZC!5jvI?WJm&S|@MV}kV;3DR|e56n$hqg?)= z>u(smwf(futsRxWJs!H-Tr96tsc2&jnO>~*HO&>95J*PrZD_Q5N86*XxbK{*S+bwg zrhCVWA@Z7Y-fQ3&s1#3R;Tf##EHPk9`rXTD5o2~gI8|P#;^E#Nbxi}w?wIs!>AM$b zz>R_b&H+EPI0cR%!P#2@E;V_TLcG6hZHT0-9KyK0(Za}rycrJGr^LsPgFz-liR@n> zDzdI}IjRJfUGN=6pQfRb{MD{(;;ZLlh1&5I+2GP1Q{j9?=_$#tVd{9UHKoFao0*HW zC;+>oRIO9mf}<%c|8>KgQN_Dv$Z{u$9S7}T?KfHaBOA%cDA{DL#mqeE`b8795VuLuX%iEHV4pEtBX5;Nd!ESOj zMm1?xpke*CZZTjt#7&)|_j{+{ZgpJ|WU`VeLn7pHOlyr_itKIEa}eEfzti(3up!2M zl}4xfcCeQFkpttY+2}aYHa%8!fGasin^T795VwUP;{4;=AAunCCrTEeBJ3BoSq1_! zQ>}yc;2%ZCVC%fe8yoXlKZ{Qzd=VEfKVs{9?>Q5dV|!2VP2f#QL|Jv5Jn9}9H#l?j zf-oqe(~A$86HZPqS(nflB*=m>{;R79TSTuvz-N6eBmF;#4Y**@ktEoYzd=g-TlH$T z`$M^*k4FI`?`KutJoP?tB!A(yEelZTd&vLlwD*O(9^|^#)DqEAPVBrCbw6wif^2p5tM|)a^ZNabN&hKBU+LP!6wM&1sfzr*FF_v z`_9#k@<>?-Vo{qJjU2GkcEt-(OUT}ve?L*!4jhjDhuQXYB<77=Fx^3C6uGyihnwaI ziS=;#P`Q>g3PZNr%#CqjR zq8R-cVv@!>F72GV(7q~jGAb*|Yv`E`rx(LJJpJI~G5X-`X=jIN0})&E;WAEQa39xNQ3)+j0g`h!@d6E_Cyg3I9* zbYTD}0#kt1janf64$TP6^!0u9S-W^_2-w@F$V%>}n(}6Y(bvfL7C)qRyPG_LZ5DX* zHC$CpU=3_?0d=w4;mkU2uh{Ju<628HknkP6F1Hkq$YvQ5o#=vab6W5yc-!D>lW+~m zZGmxfhTQYti5?!@!bSIzx}{P`vI#Vzp~wwFbKkc>J;lJbeOd zmhoyLrIhirm$(LlYDyaVhAcFDl`_yeAqc?*yz~?`B*Erb;qG6^;JkJh<|u?AiK2cl ziQi~<$R4N+{5quEyiSkJON(iyzQbfJc;R1Pnx`zex=4D(uM3@xYmWqycW97$mS#xHERFbNR@dcj$a91z ziF~XIdH^5VRM)l7UNPIMw~lpDslP~&UFA+ao&XOS%7}lJ+ed@^{MSz`>g_r!@wRvI zDEpV)Uy<1->>GG=Oz_7&z4ti-Njc2QNP4_!=IXPGwtFz2H?M&Pk`m!-0=nJ*e6Js7jBi=D-rlNOvgD7A&bYVJUMv*)}js zJK3>26-rndc*05h4}K*qKkQthjv_D`GRe6pA52D%34;tFj1p1Dr!RG3RR>enBdIMa z7gPioF6SOw1Qw2MM(-nzs<}Lo*W5&eHdMd6Y3%%&m(F&IPw#tePDo1V88C3jn(s?X zcI=#82zp&=SHTJ5PkeF#+g}hjN>4~oh<(XBl_IZP%}Pjs50k8j6R1QA6dENbSUNEdE1LTM+^A4d?;^dQ%$ zwZ!4MFr#@F$b6}pV0u-%%h9oFcX%^HXgw=SL`%YoQHi#NbFI2|=>lo|QZWg^5hF5_ zH9E{#oIztZV;Gd8WCX5lxJ_NXR+NhP*Z|P?8$2}z^b<$`ltCLGT`6 zy$(ig|8!Q@-sapgEqcj7PNW0I3{?#P?`e2*s*7}pcz^pA$JZ!&M%P4Z|9mwTHQ91fVf4-lncpp zxEWLP;I)@dJ?{b&`A`{dLP{}@<{1Wa&NKme-ZzpIAr?{kFGBurW$Irfh;acGgzY^m zt!L(pEeFU!sz>QQLr6dPqvVz@N;)3qC*8G5)dBGLf*Tk36SV^Mc2MO8BTjdd;B^Y% zYNeTkse)(rXrH?>N-e}%kJwZ436xz>Zd^M(tOYSO`Jb!#kG5Fm?$fn@T1i%~P>qhQ z9l+~|+}>fQX4X^wToOuB*5BRJFV0uyvZV?b5Yl0W1Yhk(JoOxlaXTqTDTObL-pvzve-B$>Z=!T!EX7 z%y@FPz1~^flP;-RV)^DQ(w6vyY^TOxos+nM5fWV<3y2UY9%IOHqAX^~U&V4mx9c4l zl4{ER#&v8`47d5tGFC&Vx;(CPZ@06hOM)&|_zpw*5)oYC;PgasfMc)`)V^LSPghY5 z1*zc&T*H(iclr*_Z)3%^*okT6c6IcOxWrGuz*Xt+1UlEDDh^RPpOZ#En<92FijtIv z&5DBvb0<6yGf#8n5UFb$Us;e@u z4(x(%>gNXmc{-8dbB+AQ?BEf6a2=;29mG$C>@{kF&vOq|(_L=_6ekg^)1V~E&RKHS#zZVF z>tKW=!n7EM2R9iHFMaEmc->_i^C6abGs@hd%8|+hHQ3GNVXVMQuCv3@gT8u9&awkl z#B3N{jl9Gl0btf>GMPLE5!pbB&Q81B@6D(^AlyP~%&&wEGpAyn3Pch`>*C!Pkd<#Q zUWwg8rL&33OE)G%Iiv(4l%A*K3ld4h3+d(61H(bLG|ALpDW@TougPlqXKj`S_P=x7 zWVkh?>eaD}3a&)(&l#K8H@BW#yyameiKp|FS+uvwGu}e5u(C;|F>40+yKpitE-Ee% zy$|0w!)>%qM#$ZjFav5_O<(m0d%N^$SdHZ;c>?> z>yR2FMAwHhA2u6Wl26UPFycppV$LCcj^z(8IH1EksEd}?RNSn0E3<)t0e%2bW>I_q zfP^34LbTtd3?TPKjvjgC%2K&oD|ma!jCDR@3^x*{2N|7v3A-1D>K>&-4`ft@Z$h`6 zji$t|1P<}ZolGPH-{jjy)phvT<#}lg4d(G^86MrZ0*V>*@2>&?EWD@4?XdO%_v6iKgbl!m7cM#B)vf*ROCHQW0+m#I>vUcXgolI< z&p1Ys_j;kN9L(5B`g43b0hmKt{pW~RseSBzy?R6Iy25!to|6{T6v6Ibj49^{Rj28^ zNzr=y0grCq)`=&#aN)`LUK@`&gA-MD#}RON)p~m}lOgRvvAo3eYOka= zyz4CwNBo6UGqm>REy`OR>sWyH5Ym~Bca`d)@nuWN2O~w}b9xPUZ89zR*EeC>D+dF> z`#)o%jG_3hl6_CrKSlWmPRq#0ax53`G&Cpf7H)JGBvwQx{3oBcRkeTOI<&8zq?j|; zr|Tc08)I+pA)c?hV`H38w(6J&#|7^*gqVT#Y}LX~H!J0y24@$5!CAYWfh_;BJ0?VM*RVLwECsC z_rrP2P}dT5jAl-3kvOTcwtTr(rJ6(m)>K_R_R89kptg}zI+XRNHoPeSfC$LP_zC37 z==8QRq1J{iA!dYt7HjCEid6p#xR+joQ1%DKHdwMt09gMv$RBtuU;qQa7eM;tKlJiY zjV#8D2}3iT(+7oDy~yU`X_jB-jqtgRSQQ-RHLU+&QNe6%rT#wSMG}L0L~JmJZ&P$z z@MrXC9f`)8pHB<9o{wm3WASeo>Rkq6_Obh;1`E6B5@=s86Z4Zkl(Rn5a+p;mjRngK zy4d_0^L%m0>G~`_N-_a#Xi`sq|NH=E4Ea~2QfaFjD02lH3RH(A2#60@rbb+yI8Q6b z4zg|KB~L9zDUc0On}07fK7kTw;!=SApXa0Gwqr2FSc%mv!7F|g*$b5fwTnPjl?Um# z^*(y@VJFL%Xl%i;oE*R-6=PBR=$!(J$%-EdRUs&WZ#Z#GW)Wf8dC5v}L# z4LWb;$KpPk+y`SpUKHTUTQbxBZh6klG&4swjKN`ng^h5;+lfv7m;~~s7Z@R|K*x|r zi!6o)A5*R+7%^=KiCj?`a#MIOyQSZ{P`5YFM!)c^m8~dLi|7kcDnqDE?pjGYG|MN_ zqjZ=-(~}rDE-RwQhGv7RKf~wP{aNzRBiu}K*hAZ7B$G5j8&vQFlFE2V??Lua zJBG6aiLw9v@Pvb?s>e$UQ5@&h@$!g)a{GlAC02_;9tZC|v%%!^4Yo)8A`@J+6KuVE z)YchL-{XzSZQye6a+J`cb#eHmQ=x}pIJUflTN(J-;q!9{SNyklo~1aeMoBDSbj2eT-%aj2lJQR(BH zL&;q4d_AOGNAm1!ovZ<(!s+SL(u&&g%O5@JMBh9J4g_!a;Cm-{`E=euS*In%#vC$5 zJcLwOVr~t%pSdK?p>e1tC%VETJY-|{PHNfce1qr00a(zb@(r2`LObZdd)&lCOQoe` zc}m65vvN|YsVrV;l(7AvVFQr8e!B!m)Dfi9C$y}cgkc#xnQ8ik(_<^14OU7onQq5R zMmgB~9rrkfB|M;l)Tt^n_FIP#JXdnBeLoth~?vD z3qXuAj#8=i;5YV;QLzckWGW}2ZZKTlp_9#P_DRGVr9;hLQ@e@9n~;jNX{p3Dho(wi zh}@;Qr$>b-9SAfVOZ8WgHcqnlD+Z45`1)Jc^7+wi+(v2Jr8>I5_}S@YbFMEnxo)iL z?N-DGZvXTX0GQP43Y0xOgwI_T&<=%AKC*NjYh|iD63p=fCJbBnlQ`)M$sGNA_#B-E z%D0On$C$AHFf-wfD$+QCD}_%M@JMU~>;V{I`h0MTgxxVDpCx~eD!p19pvkd=@SspK zEG$(i(Jflu?8N;hPbfYk;OvZkRAf0bsnXfS^(W*9ZDFWYryDf!w-{Nk^goDG6g7Dg&bQMCB{MEAD4Jufm9Z>yiA{pkOdMED>`+s)dMvod7Mj+ z$?tP0CJsv+S5*qtw&9I$+`GU&K~Q{DY0E5aMO5zUGR%;gq$lOLM8QSdb`XC=F8~Im zULg`3!U2JuRdq0XjcRJ85q84D&20KXXw!4e30{J=*FdswP9B{RHQ8o zdIpqVbnA7XjTgfq75wUqBYu2_gpEI*FruL<2*UNf2x%6GIMJMkOMRm4VtvIkMpc z?{OjwkOWy0sPIh3UlZPBfMQf@%zbMw5smDdQ8Yaax*)o!Mv+o(rt|LF+NJ76>Muun z`QXG~81D&0t_f~O^S1B%*8-KsY+#d)n5zQ4=$n&Cp2#-s9$i)!wM+9qswo$6)Lj(Z z+ygbL8bzQ~aZmb^g4Jr`zxA5xw>B{o`5vI!27ZiPXsZ?HkiDbc5e$N?CsX+F9wA41zcIHLJ0+93it zCD6G&Fc!TArnyW087^-Nlc>5w`bFW$K`VcdS6mpG9*TpDTHA3TDkObb>Q24(@G!{d zRR8PHxpJ`OnC&I_`RMOZHZB1r)B+NHzo}eVsEhgn-)jb(O@{|;L(M3gf!8l zrGQn>J3;C<89JXbretXxVM+2eI_A+ooHSzD0XkGDKWl^8NgmU2$9@fCD=YJ@EQYC6 z$fRn%)Zak3l9uU%WwTai0<{f|Q7IE_YCT-iSb00*cupZzGw1m%|6E6PoZRLbnknmo0NU{mJ$d4-1j}PiDSFOn$Gy0&iq1ad zihU%;mwIS;yL@9S6RYf(0>OH8IlM9LhkzlF6S}ULIJ~V?#gRKQ<+b538hmseiOTb# zF;@~%!adSZCl6@V*cWDvNBsddeMdh=lSMPeBAPjB*2dK?nS3}*D^ZQMdvHMFyZ-CI zmSomei^;A>a@>vwv`Ve%r&k%#!Kv=1(4BSBg|kkfjFe-Mtv8!2y1$ysecs^)h=Dowj;5R8K&x5C^ZnnquYV+hHyz-ePJy{i;4kQ1bJ!)o&NTTcQVZFRq)f6 z5XtqM7Ku};A$5ng%*ein-_B8uz4`^3fh{v8V!)h!*T(HzJgf%QowCzF5Ui;#Ft?l0 zT?f}dK6lNR?R~_%3Y9CYC}^Vw#mO~zb$v{*OXx6SJImGO(&W^ByeQ!w^X4EXg}nP4Til=Bqh=ysMe`Q+AsW*rc>#-L zO(c^Y7G~-k4bh?xq-|M$VdK1H9`4*^D<0D*1>dwf=qAk59DGw^kESa!+Cz95i$2k= z_hl+ZfnfhUa<57i0D$`ycVcf;t3Iki6B+qQz(b!ol~?*^ND%!0G>X#rb2_%5dDOmD zUbwsGUjA0qlY!!%Xg?OMS@nQ^7+w%TFYPjt1ebFawgi7=>M*TJ+NS)w&kC$wofhFH zG2#900br1rI+$GwPK`Z@_%aaE6gT}#WRI*i=R##>>_8c~yk^kHqO%ebhz&kI=9pDy z@d#l!M&tIpn|6WkHtR}fcJaUwG$E*R2NntPofScdmZU?k>I=W@B_imJ+RB^wc;GUh zP`dM^#+kgz(0y-AuOz%dy-bPPZlJPuIV3T+9Jw&D4c7ha2F|s<&qX7uLUB#Y1irpp z!xi4HS?^-+#81WIj&{a#bB^KzbGv32EW1xmTNh98BxL6^A#5bSrkPV;=_Y%u|*>PTy(Si70jyIDAo^t{S{oTu1VO28&hg zKFoW&t_krs;LksJ3jp8(*zlYDgZ5GXV*)y{Fc5xU+dOkCRwk3g0DKlO`{R~7X%p&k z;+*~R%@|N>{qAQgy4_u!Syx{diF@lO1c2cet zfKN#3P;1_X7Zg+~SLkeEjL(8_k*-G}!pbpIx~a2b z9gza$b;vr|)HmWU+zpUnBkkQ18}jMmVUv@|Ld5X~E+ zZi(j!h*X=ky)U&x{h-@Pk<$q4}h=wzvc$O@9Q_yF8~Z+({=u51~DrjEq>eE$;)ys`w%ey??EsCo814s zj-IhnaL-K|t^t5wc<*mh3!3c08b2!;i>SkpRCG@uYo;KiP2wxod9jg}jAB0PSg~m^ z!?Q2`bf2!cQrxkFCzZxLXeOSWesySze0)6??Z$nYwn8xX4`EM>m}RG3tzZq(N;hS< ziu~{<33B|xzfUPQ6`Y-^k%g~ISY7?4=7vI{UQG*w>o_$iPQ{3XTgG$vC)fN_iwSyW zT>4~0^rfVJ<38>YINl#VJq)c|h?`W&jwepY1?6=~VeHs7Oyt42MvP+j*#wFwZ`dr% z0qNJTgR3#>m~rs6w5)+-H+TW;it0+DOzU#vhd!BD#nn1{Qo z)n)FYAN?a|v9jFstE6wK(0kEk*+b4UKmX;gSQ2=GDG9x`+9(DG2Cdgv$pnpYlR9na z;6>hrP=~Olm`T+41#brf`206YB1rd7_D4he7|?4!dQrdROe;&ZHviO`{O$S%gx?$* z>G;YYqU#lEUyGJ9Vpl+)Z@<`p0fd5JA|L=!uq(;Fd1S`k=^T6)Sak8VAB?#sZX74g zE2exWDHK-|(g#Z0I8ceZrP9jVbRZ!5 z=z`iA$3e+Je|G-xp#uOS0O&Ia=of-bTa382_jD$++b^{mk*SxCT%z0~G3 z9m3h={PC;ReV2W5HN#d#f^9kz+&4>|ISLR`qfugm!dLD~zRY%nrD5Qv^y)DGJY&Y% z{^iCdbb!TM#kppIUc9Ww$&%`BDA4UHnsY+qM15jrK1eKaXlu~;U2)cI^!IjsVBJNc z6KC{kr?|YgYh91U`anaH!*Xt#ra_Bctx(@Qf46-Bjlxq4S3C~3u+(efWYI5%hue}f z{kSClz)VJgaMo3CV?2?f?Y60KJ&LpAr+deiMMdvvXT>v^J)`trp30NKBaUl|94JKB zVD4y&P+9q;YVwJ3VzP4ijNqiKfgty__D&pa+9tiNC!y>>$cfJ4I(lA8PV2O7r705g}-LTJjja(;N5Gnp$Ym;gGYY0|=0-w7Mh$JLFeay)g$? z;nrCk{nE1l#4IV>kg%$=>Sf-e*Z82T4%MO7cEh_iaf(OjUVL-x2;92B6Bqh&u~{3A zgi#aC*-7=r^~eTpe_Ex%PNW=3p4!IDaay_nQA^(4M-w=~e2iXP#BtP}xhp#3d?1sjL&U z9vB*Q#XwylZED_n5WB$N7L#^vv zv*pzusn(d-;||8d<796eTXV2L-p?Y5*! z#qO>R-t-mmH!vIU*0I!Vv&n-d$OWmlNYnz3s8MwVW@<+)R;+6Op`TmfO*h`u!y7S| zEpGUWL-7c2j56d&F|I!15R^R78Fr@NdHTnI)8% zzmkBUm8uJo*yBFa!{sOc3fC4Vvr9Ndw7kfU%xLE{D3ucR=s;EG7&-;4_RAWrx(mHg z6^0O*Ma3DhG!GY&_?87?uD<$d4qNJ`E&B9TBiXVw^WLc;Hrl}G!$f8u+Ws7Yfmfn8 zMPQU;e{_GcTGPgYEY>SRu6`<^%er!EqVf!M(TC{FTIS1LQj-h~H-oX>Ln=*)WKh6~ z?R^X6pcX&T*aIQ{$$ATeX^EtGs_ywxtN^_5>qjTS7WvdW+Hn0 zP}E6Nh3)SG@`t&(_CZQ2<7f8IhPqFWo1PrRQ+H0$ePuDc*kbV(Ag! zXY;XWf9I%8{k0IFb(xUa$Sx}YhTq=P^M*tG921Gc)s4F79}*9;funU(75|SZZ+2}T zDC3CP0ufuS-dZs|`ywg^;LSXPkRgKsWNY6uxc3q6F48cMjyHA0m;JcE`0ak)+tdbY zp-YtMdbM5< zk?v0bkEg!$$zAf%txI3>WH|kF-^c)|Sdv9`#q471K(D&!EfVZ7mp(aM*U%7SeG5@U zF?I5S1lZvs?I-b91eHWpU<4G#ZtuPBN5_-|#0nnVCkOM|ojP9HN05c;?5w^ReQL(8VON zg0FUIj!_8#aoNC`!%8aUDOagr5qj&9xJW7m8uQI+KQ+!d$-MgO(YmVtTg<$?bYI-q zC-K<_2J?)wVXGEp57>)lJ(`=9+m2zN`!<}3GD=cddytsMD>d5yG6yQfj2WfJE!UxS zIDfwpN=|iXBI-ukUS5l7rTZV_z;pyN+JRM0ONXW@j=tI4!j6J%^>a?6dD+Cd@f4{B zt%O09*WMlpLf0Bkl~p(7Sa9@VA%}dv>KW!IfI^yno z78hns&^z-TzNslUYIIt!pe#KOMeMKP3pJ09H(N(2t^{Y;*+_v=otPl~ozOdfy_Pw_ z9?AU>#UuUplN`kF-Cou9!jK-yTh~21YF-JzV!tL}6k87Ip;HXx6hM<1gVRS-#kk8k zicwl=qu1?4$WU6UvA8u`7qn~opXaPG8jvw{cF7t*W2sFe9m4Q6rF)2j$Maz20~3$q z)dq)N3L^LU>Eto;u#`lO@?3%69k>q(^K;Qhah+keMf;8nG@8Vyg^H^8hnSQ0bLgrM z6hRYR$tQz2^B|BV^)&I+HIOmkWh~aWf=<^i^U<=jAD1}nW^K7;%55~F`YrWtnCe9N z=!g%s=u_H3IPR+=@Z@5DSRj)Ql_=Ci{_xdO4Q3BiQC1CGri1=_;s@g@)YiMGdV^P1 z^)U4kM9mjVf7=N5mKs6y-U=VK3)>_8Il%AUTy1&ZQi=DidYhW`T#Xl98I)CW$z}_e zC|8#DNcU&t2iNAo5A=^;^n`Mk))Woh>#gc*<%b$0Eoe zJ7i734wvj91nJ$FNFkTOE#k|3fHx(4M?H?XfvYV=W&RBr`Y@Eg3hiFGL4UZn?@}A@ z!K`C*jk`)--ij*A5i9nT`}b?wC}Aa@+RGmQO{6AL{vyyGOo0JjF=q@Ny7Q*CBx1-@ z$DZF*mJ5206RXTnTp8iY_P&ohkF`HTcHO05yscA9!dgmtpx8>zJ5st<%_>@@AFTs- z$7rpPKXqTvH7V+uTr%zg`02(AzIbXNSkbIC?&n|c;WtLbwF<@yXT)VZibjlnUpHOA z&~(rM3k_$Ejib6c&PQx^?48nZsIJMs$&eY^5iU(Ng+4uJvurbWMRT1UT-95|B^Px$ z3eij3R_3VaQXj5mD;+fIjkoG!*|p*+MQhz(shl^OS%Iyfu&^b4NP3%e*W|C6vB$qg zaBvLhI-`pIU{~p59z`B>Ui!1X+=F5v-igzSw@SQtd@AHCo^EqiP!Hp|Wc|){pp+Ub zXpV4sHJ!Jf`^cchEc|u9g-zf9y0G6#C!vp z7}#wf0J;y_H(a*)=c)b&An%0_0vPaMLgKIRVa|69G4dK+i+!vkNmQy)1=*P`%fZ%3 zXB)yM872Eu83*=nuxZoR%C;gOGQjb_r~uG?-Tqzm`7h#pgVNB;635#5kq7uD6V>cg z8_(jr$wv4tJ+&n&Tv-lDOmR+MDp5xSw|C|<-R8HK%evxz&Z35i_)~jP&HoVYy}-eb z!?*hRLj2PqUBL((9QfE{*`SNl-!I!xVt5=b`0{8BcmD8eJBs>AEqV>vd5&(Fp;F{$ z3f2WH%lyt86~(hBjd%PdCHMK50?fn$V&deJvcGZTWRN&*xamQE2t>G0OL^0`I zGH=*;75AK0Qz77U_s&$AxQn&WY>xNvi01SiBH64I-+XfDS?Z%ZBIfd7P_ypZPn zM|^I*wlEb2Vg<%jd45KD-phh7oITP61caPK`YVU|14tv%*PFu%3e})!q|uVU z3PTPD78y|W987Lz#N`=qe`)QX5iBq)xN9}a77Wr3&xn@EM%?#-#9!1FdFU*9hot2a zjX0`L%%P@@_8{*MBE3BXOq=TbEh&1_UJ(UzoR5=91S|~IyH+HKE5#*itlOSSFKT6X z-pu&--_b6{kYzDzSIBkK6?ZjTJ2aJHecy-F{Z<-rwP2v5#?8crk?zTGLQ_WSkWwKW znne?x?UZe5@?RKwAKMzuCdOaZw~}%63s4y#tNzq@uOD@!>y+t)hfctn;4q(i#8la_ zQnxlro*DNi-W)TXnVll~$*fhxHd5J3jj=`^HDoXt-Q%@#%d;Q$hi**nk~-@hJ>&L; zcO8Q!hl1vy{kuB9BLzqDO`j4nhMm1W2ozNuF z0>F2AfFhPvW1@8n03T_5agQiLhXopOa)5rN``Vt*FK>{l~g$|ZtEc>^?9qi5!@^}tS5^M2nT z6yo6P%A&T`(Ip~;vYd3K`UPQz0Ji^i%N_s-+WvO)J5utWLgro#K|0Fb1b@-Z_%$Iz zGSAAM^0SWf#_g_Z9-pE~KHXZZf@dNp)>Y~wIs!8!lBSBxBiGcm{^T(SMeF#hA{}%$ zl$H2glJ9C7oV;^Pm~WY?F$RpG*!M>_ara*$CggUo;}qcBpMW2~Vs$DYm?4pYR^xRP z;0bh?5Zh69Kz#}MG!Si2xWLAV@HKxp@FAj7lEF3$_O|<7`!o}sqvV2Z6Z4f2{ifuD zJC^h_|Dn7c8c+?Q2H;coy?D zTB%#eL0Z7$!I^PV`n!1Xv03I-Z_< z@XxR$8RmlM(azH1`~&^|$_!UrKR6_ug|Zd1kxraO z+Rcd1HBQ<@GVGP}2P%ds-%^=)Oy{cL%qPNF#0$?L$Y#wHaC0l^OlhlOeN!uFg33G6 zf_z!%iVZ!RKsW`Uh-8S*Fjiv1)5+BILM+mdXiDj|aE;FBQO1+`N%69&4wK?7sYDm@ zLg_hqW9o}iwZ*{l;>07Rm zPuTutS%ybEsAU_3ftHed*7NcCA^eX169D{uWq@-`BDSsHwwf*%qeg}eh{lDnUy}${ zFxgp3CCi7A0mi<0!ECNRxcz{h;cxxhd!QMa)z`h@=+;*abS_;S9!M4B)V_-Hs0N|f z%zagq*}pobe&g^2D^=zjSg6Enq~>fIhw^u*(+_34y6R#uPVhg27p(Io$-?6A$US-0 z>|}!m?dqYq{{F%>rmU@cvfklpn1djw+9t!2zD7F*eV@29xnF1+gf)M|z6tBK)QtT7 z7>(tip1$5OIy8&xJ!hz{j=mbIxglhM06&eI==9Z|{}y5b7lI(BHM6y0)g7DU)!RlJ z=`eA6rqWdx3{S71))tUV-ry~AkCnvNg`!A`a90jva@CuK>n!9tp%v)QF0R=nDxpi8 z-<7TUL}Ij64(8zQ_4mlRz8l8&GtN|(m$R`c=h3`r8pIo}%7)_LB2V46Dm_J$*?>Me zKCT;eqb0EI_w$h6W%}zzFHw?u^&aG&K_M-^7U8NB>3lj;1Hoz=9q-1gOBDkL)TJ3g zB|N*F9(6S4-gZL4TKNV&+Y0@jrl0|An+%ETjx!&E%!lWe)}t-<4b_^MIPa3By;yyS zGjzgR=0(cmIWN+EdRZQujPre?uTK}`A-HShA*$SAvigViz)FQ92cygsb6;Af-)ce0 zRtHS^^|`q9rDZ!+N*r{HGbOfE)vgvyk(Bh%c&p?5Ul4zrMjo{8=W_{OkX1!a9GV$v zExZ9c*h&$wjmvzik=en~$srUs_gX_xdFQq%rgP}tECkEZJKvYf7c3b!-Q2%W1c`&Qt(5L1KkI6BLW1N5e9D%99Lc&k&syz^dV`JYyW zS8)V|fs_um^mdUO4L3qSs1s^uj`3>~6N`&|wuI$MKUb`mu|@G!@qqe7LFg&Q+H6>| zLbej4etW#_IeFI;;6<=gd{mxT5=-Y#JbqnpN7Y_^ zi*BQDA>+DOc%E&BRZtxea-xJyR`-LMflY2O_-<~C3L(SMq%Z6TKO^qNp6g4N-~ zEK3Bn>uhXLI~b2}fu{-rwa|G`unEhOIb>v_iqy`QY=urbxS5_EI8UNgVex`#S%jkg zeJPc;Dg5*%0f96xI+;w1B!latCeq+A>7teaGuSm}ZR0x?z*}0xyJxTgn`daG+2$5g z-3>ZEbB?QXM^IkHZwM^MN=9E`&Z}qUVu#fEDlP${PUW$VjmGtf9*YovZLaV`{slAC zFch6HMl>381!YoK)wCBXq_qdiWOvq)CPc$K`v$EJVVVy+x>1zFF~j*aYNPiC>7h_)Tj9P&VefjYG<-nQ+&-D{R<{SJUxML3;~y^gx=} zxe)l^$QT=qAM*aR>=x?g9>>l~&0BoW3By@*S0a18@hAu8b7c1P{24^_u`Z9O6o1i6 zis{Mt^U&zQmE&8qp^7sIVe|q#HPY;Nu)nRwpK;Cy@c0H^+;L3GJ~Pmgwz>c|*wNL; ztLwHq3r%_0`L6BDY?tMb?WNHTE=`o+4i*QrbIEw^iHFK+=%JMg73>vK@1s|tBX-)< z#UaNx`}052G;#093^Q6%`Q1G0OKh@}L_LX7C61x+i|=py-Rt=2j*naSfG*HyWa~7D zY)sZj$4BbhvN+O#m0Vs03n9GQty46c+yq(X68>*>;?8_iy%40ZYb}dVbn&TFKk4Zg z4DZ{cBD<#SNbhherRQRY;`1dqt)4fE=Hv^Kh*J<*kONDhGjBZB*bwK4-NV5&v@1z> zer(CPWaPno4kjxng|cObck?|rrX&mt=(pXZb9i>Pto3Kx-tdOnei?%}7B(KmQO=IP z!@Q*ND&4O2Q>mGoJBO5aF9=hl0;^#jJ0Ha*Hs|^GydmLA6N*y4z}**}o!3?V~?khcofssspj*_4Er_WAR{0e?OerC14kdJS5&` zbh6LRSmC>PT zDN-l+eNU01;c((J6TOX&;QsYZs%N!0j!eXE7*1TqyfoftlMM4@UB1TpWZ&x;yp#6E}dg*2=O}HK}DAn+4Dz^Bx z!ns@X-PdhN?~VGpe)36F&S}!#W&yVVJG7t`>be zc5~wQkd*+#rp>~w%vHSyXL(bZWyMWr@IMzL_0ofy8HT3AiWc)}GW#Ntq|6#=m1JeQA6Y@~^+FzTq z_NjDq;=F`G^N$G+b@OG=!pjt-ex`%>tP`^<`W<|zalqS)chA1B}z;$ zKkHTW-i9AaaXm+%YNoH5XQZ|dkn&REeCWl(GUdGPU`UjJo5gP;!nf7MVn7IeXjfwS zJ%O)@`55-2LAwzDqyUcs`2B$6bDs82a)`oHQ#dg_8V zTmnA1TML4=u^1PDZ8loxRj+q=LJk*2WLg3!NB4|Z=nEaxGqBMwuMQqp0L}W87@F<2 zr>h`1uKkH!=>8c=z9)9~{^so3H{lII2S)(=A>6hF2C9NI(3;@3u<{*j(1{1neQXr^ z3OB=IAiP^20YdEf-q%KV?u=_GZdsZb$=2{6SX2=VC@>MlT_3^*ErVyprBta$9^~OW_iOS1}K3yEwxfAxt zSA5#V3r9aGCDhG%xn1WHQIiiOW(r=iiu4vQ#Q# z8|$_Fh&9cE~@;I{vumd?s)n1xW=Y0N0yjVu#mim5$F zReu^~EfI{H?z}3b?2GW4kh7e^7&1#q`fW?lxGDLXEP3;5NSz@p@USrT{F=|e+*iji zXfoMrR_krucq!vKRoU#mm^#$WpG?o1V?jFVjfzQx&h=h8YkZBOmxp)kM%Y#SPAhVF z0&GDr1}U{)(#mCMem@V}#I7bGS2OK!u|83KTqt)X_5>zVbugs;sOjf{*9vpvoU{@_ zrM4vB?cS-zJh=#$E4#cfhMo~hTFZF)V2cUwRRgpK+76TY)#4yu`0zSJr1eJ32S4-~ z|JlUT!OQ92pxhVp5YskKiH^CLTzjsBCkCQyNvrgVCEPRh2J^I5jVCFpI+FN;tor%K zyzCUUe{kPA*!RYcMM2LWF@S!U|Al|i08hbzqI0>ynE(R-fCCI<4aM)s5jSu%^Je0( z!8h6~+L5L1q`%HpTW(qyk*PpIT5|VIL3no;4k(XV?Dz+wu;RVL2haaS*sDN3*uP-E zJGB0%*MAFKdu#kXZP5|nn=g{(jeE`)0V?eI#wF2vPp=j^XUSYabQZ_J8!gz$IJX7B8pb7>)oii@kDjZI=z;TcmYX7r-TZT zJpWsoN)?|y$@gZ{_-&qp`Z*9=cTc=V|A z(f4}71#mb0AFjSJII|{NH<@5!n-kl%ZQFJ-v2EM7ZBA_4wv9K*%~$81x>dLTb^lmv z?XKNjwbyd zGxGNbL-t!=2(2L_vbFAVPB0xav67&twbnpXA!IA2$XBUv$Jiu>TDUO*D^nxefsvtK~{6a8h#UBQ1Rpn~t z$aJ~Gax65h{8X4AlKK@BUy)=y^_fVHa8hWhV#ul;N@qmQNCAl^nG*Ta=OJRN-ttty zONZ9lY-X|KAhn|`?5}*F6p6>+5|eCh;$ava6CBF$AH_x${l z`yWun_m4Iq*f8NH#8l{%0G3xu=^+pe5?C9JGE4_*Vk$9@U82M-T_Y~3YU&Sr(Up9;UNBx=O&X(VxG6&&3j6e%nuYVM z@*lR2*=OD5D0zvP<>3$>N!MQ*U$0WJi~qzA>qTNr^W#{i5QWGzz$Fd!bkvM!vxG94 zXVeuMn#Z9cF^1*2gT$@N&q|C$k)>y8AJD7~ zWr8dz%ElA32*@Oe%l^V1>@BTv(ba7ZHgx>xMYrV2FAbTndqvJ)vMWTRA6h0DLp0qb z10^z>MOpH=IJTQnZlD(i?Pr#$gQB<_qbfAp=xfeGHJ^-m84fnIB*hNol)Y>Eek(Dh zx9!`-cXkJ8{T6NJpxqw)(?MZ+aBaWOZRln}U36QM|c3r!hM z$rX|tAdrd=O3v?hqYPU0*X_%npDrRg|3pEr0Bxq%{IA~y3M9yE%<^BqOLY#~i6Qle zB;>rqyVY&9W`c?yA`tK=%{_I?30FSR-2GuO_lTKvot>YVKc?}g16Or?5kb&aLv2bdXrbDVf=dQ5NpH5 zgI3KdQ!cTke%azyJp7WYD&kLt2{k$UUqKTedURm9J^%u+V9*~RAXA{QkUT%k2qwC6 z;=it$nYisL1%s^DB~F`JgFs|p#o+|7VDx^-7+!uyLjDK=KEA+&pU%Jlypj(c{a$IK z!Bq3a)w=r5mzJ%U^=2j*)8H!r01oGEI6V%N5^TD-49#jj9+h6)TtM2ddaYw|zdsKd zqObd0aiS;M&>+|JI^J5HVF)62NaVQ0=hwuHZIi>zdZuejwc+BH-(fJNmv9?QJhXv< z8^v+P&r%$3^vl-Te1qalCY3ED*h{8%$4!k zw)^EJXU%o;!{9}OWHe*LiVPaV>8}wwy}C$ z9gH1}%m4%%(yky{8CP?Jlqr7g<9b^=$B@~<@_>5x)Llgj-0&WPloQ31#A6t1@!~C) z1!JD{-dB#x&Ktv;+=Moe=T0x{^x2=ZgsI#&z{?Q3+;X&1{|#s5i3=)s8Q zp_!dEOfvVdK3RIVyK#?Hkk0}EC-@YTLmy<_5LXfD3(K30Gm*u1SqZf{)?zb^ZeinF z54stXi!h6NMB+@I6qX$(f1%*|X=Ee_vrZPA!1Zp7Uh2#I;I)ur4G=H6|i> z3S~;lg1~%9bWub+VtUOWU6L?OsnAofG4A-nvY}Ftk<{J@Z)>UHR1W%zh={}o9Q3&6 zSY&08O3Q#aX_PbAJ{}CcI@BsDJ%$u}iZ%zcnHeIEkfE4F;Mk=G?m;4;X0>bnGLJ(M z++c(4C?7rfP*@Q?I(gO?3L{|t@~R!-5tW|$@U)AsfW<602Q0e)D#XR~l*Un3oUtZ| z8ks+9MF@iaoB{hy=l;x8Y%=>&a*&YKpR*HqA^t+Gh$u#+G@?uOUj%V9&%vsfz9Yeo z>kF7Jw#CMm=ZX%KS$EU*rY-4B_h#p_4Q=9c4IUTQ=Ct(7@`shyBq}W31END@uovs< zTAz4l=`wH31vy<-`4EgdTXhesEGjAG@mlSlKsPoOCa!Qtv@J823O-m{1+1>4EY^Ip z1h0V|W1r5oul*(Yi)y;LM(etHGDxoT2TfwEXN#9z-u8;R0 zyhfc@fa5}2kX)3mY3@x&cki{5H5~INXn5@TS8ocbC_9z6md%Ng%L`10zS{kgb}4wJ ztv8=u>kU@jDQxFamA;W9U}5O~%Osh}lk9vS>upo|j`5C2pLY>y7 zl#+fO4#f6_)&cq5EYotqV!Psrl%=aaQuG!6H}&PMAk_t*iHcYwmH9Tzmp)gYKv#Ny zF1zN|hr5bvTQz{8PQ-MUn7uxcEtI?El9o@G^?LvDrp5Hhgo&mB9KMm_mREOiKWS>_ zg0d{CzmoGpc>tI|PyP93!TmxnbC|tYI8RPt&#?Y>=C>~dn^`poCT|}y%alvpV~0l8 z+FN$1EA+pe_2ALX%WW)Fgr47S?M)<8HCRa5-N@rXVp|AW{aCYN4@XDuB$#+2ZXFZ* zuv=__o8YN#0wbb{w=%FY2N76ciu;AKbT*) zA>9N0y&+EhC03llSuYKR`0a78)vo9f9=%f-j}-0?uf1KI`42LnHm}k*sR!Bq6LJ-1 z-XtN^y*Th>Y2 z?sAySGd5KuhwzU_VjrdzZ``cu#VMLLve!-UKebYD)M6qriKBEUO(IFF%1&R2Gbvq7 zr?ej@Y%LGl%ma8Dj?3HhLV9{kj-|p3-u{;6JE1(iuUT_DES|A>JyWtrPb*6$9WmBb zQh{A}3|qxcCC_hMsf-Yz{vmukT|2jnx%Y>@4`pn$^~?M3xZ>Q&w(Vt)Y;Nh65K7H9 zH|!4fOmyr#a#|`&aBrDynJV=?v>Voh<1$LQ7qLA?I18d{bp)>lL6Lk3Gt0qjXMaoxV3}_Ne0@7{mT0}n z0{uH#YHB_Ed5kK$JR;3pfUmL1E?VX-)U~Xc1kYQJ8yBSYb zso115{uW~`IxOqeNfM?H#H$!!;l2OJ!PZb75d3ER5ZK0W_hko*eY=N122wBW`}>jJuT8~)dTfA_a|hn#NhdCGN6K-Wtu=htoeIooc*{cdh6E}M!T zKFD!+V37`KAYAvz9H`W}o*pV)nZ?=P2Yq;Dn&|I-qJYQ+vG-z$uF#mRP58^MOfV?6 zpN_U)eTbY-amH)u_uv}elB^t;S}Gi3qkDF-E=v;J6H5-N%TAuA?i0ilLaw&C^TT+p zs<=O5zgiT&*4BF{z~YIq%{}x4CG%4p?ttF5x(vIub*J0b@kd`h^XukjWC|@3b#k}s z;jVHS-#Bd9gEeFS!`3$wH+NjDBINrSwin#MnfOinY+`pH#&b8deEvJ__o`mk^@p7| zP_2MZ)(qb5y-s3BX=~NuB_GUsj#_YM9aFJg$q}IJT~fD##W^wh*u&(*+wIti;~Tn? z6LCV3MMfb|+&hVH%>82irB&UI;o(WnB>#AJjoSf`JK%pqHKjI$40dj#Zgq^WpXQJ1 zb9nD0|BHwCJ!(c1aXwDjyVM?Ai^1t#VW}$#V1FveT(@p(evcmhmsr;x5AU7R9}H*v z9>K^_lisWG3^4ci{ONgNBL1W$w>aDQWR?!_P~uDEmis)NeER*)cu3bZv4iPa91-0! z;dZ+N)^0$Cu%a876Zutg4N!)Rg?0Z<@5I8gT10g)X(kQe zx&8W>bSJ6JHGe+d;j-DXF}pdw@l`Nkr6cGaHsrqy~mi~ z=`A{X2${isXcY4?XZ=(pyPsT*wnw{@VAEA@Fl?lnH!oj7*Z zWjPfp^C~^3^MONa?(uq_u{R{>8F}J;Ua5L=SHHXNXW2R{whV=^+C0jLrSh%Ea_{45^b_jp2pL=XxBKjlwFzTdDZq}99 z_~;3M*3x7eT6!mwn*i_nnUOnI+gHi0n7A8VrZRWGAbbJ58-#%u?yGf?&MJDTMt+Lk z&1t@^f-G|G5$-21)x)l|fgoDmY_`6wC3V3cpL9*T{WI#O;qA-2Bc;-@c#sQy88noi z&M0=5J0<+Sw6=Ovf4lzu(w_?UPX& zvHB2@JsD8qJr+$36nbVxFHWpq|9FnCN{6$^S~+e=n5D@Wx*Uc_{7@iy__6^|soj;n z2NgVzwYy|>7$gxm+Xu@&Uo%JGI&I)7IpDgtH>}ZG)j?@cH?J$#F@PNiIU;x&3Gb7s z_KirG

{{%xUuR*4SSTBZ4Hd5mQtmIPFJ zpu#pg%D5bi(uMwyYnZwU0lDT5X!%S zd$4i-INv;eQ*b-r+hS%2-!XOZ{cRV|;mSXLrz@=<;H$KMVH9KA5s7lsqPr@BofCtQ zEe75s({}`IXP?n}>=R1!z+PR5WJV&kjsH)i^;y!VPtkxPd~V@^U}b;>E|eW-&pveE z6K+YTYk+<8+8#&No_%2Nf7aUv?Auyz#`T=xfuHIr*-O(U9Wt&{`HD)B?s$+ z%&5QGY;4~@5T3%wzE}9d7}oeOKNW0ly0Wi)*xh@}^a$@=0vYVt zbIoP7lU)Y=RmdFhm5!~5gY?qYrN1PSM3rCsHD7>oOF@p$XJ%yG`C-wu!xd%cw2)rd zJUe|(55b9IpkeqALvQXlSchPiP$#IufnEE5^GDvab!582g^uC=Z$1CzXLH4cd-~1* z=$VNr;&gs-T@P&8pM}6D-?-!Vu8;zO!`7JwsVZVt{F-1)lgtM3BOtyT^N%%S+~_#z zFATErpVJHx#6_BeC&K!LD$Ea&c>Qf0bF=R1!}G{XYb=gV2Y`1ZX(MMWNEaLd4o;og zUljym4&u*1c^tb-D90ilnci#M`HOrNImayn^BDKzsw*F5rbv{MZs9YI6M}MaLay0q zC(a$7dxUrIL2iZBI^AWtPvYcHph+Ilnv8~MaBd!LebZzZozU;G~ z2Nh9;Gy?QkE!GlGs5Fr=YGM#ehF1|8h9&U^Lj>r^fMrU%2Gk$egkNbxz)1C96O6k*bj63Go6eMu{;d zDiM!?(jYO#Q^Dou-mqZ#(53beXS|f4%<|LU!+K;)p6Szrxa~QKfQ3(ktp%_~^jSu< zEp&@U>2;}CLD+&m=D@hJ1oCtq@~*l0>e zDci6kqd=JT0A~!sAdxZ|Xc*wAU{aM~GNP)o49b#QiVS%_&7-?Nz!V^nUIYk9RK_)@ z#nHktQam~#!14Vd`zo-Hsx87*q8UsiGZU@UD)JN!+kaO@#Ep&h4IQC=*11HsVJQz; zNm@Km4dTo8S~>s524RrkAFvRlOW8*n<3w^Z%KC^Gf1$oL_5m$HTzeRkM5rw$jf3W^ z|AtZH)U&mb%8Q)PufsBh15Um-RPA>%!28rfMmD0i13vP~9^HFY8Cl7G6l$xX3#p7@u{gld(b z2o)!}zBK9>{3zMPqe_Dz(8uz3SfpAR#$c}dsnDScQshOKnp8(9y@Sy#c-~wBS0NsS z7Lj7Spy?PQtn>c3c(I=mcRPaNFg`RG(XwMJFPR){#VSWNP|J`^`5IYDbbQgGwdP-S zmx!yxqn121nc#IaV*;!t7s(nzliyKvSe4q+*;2=Z0J?xPv1%=`!n=Vv256I|l6o`) zI(bSuJXqx_)sVl7D9dmVlzB1XmJ8kT28pe zVDl@~K32Rf^?@~{YOw+j0%n}V?TiAF5#~4dM}v8zlaRZB0YxVKErg-s3zu;f0V#S| z#*b_(eoAOD%N{hfq*8Ux24)5D6yN3J_rS!p6+wkD2KtA4P_gkj7H>Fd zQ##2nMe#x6^ksZLRA3W>TxL*V8u%GAV`%%9B2qEWaCxKpsVA2szCrCh}?g z%ZkirR5l>q00^`7L5~HDdoHp#+?c*#`I)K3ZVM#&GmOe*RQ>s`vj;`;_h_7&Zf?3L zJ}x*Q!U;);ilYSq$I+;{Ezqh>MSK*!rp6IcvNO7tqa$cdnL|5Q21dz4}IvmMw>LIzr;lKe|ttx5g6KV&Y< z(I`tOCGJeA6+IX8l~#hjsZmyi*(q{@ol#tlDq4F0MRf zGEA-~KV7Aj9^;ohf?>eEI`cSAv3t{&%G{qiL-Nc8DdH)uQvs+BoO}6~Y7_N`6wet0 zeVeMK+m@gRbxTvn;hpfsN40+X95R52^D z!fGN3B1ZYC!M|1s9c2P?TeMAymq)lNO4P53RwyG^&gi!IG zizBZ@T+)L9TLTKUVxXInX=G18z$(^ewxYdp(l2Na9+<8pyfLMxZ+9e&lL-t}#3+VM z!j5zInY5s(Ok|Z<-;PGY-Wtft(^>?L;tixg@;4ByLd1G=$fpEF5G}xtd`!E__(Spw z$Qw)aHbrCi+&Di!)e@AWA*zByu6KOjkOiHeu~>ehg1IXugE5-|V?< z>Y&^~z!h0+s7th)#ZFE61&sr`f}SfmK=#Xe&y|6R)BO?Wh?)x^w#Jnx7Mwel9+d1y z^YE_R=P5#@sL`Sseivql8zbgd%P|Kclcznsw5X=SEGs@G;W4PhOGFu<#i<6$MPnXP z#j6Kdr$@J;qlGbh1^N5bC)Fi{{HY*B65vN^#nIcjh#otk3tsS9qQHeiT=945r{Q8eiZ+6qWC=YoTOS2P-X zUenRKzixPW>7MBX8>9aeL7Uh0CuD_DyDu1uJC|L*UH{}Rm&I7OZ{@%Izvy~l{{P%m zOpN2vZ%Y}RE1?e^HUoB4#cU*$Yiz5;C~SySF0Os$fc^hb47Iks>^K2{_>G}rOm~5R zVRp4XZqEK8vCIlm^UJ(q-X#hYk~b_jYsnQ@0sK~0Quq>BkFcK6YWnzO!Aod*eDJ{YucmhEH15H5yA*f@zanudK*=Jj z@IIJSQkChmS|c8RInNUtZcmHxUhe^~ffjfToqF=%Nfyf9uvJz_7tLvjZn6-oE-tGw zB(Pl9u)%UpdFNZIE0@q_6}Iw$8~v-a_f%b@_S9%h<^c{2%XrZM~hUl(bw z-{?Z~a;SeJ!BfiO+I?U*LFrMk&LlGSB7S~_CCFnC;#2r@0eT^8FSpd?Q+1%RZQGQT zlrJsPDDUs4_nmsvAJQ+n_?t#_#+QM0eY2x03wq1AFjuSe}SsJz!zS?LdSl08tJ=ei~RHS`1eV(~Dyi{4tYUnX;sxk*` zg@NrgNoIP}ymW0Y_7q;%$)1Krb=a~H>NK~2PF5aNhn>-`UVJ@Mb^i+P$<)SOb#9HT zS1FyVh%TiWuF(WEyw``f6I$}zfS0*m$FS?I_5E5DC)T)N1=tl8=H8cx5z#DfYKe2) zg}^yf9LWmN9A9sTiFVJOSq(zH%a3sCbI@qdcghI#4qnhP3_)RX@f@lA`k@YVm@SO2 znWTA>u@QS0F0vYU!njnpMm2-+v+1qNKO9;2ZYE>6U{o%Z6{M@kG-eADyW1alkX$aI zWY$a#v#Hg0*fmgTrw^lAuj>9$8K+5Px3Wmh@X!i`*uUxh3K?rOPM+x6b0%N?ci@vj zLq@-VVKK*QnY!_0dU+zB?*!ACh4avL%a6L*{L)}&bKv-|#PUbgOSM_2dWNJnlKLgu zihXGfAX4nxjuI7qtzi{t;fpy$jCxSpi$*(-2nGT^}XczsW(VhWXjkByKNT{U2I{14^7mFIw+5 z{c=pBKc1wQAMdZYuRL2zC=|JnIwBYfY)%<1u>)iHJ|PZzOqNwxdcHraG72=_z4dTmB!zLQlGvq<5nJ>C}Z|w8n)o{MHkXpPPEm;NXVXGOZ8<1_0 z4*;%qMr1V;k|TE$@T*|4JT&biql!N3Fh}&y4JsglYr$fx3SDn%V(~L+rPUvz-jv#_ zudMHvbv`|ju)3S;c!adDjAWxKRT_UK$?NJcJ@v`Fz@FZE8)+p9IJWjqYh8M@Y4}Th zqH_N5=++9*w7tD6VUJCguNyCbJwE_hs&8MqT>nLK;NVEmH@0G3)V8x^yv5jK&by!W zoC=eAfxvF6Wh>N zG1Y1UejC>+EKu&tiwCB)^ockO`X|6HNsO2lrn}P5=hSRB?Q3q1!nz}7`g}TePSx;X zpS}FP7ysnxGbgJIPwP#%3KD;ktiD^SBc~?z3-)pol^}Q2G2$_&asT+ws8zw_(CJD5 z@ld4Z_jBwZ-2h%GiPWua0{7T%VAk&D$71lsKdWqCWR+MyQA#rty<23fi0AgDmf~K7 zqxk~%OwadNsaAJ}Mz)da0?C%>!`T5Hh4&O}g{OA15$;Rnl@pNVW70U!=>Qn-n8MTK zqF#6vJ^j+uxX8&NB}Q?813GaQvqxuVOxj`S{Z?{pb49|oIA=l#K<<5`|i zBCap(0PAnR()k1JO{eZ3Mcp$O62?kynO?L9lS!elNFv`upEyCU+=&(o?y5aHy->FM z_(QL_ADZ#ZYR}n9tK8Y)uIk8aJukxC)W1V{xdA4K1fc!C%I>U{A@kb zKRb#@W$tI@&&ucT-Tmf#bzSr%)VhtZnoyoUywmNSO?YFU5h4a?lH3hA67Zt4{d%l9Op-^vK z6~VRpH#6JZH4hRZW`E@Rdqivy>6_l9)B$Wxr@`Xwul&{d=X4-5+m5MHC{=u?*|3$7 zlc*emRb$4~w-f3+mr6T=%=QK1afk46G z^y+vlOYCDprzbjFDHI=4O$prn60^dxPHN;67vK9r!WZLmFXpv|O3ow7V?CIQx)3N>}TqKlV|O#nXZ-l(ugE zbUOat;_}Uoh1@Ld#443OU%l&my@a1+o)>iH6zAKblB^womOhypP6lKH>dd`aS(3CA zoO5J5%m!7d-W)fX+hR|XE_!<0kCQ*Yn6N?h>Q_niILZ47!h#WUMeoRe@e7A3C`6zj z5gHS1i#pI6vJ;x4923S94GIbCkUK^C#k3&~xg+i(3KkgBVek+)Jc{&-DW>~Mej>i% zlIThS!-Ha7cv^lUVlJo?@!ADL7k?#&UzIf;1-*mof&r!6eN*{q;;j+z%lvta* z5IG>={^N=xp#95JG|-)8b^IIbUSZEafTLn@B-hjX9brvV$XED8tCNEeuWYq~N-fi6 znMI{Wr`+EXDS+`z!-oy}`TcwgP`L5lxdCTdOXCR|si$CJ5ny~J1sC8=UE9FU-X?~Vps!Idbi0Vg%H=yGNfXb^KWkz;C`+w9c*#DqFy zy|a03HzJ7=zFL@4<`U6r!*=Ni#k4+5jAOx=sBz_}P9+pGcuue4si!bfGQ*JuR^?rQ z#y@+vUzr_YVGh89NG}*7Yzyc#M3#D(OQ4=eE#-N9?PBDWh-+cp_4y0mIMA&cRTrQS zMOyU(1}>>g!)O#`?w*~}Hi4U>yq2RfQA8S*_z!3d4|E(C2J(Yr@B44|gKT&Ef`?2k zq=>Zv*5^q#{G0bRxkjI4oPrhfQotu*xFh>zA17cu`9@c6;VMVJ*_Mr1lH8n>T zKU0fHCozBTuA7A^<_#?;QOtuf1rU%m5Rlg{{tFPmPan>Yee=JdKjS~#ITSpWcC_hdkFOtA z3-Cpy57NbvlGKbWRorK-70Y+`dTge_VEzz>Ee#W2BFQ^-dKAp%NJ7X+d<^p!ew^!n zQGNqW5+MA>{=XRZpPFxtioWxA6?KM0p@BLD-vw9B(aAS0nvATkoHH;dHH>2)6ZB=` zyT@A!5Itw}#ia?w4R(kBi^Ku+|5tYe4?{aE_tNBEw|0*%H2Qo7NKv(?7Sht6=^tEA z7eOp_Cu=g$Bs>BeVmh5*|KaKX3?VqUv>$vw8yt`y1RTPE1t<`cknj(B)yNn@udlQP zuTEf2<~sWL*!0*&*aB+4h1Cx(F<#h(4PWSQD;S^Dd;BA0GZd&Akea$0=&mmixGA`~ zDNt1(kSWSXbUI^D2J#Onp6<8dAdon*lRM*j9FeyyC2>9bP3mTN(elKxsL00)Hnzrk zrWv!k=Z5BlaMgf)!uqj?TS$u*F1(SF`qIFkAjB(8dISjtYb$npY-}wEHx&O-@Q2?& zKwBVlQ$OdxHv@SUl0)cfUt)&bOl`z zcjyQ7bEn;lh{{aiiD9+Y_L!z+x98>W(IPdisNe2$!b8kEWJEW38}2(XPCvHMEWOn= z9qpa2BE04<600*vjyW#Q7ua4Gk$B2mJbksM?n+bE8?1WP z3*t3+L*e`HJwJZU?6kb(wYLtT2VmkmdT-%(6O}{XZ3St?HoIF~(uxs(X1kC*wcx&- z(xGiQxoqTo=}Elj?wB-8a++{&+<#)bOuwf!<2zU}^DI+D8{aaux1A?+@qB#`A6rj! zlZ@nSR#pwcpgk^$JFaFXgvx57kCiewM#ONiX7F6kPx`!B4?Xm19X3f_ zyFJGvF!mpEeMD6He7g76_Xdpcbjl@2uRdi0)e7@BMLn;w02 zScg?NC!TFO9CD)6H+(iqYFF2dtgWoxo14CHw8m*oCSU>f-dG?aD~|(R}$ng*xE-cu|1vBdDtUH$|er>?gde|y#{y@uHxu(Hrm9Y^OxtzEv{1? zcMkIR#VxyKv9}l2L@rg;cPT_0!ckqWhubA{5|7wc5^7H=tl3&L2|2onR+-4B;yg{$ z6X0%~`&v#r&hXRsG1@1~W_a>V8sFPe4A>dTm2SVhYdyjC0%JQ?>@>DFW}icQZ3Vy9 zURT^IE1e4a9`GZKWN3z=jIEI#3kKh+_DY89ZI*fk#+U6LQX+Aq=nQl-( zzxBS_`te?+{?kSWjJ@qD$;awUhn@uvx^$(={3CBa2Kj8k^a)+5G2r3d(Y9~>dco)5 zVc6?ax!JE&IF$MoMhsNSLy5kMj??PuwCxJwGaVuV$HBbzSW5bQ)c4Z8{j6f!SJUDs~$1;Vuq= zd*3^2t{Q6c0NOXLT|=!eF_v~FgySy@ccop-I})6IHakV)V07F?INORBp98)|be%4w zY_lG8wYfT3PsUz9x=Ie%UPz~xKb<}fG7E1$N}gow3U-=HG;KZ_o?7j+c79f(?fQ4x zOSHQ`ww?eyz`0_jk8;`pJ3bRl3qepvg8@!<_X|rl(Op*7nuaY)nF}4;MhtFhH-Gzo zhnvaJIl2-zUDPZ(z9Svre5{$sSbJ@A43h&^ym%Up4H%ciV?0tab}l$0R**BS$RwRD9nO z=}}_1{62VkyGL7CUtalGY?%ln&Z2WMAgYUw)PJ!eoN>+9g>vtF61qC3VJ93yGb&`) z5YUF-NJdD_%e{^C)Nbk5rXiPpjB10KFcey%Tt4%Z7bRc=lJ#cw%i7p*q_r3u7Cb+S zbE#cctUN6}FTI3f6RolHh}5Mq4A_r9Qq_cl%`He4F1fq1L$j~r?!O>oD&D)Fdp4P#i2V!A&F8tkpAitYVKs3uXqD98-~9(vHz zT(fVK(q7SAJ+qQw&ls8tD#?-{uLskOh-S%k{v&6~{bUx(oZZM5{4>qv zT8sZg!iC57vB)5t^LO!ggsO(A&xlmRG2&-lGHx66#bJ#Y!twcM`<2+LKVhv;xwi0~ zu=T$}8l|n#J~_CX57r0+%GpIwrWfSDFv=Ax6=c39X<=D(u2R~!`vh#Q`qTczgV<|L z8ZauqU@*A)NmC>IE9G4rJ~FFkZ)TW%z2UEJ<5e-0KnsNA*>^*!rXt z155+*Pl#PcXJQp_J!9$UlfUaPbw5LAqEOHtq~=PSY{G{Na25+zALqfkRyg0D|HvTWz`=jgnk zZrzUv?>tMSHhQ04W|^g|Am&PoHnt@D)uv3lpaRJ3;y6(f%2fpZ;2QT)`n66`bh~7~GR35G zr4zuHSLUFxaaFOz9~?fgnjiGPS{VB)9WB6xMuJ0{+3#uR_2~BC+F}W|#jJ5&BZ|f^ z%2B1;^GByiYPQzo$EuWodH@e*MQ?W@bq?qmyvKAiU3f<3(UjbVf#mH30H>?u|C=^6 zG*2;^nkbu45X$yERN6fSUKd3{DW$fxT7aRX1`4PL_Qjw_hsrD*wEaw7Oj$85AuHk( z1}AKDFz7wj*Kc;{>(5Ka)p5n5vsiw?xrLn^&a9KiT2Vt-Jb=CiRiOmE7OCUA$3|u~ zJ-%G`l?ZTRvTJ6CWeSu!!Fb<`ZO$V+`25G7=WoCS|D2gBpIR7_Rt|e_e|nM9hVWqg z*fV4|U|ixef;bC7RE8seLRDu$?9yK7)nRB@nHWqWO)h~b2!rrC*`eG>`1&hpcIq-f zOoGMnGj6-5n}Z7yqXJc>GDw!f?oZ+_O0{ZFky?xSzTWEGH`1Pu8wwU<;=oBbDQt@l z61FCEGlB;Hunnj99AxU0l%dwEwKc0NA*XY*?!vwqssQufOjA?=k-TtAy&3^tO{N&P zY?b_yqOHze{khHb8cYa2bJPrJ)Vv)V84W%?Df_6pWs0|%X?Us4&QG{7=~sidE4Ppx zEd3)I@IeNc2QoPUqkN!6cdELz@U1<%NW+X8X9wI36yn4Q9=368eL{3Xg+J8lzgPfw zh9Uoy9~sX~7vo$lU5$jC+{~ed8;L(gIuc3bR)^q7oVm80WkyV2UZq+!R1!#G_jiGUp{?OtaK{pBpm4rKi$AEKL=^?dlJ=Xo}4F6N3 zG1;7yWf9tjiRA3oNTvI0Bo5}7ynX>d(!m??OepA6cpo=mW^+W~xZEf%b%<4lsEjT- znE`r=JVP$}wpsHj71p!)!D@ZKHuXv|2^CKf(_RTnW&JP|9MS$b75hlTGl42ohP||j zxp)#pv^= zs4cva(leUwu^Ptrq;Nqh4H?P)$%-zYiHN^-2)Jw1$t|oIs7$*q?B%K@DC0}YgO$y! zvP@v^lzD1q#Wz0fc4m13vZT7cy8S{yLt&U@h1$L2zOa+#CCdoTx{Nv&9@S7bc6v(V zpQ0siAWsQnYB`wdbs|rY@yM<3Zh_$< zqI8c|HExgg4M$c{S{M4d=fVWN8A_FD%2iE_9Ucc+-mEf>H_Cg}Hy%v>&8x!`sdx*6 z?|{Dr!h;d9Ta%%*Sx6f^{@fkz9#&=>o;^-!JB2RVQ{rb9qawL=t_3y>)L06;^4zeH*C`rB_SthiRkm8TKYMIcHkh{YLtK{= z`v{NWh_Goz8_Q$Jy&Pf^F}ES^&6eEIc+-#_P90SJH08-1HsCg+(BtuQ#OH1}iVkH+ z3PjqY8B>=bNuLw}NS_?#V%)cP#WZx-vc+;j*=WqG&IN|a#kH!nKuzP?W~nSR}!K$`KUbvu@Nqvz2YNN|!!~+WGcy&+HYg zzrDasEcDqbZy9Z`74gM`?10K%Nfo|kt#Mr5Uo@*Bt3GC&Co%IX>iNAd^WvctPyVgi z-7~Lwn{cK#(`ItL;>mi0g@^oQKo6**aClPpkJzaK^Ps+{wiV)ROWR@TwR8Ls0O4A@ z?E>gr02#tikkxv9r_Ls<6RiShenQ07>pc>^@N(jW&KAbYbMK(rm3E)H**zZvqhY>4w(l=6Hg=Wb%sZxOpTG?e1~_>uQ{q|E`6 z)23l17MGnc_km$1=HcU zyzdwZUEjN`g&qsrq+G9Tcgr)<<+B7{j}N!5%mF{LY zeipk*4Yrci?KSr6DO*cwJqK~SjGZ~1nPm$oF#n*iT|JtU4i=GR4;oS7meCz7Ki2A) zf*Z%RpVzQb55H=;;at2ums>XaH(e$5gpVYrc3*POFUhZ{U#c139y{LgKap?md-N`q z*l4f5!pSZ~sv55KdP({IHdyve9G|EbB)855?qm$44yIX=^yI;!(_p|A03?e`!r zpf_yiv?3S1wV7zs+T$sXSMKMTz7`t83Jk^ z{Hl5Gs`Vb1;S)g)d`T4eJMqz0&bKShwNKz+YTcmOw>#gikj+&*e{FTkF!Cf#aNt{G>WVV0zeXKT( z^G0&c_W(iO_g=G&YJ~D>cGJ;gbp*OYD{LUQ$K*Z*XrW9r_?Bup4bK>&P0erJ(P&kd z*p`2;=(76I@QE%-+F{jCbk-F{;zWl-j32L%weyCJ*U4>cNlyVqrc}3HKi%Yqc8hxW z3Vw-olXk2=dhL66(T0n1Zvqx$*4`?397jE=K+;~8E@SQX#|Qz;eXpFkur9p`(MjJt zA)I~ek(?#t^F09v#(<|Xxo{6^wn112b{ykXZo>j$vexn+0G)(l8~_x9e&-m;y>=Hp6-f_nvK(gj@yZo zy|ZO!ej_b+aGTw)BP)I3uVLFql9$h4_BM_OFS7&peb}++F0^WSc5uJ1-{>-p^s&3u zt*<*8U3fNa6T}$k07UlqdaZ*GRd*=9NZ+&0Td`N5wP4(c);k~AQ2;Aq4-}t&-G`C9 z(@X#!bMy5s77UdC1Cl^(zyFfP+bxOz^hb&d=sf=^2jyRWNE&{SjSaY8Dd5~as)%u* z<5A+s|FHTI_x&HouUPzgePPrJgW&Mo@IUZuJuk*%&ga=thIV|NzCV1U`j)PUNxtgB zCzj0D2Lsy$1u3)p4!6KQ&VS@TA6=)H$i{L#6ZItib;k)2N+0c)XmH;dyTN=wTGuQ| z{O+Em3&a$v_ubXO?)(kT-c!wGS##Z=+lar{l2SY$I(R+`8-)708lis3U3O|~RGAfO z^7W+74K!|j@4xt6?q^M4JAmGXQ-9%M;R06IbR+GMcj~vFv|%fq|F!*Jvt>ip<+p58 z^79vBo7}5R@OQhv#15^_-O7p7~~g?>+# z{71!fthanxGw`vhN*Pk6RH;>}pDI`Xi1I~F`#|#_+E;($0{+6rW5;1(^SSNl0XyzL zt{mrd_ds_1#wi*uC6S^ z?EH?*+4HH+OB0&<_I_)iyd4bzKwH$gsB^tiO^;2d?bSLgG@Zd93F<&sLaYpN$MdDZ zOYa|#j%KrPaH-gz?y!B*!?x9@M8IZeu4rAe*at_W^nP4Z%(w>Xm#Ukh*zT*2va7U7 z$I)HS^>~LBc~esG_{!Dtk4G-}W{YU}cGL3BzJ%Yz-bkf79<_umlXKwZq?si~qs!jW zT2oSegF3qj$k}OaM60#cvo|wRm6?E=$8VD7ZT$fs;ig}we!8wQSOx55 zZHE0HOb$weEk^ zD$Poj!KalfrAnz%s#GdfN|jQj^r-+K`sim{sUnX(N0+*tzDa2!|L7jq-?OJ#f9v%A zT6sp&Mf%tOzIXQB?>E~w9nN|0yuR|&?UBh{C8rYU?fxQBbL)|y`ZN<_b57heLh(*> zTxmgI;-6JA4}`8=z$3PCGg}c01I{{F8+3Vhta{&4?nghsr=vn~B>mE@>`Luf1!;{7 zD@?_m44N{qmI1YYYw9}7V4*hE4(%43L<4FIAXC{=^Lqi6j5xH+!}@JY2D|Ajpfm+- zL&RkQj)SgNMI91g&`_ov^$@6XuX&eD>-yVt;(T)^FN+|xKwC(36UNPtYHmhi#f?u z1B{?i2+}pD<^T@biU~!Y5M9iw4??QCC9El?v0!N%%7)Le<0nSnRjP4f)3iB@5ChdaER1NWhX`~5%X0PnwqePy=e>kZ78>jtaH=0P<2L2# zx1wJq?n@*|cm9=``=u zEWQB^#tB_oQ0T!xV8X&fkGcNU!p?5J!s2Jkg-2v1DeZcTHtD;5I0IH#VAmqxddL8p z7P=dWX$B{N55)w_O_jo37{aHD+piqqafHpx-;$v<8A+~-+h z8wFjM`y|{|nov+&kS2^;fr~(yI@UhkG)o%noHV%p;!CnL6z_0uid+E>sLovD<_8A5 zlCW?9<_^g_e~$2$XNn`Dtc(oF2(Q6Tq^x1e0f9k&y74Y*>hl-P3fDk~Uja zStTV;fFKA8R={}?eq8qv%d8xcAbOD02#PK>`Zo*wzqz% zme|sKOy@w>*+y)G8aD_QUIRk|;}XI+OJL$_ZsmKZZ-^+6sjB70ixdgj<9^CG0m9`A z0$Uy8l1u@brJ%yK?81Zwg+6AdG~htZ-7}~@#3=ZASwq`L*I(n8@#4M~&=oUKyV4#E zKVr$bGxv=7Fc+MN#4?Z=&8jQ9IL#n=LVnF}J2)JUn%I&Xve>`3g$!<-AA^t|)NdFZ zao?fKoOq=y<>NTP0Zt}^K_|zVagL1i1e)`TEi96|mdUJIYL2-?SmDuS6J4)Vd>sl; zaV9DZE>4W3fWx!i8}l6)HWoON?qzUa)FK0mhatfUTY@~SRGYyl^K3a7C~;!K1<3(0 z6LhNp83QEOqLb)M9;_*n6JQ?S;HbEChuc*vX@H6-6HIhL6j+*&=&S>f`qdoCl~f{! zr+|~6g&fGD`hz}rJ+8p~iKZNkQ5{vbF~S5Xlx9wI1dD8vhxkz*gM)-Y4yh?(>z6QK z)pfe^Un~JX(`@7Hq&&#AV|ojT0#FN-Esq>i;@6clrYwrWFt4D)P2g_1?<*#M0GIA( zEV?9N)KeIxb-7h+W1E=Fl69yG)+YxyT|4QmY79x zltXC<9t&iDf6-vdj^CyX6Ek#$6j%b0BB9d&MuN@#E+90LM7VE6U@j?OgtB{bS0sg>k}f%%8rQZ-0rVljD?_1P zG%pjG#gC|Ab-wd3e%~Z438&C!gv#4gK{LQZLJ3P0*!Lgseu<-w1vT?D8Za3e zLSYqHTonoBbZF98mrCR$L=8o;DH!8yVY%sLj|^_tY{shu)TBV6EQ4{=Bn6i4+E7N* z;-yNQ#=a2yPR&xfJ`n*6)0AsA{L?9oeQhErv z6uLb>V9`!ebZFEwHn{T;R6S7Bim`)Rf#Q3O#iB!!Q7t`T>~~CxEyLV0IJv?WwYDQn z(E*ZRvs~m&cdN99nhpe`o)z*~8Bn~)VCU4#)JKOws+67kzI;qI5FTjL3Zx4*#JE`I^r)o~x22j(t04smW~o)zMA%FnZ3t#CE057MqI@t^ zl#>>>W*y-=5rj8oD;n?_U2o6k2jZ+09tK)rr5HjoW=vRglO$Y6;SED) zJ0iNCrq2+z2k>iUa?7^EiL%M4Y9Ay#fh&=6LkW=sY&Mi4OHH(ME9k@04c2w^^tGw| zdUYPI&`^Wo&Qu>*Hk|D1l=F4eVJwAE-T|rrH6p3`a&%Z`jyNsolVrA3Qwo1&=0Cj> z$!?CRvATK=pTX#?Ft1_loLi(}LjhPrXFLI%p`tR1Y!X>YSaeF-1b*eb1$bpi1Ow}K zSueI?J9Z7tA^90}_)PtwZXfyjWcHimL=}*YKkqdpwD3bWu%aU72x5v-eG;{zZM}o# zDNzRy6rhm-*I98<)%9Qyzs!I|e%{5Dz47y0pV-5FVjUX!JK|4%<5~jtM z5MM`(V?kp{a2k!kaAhF+vNJ~@7gb)BTWQd38CyK~-l87Ee889r6h>|72>J(Dsqh3$ z5p#|=&D*ugG?e!Ns{&Bf5~|tZ%5V{Fq){7?f5*T58*;gd52gD!3r#%2N)gdaw?v7U zMuq(W%4hJfk^Ht&RLDQ`QW#-sFT^rQszDKnRT5!THieiwu)2-XaTyWcR?rJ_w+1-& z+-Oi*!bLo`OJx?1Or><;6c%Qau$3NY4HanBC|G}m4Yw&%=0O;W$yudmNu3boq@gqi z8+;Lv^M}CWCM*SAqUE+?6xt^aaGIn+4)ZN3^Ky*kMYTa^q-$@Vv|QVG9Z*xyG-`@x>j8bte%# z7nAgqYC8?`FypA6%^H>uoRpMicPVMbO`yuvqT@lY<}5eN^AwD&0Du`~ov|VLo{P{5 zjB_mLDk=8XdoCME+N#gyi8e|qD0O~Ax8-6*97#H~mvU5@1V|eg3f@&=nrY*H)McYvkkfg_1S64A_PC;m81gwY*P#`X=4Xj(yCuz70 zFJ6uq&1wu_0Lf7*0+a=QmM6fo^qtm_n5Z1aF!3&xGDE*S>Tp6Rkx-qr0|mo0EKIbD zlj5lgZ7K~d&{QOWOcUBLbl?;gl#w@RHEymxf&dO8Nn8pNBHol63*@N9gPMnC2hmya zw~fIHvQmW?GkNe*o$?DhNfMS~0}5&|MGlEwUpW(T#t`K*G3A0TN!RZL5*jh#zu0~U zNmObdh^WTKvtXbrq_K0MEXXLYkO4zDxQPg-NjVLvS`8|qk}y`S92|nt$%6J&86*wx5qzX^XJIuzAk)`7Id&E(S zIE@7zB!w#3^H)MaGy>sO%)yv5Ux%^?c9;d7lB|mw80DB@)wxiNZ(=YA`SH7m%ORo^ zOwSHT2|R^aM!OmfsbfN3}8qi7CMm)VVMR1NvH~YH)ryQxfVf#A%u&YC z>wQUXd00W`NnwF6(OH^@v^}k+Wwo@HZUFQY#)&~^Bx?+!QlB_AptaHL#92xZ@Ut3p zmBwXuQHrH!NmIf})K8170g`2ocMRsmxy` zc{rkTwEQH1=#6N5E|Pskr9eIc$U{_`=yZfFNtq^C8$($04BCrsC zfrmA4%qRn{xeBbeWY5DTv7nwLLqv4qmnd$lzc8jLHVr5k0f@a|_#x)au%rG{Bpi?% z*{+UA#8a_#5~j%lbezQ$4l{}7MKfS2oUb${<0q6oZ7W(Yx=dvYB_vkIr>+%Yvog2I z>WJa+ctBqg3(RsJSf^~+x-1^M4~hZxDx|QcB1uEbs**1*A;x>AFac{F_=5!q(KVZb{8BnaGP^3r>Lq$soWn|h}kf(P@yLZ2|=&S*E zv{ysZs1OyckAv^rjZ7d1krC+pt5_BO5(kE z4B@cc2jKkbx=Hr(FYyTXVdza45ho0|3~XU7J1NhQ-^sy@A471T;t^-nNJW)UrAnz% zs#GdfN|jTkC-^GWnx86FPnA`Il`5r5sZy#`xPPu+E+%|_KG!kU#b@IOQ_aa?J~Sbj z{7e49j>?a3H1xTca{#N`e4eabSlOC&{_f8j#&_jjxuv5noqu(Y96I2>v~Rx_KyYOb zOYd6`mCeT%X6%u>>}kC9ZceUCj&Y#SP1_F1bWp|H$7Xeb=5bqZ#Z}JMWP0+Oj(|y5IJ!FD(Pp&tkmD zg~?~JuMHV9|Mhd`pNE95P%@&9}uSjWNDMIL8V{cqP! z$82N&!X3$>rVLG@Y?tk?M*rAbV24|{I@#Fc_U%p`L|m}bv*hE2_wuwRT}{6ITB0m= z-w)yS`DBoT8ik_{UM))^JW8tKX-xx)=X(@TQ`G*VW51q-6TjU>XQP+t~8j zP;>e}dTcQFcUHPlf{%Pg>0EfZy4%j4nn-K!9kbeYjbqUIGCexmyEmWxo(I44&&KB{ zdwl+`o)fB`08hdco~C`uhOPg*wWPY9ynU4UW>19A!@lAkJ@@IFWcGL8Va(RE-NZ%B z4rAu1owmt}PrGixGR=JUr{woxyos0HlQrLJ)3I&XY#Q93820cl4}1P$@gI+WVAx!H z_Z?8vcKz@jW7f1^Z!2?=4YMt+X^?mx?+U{T?JJUP6>&NjU z&zlhuFfYD3z4zPq8Orv*v!6UPV}8&E0BHcSfP|1hWC>Bg-dU>x2q20#pr925qL8wJ zWCaQe1fU|7127^pAtIrncYr6Tj164jR#5?>6cZ>+Y!7D)ctO@sJL=UpP)D6vU6bO( z2OF+xY|p?RnRsoX4h+6n6qF)FLWuI)^(fj9=$t4_NWR(OY zJ~d*YdGR&R?<@_7S>zCqS_U78P8`n7FcB@)jtc&ukbTV)(BAe{T2o~=zy*7Qk%M__ zi-}N=vH_wA9}~o2)6n{40m>2W2@wOLs=a@PL^L4PqC|L`;iA#HQSktcW#j^6C3Z=I z#>@uJF$*OrLmf>wM@uh7Q#}>qE>T4+)m#O2|5V6hydGq2o$Y|VG0Rpr1lO-GXmIUr z$Z6r!;@sg_VqoLt%9PgfUgLRYF?B0u3YUgXyH5QMy-E45)|h+qGT*tYcB3KJTd!g~ zR3II)*OY8)Zjx_&s^<|5@^Mw@TM(8NYCXd#Qym4kwffYK>J9U~cKt(!+5QJ_idcED zH?QVTYN{%{Q*)>-W7`}~K7a5h`ytue_$Lactwq0rPAWmqb_9v9=q%S+WSQCwNr8uB zmIiOtp<@6sDkN2F?ybQGM+Ys)^+JZq#Ou1l=tvoUK|U1cbRQc_KJ(8zz2;b3+YgH^7>Dvk=(qvzOdYCkG4~k?bzlX|U=rggM#C49R;cS439K z=$v_bihcL^zydl)_K$zW`7QN7`<=G^WOcW5@!$e&QyDAb+9QL21mlykss;1zQupj^ z35&xJ<}a#SLAo)nTc?87=~~YsjJP&zH(onAJUZE8yt|%uo?ai{pIF)uYUIX65zG!zB>6%8dFG%dN=m%hs`bzrEj z8LL}aUtO@|8?k3)YiVw6;5yBH3(?EFNZSa&(LQGFx3C`@mLOJ6%!jwX+lQ&-e_=e} zl~$yQGIhu}>sR}r=jFT(ZY8w(inr^XnO?ThEe}!^e*E&L!Fh|90ugork4Nu;^;6N> zjyLpY@sd!rhT9vQ6Jg5{U}YVE>e8GgE7g@EI=uvP$jrxAMK16GngOtp;*xYs#BE8< z2n}tz+qfS~JL>#J`T9T}u&J|lM@z`g1ISx_0f2JmwM z#Ij#1D)#H}(i4LB6QKtgo(sO15*hTS&3cSIlmtzxeTxRNkZ)ATQv!FR=L_$S$zAW}MOvpBXkX z_Cl8xL)#2{=g+a{b-{Wp-WS^j3)wd46Q1mynL9OtPyCi*`p&W=BZ=aFPJ6pgzVM@} zMPMrT`V5c}a*Po;Y^!hrq9cS|N64UfIJzA&+l~y*oQ#;*8QFwNGGerANT8jAM!g1$ zo)pUu=Rd+mjFnd~mcqRbWzj|Vp_ApW)#`h8dPt_lIZQ>StmnHqQee{z-GgMUS|3@S zCovbkq8EXa}hi+FlcChs}`8VmtAkqG_Wl#s@03S59!>8Oa28RI)s zx5;S|36Z#1rbJ~o+EI6+%qB3?^E-3k-91j~R2v01XiNW^t?(wZ@V-s*Jb`l=ALNA5knQw;5j1lZqUXLCZNbR^1%G-MD2hTqavF*Jhtb?mGmrN7n_f&G}0-T-d*5mc)OGc!s! za$TdTM|xT_%V{OtSDbM!CzGVuV_BH#CGXW;APB%4Q)Ou&JPO4s8^L({&{5@*mX`dR zA6|uS6h5oe%E1dqiCVA6YArFGrq;WUmjE|+NZ}A zZG99MPgJh@%fNd)Tx!MxXs6NG+|U?Gq>8s`nNPx zEgiu9)87Q$mlPR;JMJNLuX5z!A>=}hQF9b_1SQJgZ`WetsazP4XKBX*3 zfOEpv?81OcOmjk%t9J9@V{(SKE3)8@o_xF`^{AO;6ds=#Y9v&C=tZ za&uOK^ifa|3!R;{naqV(KAF%_Dy#5>;#!2~MtxMHtZk3hgqM@5+heneZmTgTY7(Ch zSHP~cCxsp}53;_Z(kk1>qt#r084cA6Sa*}TJ2wwh*re1dX?Dsvb~@8ynfPh^~l%nLe4`!ekDqBFQTU0!k7eux|p{8mZ$5nD<`=G1ULIn*s!4&qr zP>?=jZv^ z%!_sgUze3uN!GcO_0^%QJP)=04fwR;vZP@AV-8C@?xIwVG}_;xo@GqSd&s%=0Co1H zt*`ll*lD^m<4l~iRvn%>wE1=LtYrvzd=YuidnPgcK;taMiD;f%#1m(IoPxS4H9gI*g9g?jq3k}mX1Aiky}!l4_eN+>OCjNHdt-Hp<=4xQe&7M6V|Z`KDd z@qhg)-p>7RPu-N`A%DdG@;m>ez6(@a|KeLT0pI=K(=6?x@E^}t{@FF*)PLF^PxzJD zziQ@{ey#oYrW)nHsGol?q&!>yDgXMz^WiFe{iuhPWd6_>QD5rg={bqt{lkeds-J(A zEZio2)&8C7KkCmh(g!;yJJvT_nk)Iy{)b?_A^+lY_XmHt+V|7P?BB1P*Huh^{IJ!7 zdY^v>2h`W<&7OV47{5MN_&n?r%qQ4C|19>BTmx$^GZ#naum3&Jl$d+Y50IOB~ z_65&t2lHk2u;0~xe-Oa%*RxT->IF^Uf->j7x3p+a{w^zc^ymMd_rjDtNYnaZrX`Z+ zs%L0Auk!yy;B(cpH!UN+;@Q0)8T_8=Kbp~-zCYdv(}f~PCwgJ~>^Uyc;hUdDi`t({ zo1tjBpT3twKRBA9XxbmaJx2gg5D)_Z001*IG&BGJ4^_`7LV%Fh?G$?Jpx%HZBsao| zZX6>A7fWb>-nR7Sd*tk_ERp|*{{JAL1^|eN?urZmVgZsF{e<9?kY?gH#BYe}z>TPm z*oe1*8CObqkqpWTJwZfr`~NO(*}ZQli0$ZhUQaTT2W^rz^_={|r0ceG&&e8O!S%?_ z*)=W)ek2(rjPU>f%mC4h0o*89uuya)({Aw_qGk_rBnhT={-!JplaNxO0EAPrS%_vk z-$^X1+3lhlCPGt_n$+A+#-GGbg`ccHCA;qYk!HqzHHUX$8#8y=Peb*+c`_8)voqQGT>XCU^t8WezO+jHRO_dwq1h`#KP!=6z2Q$krLWM~ zy7Vf<2=1<}wbKbfm7$r@AeL(}mN#oy>V3=B4uyKLeOil$?^EJb`|HiG^XWhTA1CTR zO#RnyI`wrq?ze5+NG(Lava^q2r7x3_qV)no?IW`48&q?zg1FaqV`S)IvkHh6a^g1$ zhSYyvpRp2?VG#sqNU~&YHb1gTLbXW`R@+D6U1eCx_0+{>^fDZRtpCV@YQ+@XBD?>|0*x ztkXLsJn+Bhkx>+{bm?3+cNT)($ar)?8=EuGOI0Xde8Z&(P9v}_*p*?YXef$*u7|Sk zQ&ZTfA?;yyDv`R&i=?+LkRSuY!GYs0Z)?BF>=beIDDvoIrB@_kI`1u%s2R}&)mtce z(LOd2O5qK$SqbuXh$?7wv(kMKp_bL{yv*~30iI9b?iy+A@IZ5%dfQaSRa!nY^c3lF zHPSH~9CDFqCXsHJkOu@rJTpW|;3j6^_yz13@40gpyR;jLN zr=Vem!4bwFU6!@BY>BJxl}o;u(#j=@8b1haX0T=0ENRp|TxpCoE91kom1M-os#$4g zqg1U+IA(?*%E*#egm<}Q7C2*={C$MUakm%ODuUTu*WNXfX)AS68lxrav|R@6qa|tP zj{QkXo2BZD8lB6J#c~K51(76ylg7Snsx%nakoW(t&?XN2+?c5}(nT86$ZO94WZaO!oe#nSFxNsZQ* z+@I|2>8geP(&qgYCUe7baC*x^_A)IQ|J=4#$4C=4m+0WiANTPL?eCS(^{`1G+33lf7b}2*q{Vg4;&De9>>uvwF19pzQ zzYp*d65S{e1dusCK_yc$U@>)gj*PG4Mlb<~QfEZObw@so4dmYIOV^=DYyGs#u8=&{F3&6dEbLF zX zVNbGqxpi=$sI`rAGUc!&+e5N!pNP+uW`;(lZ=c#bWhwHBd~D?)NuArLa^G6r>c$WU zt)T{xt63-%>(obQ%yHeq-hw z)1+%qXIn?rQT$rXf<% zE?Py1!g;d?Lw+50EU`#jHYrlKeqE{%)()BpUdM#*j$9eG`PspixdlG-!a!yGWmbXa zO-MP;lOk}t^RN=``*n1;9!TIyJDLCbx_>K3-~tyb0Ay`Jr-5jm-y zpQHap5U=4`GNoNhPVB2&_oIKw6n%jgV#`)a-gEoz z(2=^=X)Cha7jKpP>pQw^Wjwn(y!LE1WdkRc6*AAQ_b<;YzVP6)ui6HNNp;s{4$W78 zFDnwITn$ur&XftEtr(cjW1Nw>we6{G#cjId7E`(5@0=u7IUP3dGE;pbKp1>m4NvE> zZZ(^#0j^H#d?R!xx%#C2kPvwe9i98$$2VQpgi=;_5_2r?$u;rW{BwE-uqHV&xW14n zMGNrb94W-yhLz)9i5z8BOxDEzhJX=^Z5#N77{)&Mm1MgaVB3^Q8mj9{T(&Q?n;cw( zq|X*Zq04dcdQe)|xh=VcIjXg1K@#f7pLwxI<~h4xHqsO2*)*M*kSh5^W!6~V;E<_H zWwOhom_hwT`K2E@M7IAVuS|6*#lH6mhhmFHk=FItyd`oh%l#tHB%Eky}{y0=VrTn?GKWmGc_W2bj>iZCzBUOn=I4hUVib@b||pH-~#5d3M0-`9$X@ffvk5(KC_bGKKz@$#N^prHZ$ z|6WIb-+q60zn;fy?BxDS(CYSR3qpM;54$F%dHm;h(1yF!|Gxut&-kNjwAJtLAM5?; z^;cf|_A`8G#l{!|EH&5fVY^JZ!@C+S3YeW5OihJu5+9*O&TywCHl{{pje zwI`kbEN_L2ujw36&%?~UwUZL7+zW&I(AD;)qrp>qd*^!1XFDk|DojuPjc1bZ?My(!3#q(G@IouW3ZpqTt!iaXHm#MZWXk@He?K*eSa`{%%R!D0ysnj zuwMC^-?l&fn(3{^z#AL-5#gYw#VcJmx-Kvmb*J~LG4=y3v~N|lgm(M%5AyCsJ_4a8 zm@pYXSeP&KjR4aFTfDaqJ6Tl?fSXqQDSccFxfZ9zpL6#i+yDaRbBVCgF8|rn(qSmJPXhOye<_INPy-A4l@-f z7hb~Mo#1mdpqkeNgsSNdxVF`w=)>#bKTY8je`(~NPfbh7 zmw7i9GODxlJbGg9M~A~F*?0q9m@_^K?#+TkRvJNI8b?=buU80}SMbJiLHZ{P?%+id zSycdzrar4*jL-nVb7(;UDDqH^bFIdcV89$3P{5jhKA*qZD>PunCSk^BP!gVg(NrzB zxq1kXU{L<*18d7mh7|7&O6Ke3(DK?n(BD|H!`)cQeS+yo0p0ttZa|=XJ2m@$JfhK0Ue{scn(u#?=or-D{;q3Rbd{Df}*-3P%te1hQfEf;6t zRj;64JG-@`B~;+HZ@UOqE#V~hZf3kyb^!=lS)1^^YF#@ktte;jSLaz#TRG4cxeEYx z?8OF){4RlYVsj^gpBQ+Jd@d11mlLZ`T4sxS$^-d=Nj}w4{0(Q-77nFluPCjIB#f2X zqDlh2!J*_9)VO?CidS*}cFk_6r>v;2+!Ai6dtiYSsCELbUZgP?qG}qqprC!M%2wBg zvr36`u4Yyg2$hd638-d8Yo)5&c3Bm5)sbwinlP)zAiW zLV#czS$-jPMS{9oR{q&Q<@k2NuPA#McUfCLiju19_(c|jH?L)MTkn8;bB~XD)wYa$ zC7>;^3wQhvA(*eLFHSeu6kcyQc~)#|rw{e!KiF?xuq05C zfnDEE)ME9%%N6~UdFt)?=O#PM@ zO=5UO*~8!L2Vx<5XM|$WKpB2rgM!i17H(b8f<7~YtOAI)pPNwVyBz*D=>h?pFmk}UgEM7Z7m-MQ~^Ab?L72e z+e#lOP>W$W&c*Q3n~}xtRlZ;tISx5ZQNXB)1OtBDB|j^FOQw0?apLwB1Pi+Ld zVq_(Ecx}7hQm5&U&TtPa6DN}jwP$cWCSRx)!MUR40Lgr62dA`JsZ1|oAOXCX{}Gk0 zE2#RWgKZQcW=2ilhxvRi@pB%?2g%vxE57PW`ED_2JZn%O&A+yzqkyNEloXVmN2`%q zAgFOLw2R;+vNzJYxVWnJ{``WD9pJPd=LRolFuvjA3nAL}Q^qI>Pd~yhtcLI_jteMY zPCi{0_IhW$8aex7-+q2?Z$FBoOZZsp#cTVXb0ma7qc6< zs?i7dFh?B}o1l1(E|mJ1LN8BFB#iT*qKC8N5*9{!psO4kP{4wAJ8pY1|4ptMYCr*V z+CjJpi|0ZD=%Wd^J~War&V!2{%#M~=8Y=*>b9g}k3EJ$qfyEJ|+^S~=6fmG2j+tXb0maT(QB4R*f)# zfI01;+ypCj^8!^P47gy5(?;wK-n;0tmb`?pg401!lDMN|M_00l$gk8*dPi-Hs1g=fOEp4-<3wS^2k z45A{a5#gxHcS-*ORm$^E+{BK!K6i0G@RiuswsG4UcMVFPY-`*xj-iI~kh^kYjT08i zlDkVd@2EhG003qNh-eDHk-ndq?V(-$Pol$?m&aM)CV8?vR_rL z9(!VU-_iQ`pPqfVJ5Q_a+^-+}%cXVZeR_W$Q!oCouea~`dh4&8=HB}9W1rssop=59 zOJCpk^mF>T`R|=q_S-+Z9npQa-_GsUt#!h_Kf4$QEj@Qe@cAE5S6{hP>e+eq?%c1X z-7`oHY4jcWZT$t0{_*Xo$#;P6zCc~C`i+mQ)s^w9zg$E}XmpWuOO9qBue$=vbTk_m0{ z1p>_pQX?vfbLpJ=uil$WU+Bb@NmmsoPNMxQh2b`030~3O_YsjWILRSi>X}zt-*q#n z-&afb=+BT}S6nAKqZQ^BYYa)c^?O)IAw%T7U>OPnV%-CxX)w_)^Y`^zI(q!Bl6UBL za<3~+n?zlsNYX<`cz}UbXsx`qNQ!pCrzKsrZ=%2Ewe?#ySAJb_oaBjCMmMCpRFIg1 z!?=XQa>El!Lntmv7}$WNzk%KNdb?D8Iad`YO`@*RB%*wPkwZL(q%{vIA-9DLADzaS_f zQm-pcpF~E(n{~`~Xk}#>l|y(v&|7oHeRvU|)_>R~3~V94uLw>OMk~!t*SL~&8!kYp z0U>ZE2Oj4&b}}M@JpPe8?7XQP_W^vTZpCquFjWpLBZS^S0uMhV*zqf+&b_!Gox_j+NshgYmgzAFytD!RpnjJ%A&C@c7OUQecu}@} zLU*D0-EjC?j}M$Ab;s@1>SZG=;lw7wQCM46AvkFS#}dk|s+9nkvYj&*)1Uh2e_ra^ zT>5w3Hd5=xQqSmad6Qw$%F%<&mY2^v@VQkm|kbjSLc?E3FG{U7|cQ z<#L;_Qr0-@Nb09SP^k4+K8D3O2?5e$XNmO$M8DMHu6&KL6!)XlH= zbk~k;1Y1?dds)Du%qXzQOYc@=ZJ{L7BEQQ~$D{MS9{VHqQ*4Lz=Rvq^LV!x>pC!CA z2a(eYzHU!a{kebjSNE^1=eBC<5&KEiBWa2dOY7Uoec~ka@AiuS)PCb^vK#1716r-v4$XedHpNB^1kk^-nNdS67FaS0U0Ek$H+kRlA zY}NN%>Z#P?L18HXHoF*G6tyCUZ;6y4(v_w{``(XPWbABhp5=khHBiNv*-VOpHb*BK z*uEO@-z3^Ycjg@~Wk2lB*v2cKh{nkr89B0;KCEMmu@MKKFo8cinn1{AVNApO$I`^G&IcsLEcN%lIHJc z0x2F{qj*JYL$N}D7G5PnYSVVF7ICu=C6>b&_`gjHZq=4(gPV3bVL|P9 z*fol`>b}=-JD1cg5jOSv6Q`%s_D*zY0xaYfoI>-};pKl4?5*2(|Le#9>)GpoAiPiJpSlhl&J_3L{$?p|uOMo{;pN74*&6b;KKe zdTZ70AiuAOP7+5eChO=pbKd}~?6VP2>8_V0PO^2sSF|T7qZNV|FZ0*uZg>^@Nw#Rk zPm{N6+^cVA>X&(QKixXdJi{z@6eauJZlC%-O9DOvq}7%GLcp=Vf?Hd>rr?wzs?$yo zw#lGY*{RE@Y)F1yql6lHhi)f7le)?k#YvNBYjjDvJaAtvy1G;=ij#}c8cnP?wBUH% z|8Bk&#YvNBgTrI=?pKNmB=xl7;7QP7^z>glMv|5_6#6FP_En;RL*@gHy=Zn9(;NK# z^1eUXvW6>8o<#oWNmmCh8S{!RB-OOyrb+x%OUSd!^ZyeMTaJ(V{g=ff=b(vW@h?Sr zrS+K3ew=VoY?ImmbwG;0-yA8z-;dn?h=Pby6WpEk>n8s_{Nq?4P3o5V=m}JaIToYA zT4i`*zWNbJ?4o+dbX&S0&enpmsb88diJaNp31^H*9FvaXB`z`b?VTNe?vKN0i;(<> zSJYw?dTg4i3=*eMsW5Sl=le;H_|g=^{ZyBnw>%-9_xF%KHW&3Dra}wEi_xhc(wlFq ztE;IGCL|1;IcQcpeTCI-FCZHXBAaraMsXFV=B&SGUBB;d)w63v#R^nx3KzhRUNKwu zU?CrrS|}Y2Ak)@zV)Ao~*K4O@G3Vshw)SEoPvqBfoJ1>snw>?bK4L(4=dRZ+t!tZg z>5G>FP*DGLRG0MtfTPQgGyiTz+busW|NF18%i(tHZ;vqK;|QPfuEk;c>i03jSHSZ0 zASEhwIj*2}2QZMq>=9o_;L1ya7j~Z7`)^=W(X&StNzU9sUHpwJQM|e%YpYr^T2pDIFMpN`9H09 z;TJ`{CQhexUqZ0=&8)#Kq7;wHhubKAH?LDdT$&+-&RXP}PRO6Enh!rjliuMX z>h44 zQt5(kK^GhcD|<&)mI;zcbE>iQ>ay7Y!jyN57r0iQJ;hLlzOhT!r0F*M-Dn zqICnMQpS#aEP%AB34(p%yeM0iF5s{{E)HEcKoV7rPQnaHyR{TA{rj9jLmAC{U;r^8 zMT_ve>_rtpILR3hw;f#a<2@AxX{_2UP7o6%%$Gc!PTu_5k{>SVlNvo=B)&+-i4o>; zNWl!c44H1dG_#BYHlmHNLf3_jee%nZ;|wv1#=+T~ROWx+>q<~IGf5hS&Hwr&9i-@n zI0<7jUqD$NcQ=p&!dUJngLL*<+hHm`0kR-k? z95+B8f453i4vm)c*GN4l&2g@?kISr5WPlt^Z)mqp07FIG4liv0#W;MNWu)4Gyw0*A*IM!*uwG5CeJiu=8hu3-J5{A zAh~IXF1m4z*D#e$3el8$Eekep0v#g3iCmDK=3BxH9*5T~b6r9P;g9*=fxdW4Z`yQ*)%Xc}$aO`@}x+DApiX zP=kCEGa5k%&bp}*4kd6#=fdPHp<=CMQ2Z8k!lL9|rL;u<>mi&Bw*}Ir2w23`lmHK!eU>pN+PbzAaIe4-LM93R6%7`wPLG37w*plf3BSomBEs5uMa{+_;fW84Z?3;yed9wk4 z95`R30Q}i8kGSHQD%_)n%2|A%CuA6AJLPz?v`^>R7;oXc!Y)?%6O8O*g!}1uEs=>ca4^VDUe`80C0t ziKA>YjGsw<(jXs7#Fw3Y&S@;h?kOXV=LJ{M3}o{G6GA_Ort(1!phGD!ZoZyxzT;W+ zZI|g<=j9!#6!9aQ|2RXRUg3Z;%Rz;nz&s1FZ89(E!Uc5&XRKY<$?4G9d6;&cMo{^_ zrDz_dX3e5rx5Z9A=cx2)+v<7P05L_tX}@A{dRW6DbTRecD;<#xjw9@c|2c?C8h) zHd#E$o-mq7RFE%iUAV3tHSX2fYj1sT#E~2u7HPb0uv{Ddpi>~dikdrVwjcYPc#j9g zGqadd9TbWziWK-dHTjW=Rn34?m+*1Coo4YV04VR9oIuZzLc+ef`Es>3B~)Sc%1I;Fg3mC9pGQsM?Hoc#_Y<DYvAJ;Oqi@|)aF*@Hc)f*3zL02`|BA1GfhAV~Ydh|%IhVo# zG8}4lOfM)$LHR2X7k_bpGYx?*G(?fUphq4nL*8;cM`Gtu%18muSUpr@X1V}u`GJA+lbbt(*T2Nqf z$`c$`JYF|@=3}?~QFDjlP-Jl10-uO3Pu5LxlQ(+MFeiFvpom~F5;)NTGHz<%wg9*a zX@9+(Njcdv6j?fAS`pYhNZ3NB#u7sCcJGCyB}~DsWwY$vmZilY@j%9)EjR$JTWPmY zC~{9L=BytmT7%$4b;uyQ1-EbZTe;uay19g0>}$&J-dmI^hQWysyt!gUg$*=7teVU_ ziKMRY;ef%gYzP?x*%~kJxy4KqQDnjpPE-!(I=Kc;9z^xNphO-XcLs$yJYrnjHN={& zG^Os-_8@P3u$F-oOCV!%ua3f~`JH314q4RGwGmJg5)2~Lb_foo?2m=`gEFN4MERX}bX}e6$}0-=z`=Mc2n2N{zel-^GJ_LkAlS_%P;4_YoMf6l=F&LNVSk!gX>j5o z#za)bu;20UQX*kN5R7^6q(9ook;HV#;8+%mnt`S_Sv7J%_Zp!fn1P}qA+NgDqq0bH z=KM#`R75+y;uUlT7ckftG2M8B6J;PHkc~I9LLR^j6p;BMbywb<3UMS*VnukTvr}Y$ zqcIt%^J<%)W_u=soO7E=RAltD42V5Z?-jM$ub|>1N@oKn0tU12`vm z!r*X+7}SF9i~^mA%;3Zs$Y5yu$}YT+yN0$XT?8{M698(S?3_pg86YhjF$nolLg2jgOPB{+_^&dXXDF? zYRp{Xe|nd2A_Za_K2X3WZ9<-{MBh%076KNyp<^dd;^|`f#+9+boo2zAaKTo^2#RtP z`rQNObw0EaWH%?~&n;=6XZ6&7dZsmvz=n*aswKa1c19_n5a?@$|=Fi>v^xvF*v(Z!}TvnVfi8_r9 z3<(fwP3cp&2pc$CLe~^2S}M*S#V}2Js`y>La#PoSn=wITTvnVfiN?iet&iPaI*lIs zan{pFX?0BvInodc%u@%nE)SqdOB`YQ1zUOi9ladCPWsG77gn0AqE6IiL995|O%i?$ zBpAa`1gavVo%Q9Y+@$Lfh8I-3>vOWkoc$XRH0(P!f!RuG9)5oLyveL-v?jHDn)7h* zM2%+hl8*1q2=!P~{jrI2bdd`~C*WdTZn#c2H9@iT-yO^zQ#mV0ak4X<;_SgN7 z=RdC``N7K^ufg`hE3DBlsUHPZT0rObz6K#LtPS5X29zhL-$UM44HXKb4%ODrq}L!U-mZ@+gnf6 zl%gCVe}lI7XalJe+SGOgB&>OA>&&xK|C>h1jEdaG-<(XzZtlksB+c#y`!TwCM89qd zv;+#|C-V<>?Io@)jl3gD< zZ?ubfWa)4_IxsARL|Z&$_E0X}nVm8C3Y6eUw-+7sS^uZA=5alxrot5{DWqj3WBKSu zfi^8D(p+>bAa#-SU&NHIa7u=ntK|~3Y!p#@Xt*43Qsp@cxvwtEvGwVFdW605?X0~4 zyR8?;;5%bO1#vzc9#v6~UU_BW1hJGE>x!(r^=&tJRpcW3-g6%X_^9#Bc70RRm4R4^S+% zf?;b$u3c13U%lPg?}Bcco4*^9eOmgPadw|K@|l0gEaMmslXG9SSC$<~tte{mz&EG; zG3aM6R0N^!xaJxIc$OTc{`Z$1QZyVXWQ+aa$!qxLs$~84?1{oK^v8moCXr44Z?2&h z5~jkjYAc-8BuW*aYR>A8kbSYxL>J{ZJQ0|-AZk2@N#&O-cqXxxYSo?@P)V4O74FLaIhA2 zemvTMUXCZ{6|Gr}o*Y%qDch|CKaR^h6#*`~pk01tqiv;S%V-Oks6c+c?T%^?+`4iS zzgfK{(makuSjLTaNm0w;Av0*?U~5AmwrFVNq@do;6GbMwZ#-905qx_Q)XPQD3rViw zfVu&o3Qb_6*j;N5Im(OX>pa)7I(&Zuf;}^c@DkuB4q3~K+&zM$)a$ZJOlC`^E23ZD zJgr-b1Sbmq(trRq*jr#>LW3<`EK43dx@uI;v|b=7l>Em85=`+XNNs06%J92?mi{C6 z=)QU{pWGGozuhjDxCaG{EKNqOo#SS(xKEYPHjhtUHA=TuyrMTdWFP6IwN0FX4D42k z%N)A8(X~9hbWs?TKpBP282#u{yc}70OIDo9wSu@$C9bro3u>K~zwhcQ(H*Zmx4uWKhTxq!!iEuKA8zLXVKQ9vm24k4RqTwXa3n=x@KO{<)v_Uz z#1-6?fXu6Ye*+EhqQIYhQB57UUUmK*JEFU%G5d?UGW^w7YwQ32S68cR|MFK~t+mFb zfBR}z>#g#AU;b*Vb*=C6#i||t)wnexzFJ|Ozy4~gHS7HIS6{8I{Nlyc5dEuXEB4=4 z>(=?_ufAH@`dR+2>K)$FVxLuchpZYr{ME?eXGZQntFjNOXg?g)$l_;B216A&h^!hy z{ME?fXGR{ctC)z@v`ko9WHldNk*bj~d1%prsXhwlGG9ynd)=(8rD;+H>P)1hb zsYOw$qHG1D#RaO!Z9vu8t*9Em6;&g+0%~Mepp6^~RFUO@s`6Y=HKr>SO(j@bw4f?% zS2|i(pqhMnDl%SBHO?!lMtTL+$ge;ec@?N5^8!`mzMyLCS5u9r#zl(@REq&rM*|D0 zkzj!}vMf+W9tEn%gh17~u%{Z|l!_LVrz7L?ROFnVYP?BQjTH+=iwjhd7qF^m6E(D_ zJha$6Rr#l<8i$fqBgg{LVgl9V$ewCUN-A1epjtejI!swpjg87hi^@}xk9n#xQcpEb zDyc?F<<-ckJQ{fgtBa~rLz~J)i^@}xoq4MNQ|0>r01yxa00jU;LqH<{0A5uqrM{|a z?UHWs#tmWL-4^7_Ck7bBIUw+B8?_RDMMAm~*dQq_+j?<+)t&zUMurMzWB|ax0Br=T zxx0Mjsr24c4m-*C-T5rZdmCrl-}(e0f!2Pt0cC__ zc(FITBqX)#J`72rzOhW1906*imbDBrgdwD|RVPUMIlH`{&*Yy#yZ``3=8B960PhdC zZ|~dfw>SG{F79rbW8;=qWvjBkMwu-ZYpPt!B_#h`R9M-KSwMtyk~oV9NrWT-fdE1V zK}aZ)05}2MPwr=QqP!FFznGc{6Vx zIKcSpGFkPp;6QDJfz$|;l#%0=NCiTj3^hK<2ojTWh^3HFN)&BdXEZw>atFNj^oesu+00BPky=J-b`&AOgpib|Bn-&NR0)Qe5RuUa zvLr^cD;WZc2ofZ?5Q%KCDVPf=6be#=D2)g_Gm%LeiNoyB7J^A(HQlfXW`tBi6opYk z2$F0rJ7gM0ri&ybvl&97Bqm=Mvs7Z`w zms?65jzEwE;!^)O6K!mQ8v$Q98^KfvnJ2CkgqmSg;0i;VzmzWKp{3Cl5QzYzQG`q* z6VnNgFl=|CB$kpuVIYc-q@6XMB3ToezJ!Xz$jo%2AZkcf#{`oqk@kd5;L1YJtnNOgE0);Z@5g=hojzuI%2FgWFAfDi&mKQICGI;uYB!5eA+ZB$ByA zqZW{aj>v!;9pl`YVW1cQ&V@)Lqt^(HM*jpZrC@Yo-Hc;~nEXxjMWBcP&JcA|hoa9c zLWS{ZJsBYrv@i@9DSEbXi%={88R~@o(dN13aJ*S~g>5t`DTf8K^$s_1+ag=8cgBq1~%A(0sbiYdr4nSc)n!2}`8XIh*w;Ufa>s~)@p zX`*B@fiwz?WipvnM?T22o7sQ_$)t6wabgCEkcjk|j@O96Cff}wt0oEmNO??Ekh*+Z z&OOaCl0Z=fnJ2{VZjvEnMa?0eCCX)WQHb*MGFm!w7!gQ35-db&I-qsKATr|?%C~;B{A<2mPC?bY^y^3_)1YIc(NgnIn>b%`S*pp&_u+pPE?E%O(Yvo@;*=rM@a8(pCy_MF;0|5tYkE2g|P|05|Z3v zWH*7S3#+bclHGy;BRzmZB(6c#3!9`0O_5L-otYCf!QCa&nf#kv^cE2XEA%n&@hNd5 zQsB2?WH*6Q3U`NW0%8>8v%)&4>Px74#Vi*7kr$AIGHwF$6G@O6O`0H}yyTxRhgUF< z&`6rlU9*!EBe)5EO)DY-H5Dc?*g64~-t8C|AsWI;_(H635|v00carf{&o<5-Zmg3i8CfJVH!L@kE4~U|<-4OcWyKN6Lj=yd*=@ zy?za-UN@omd8NxSGMPY>0QslN2-P7EXI&D7v>(94CDJ>bV9r*OKxP*xr9mRYiB%6q z(gj;nzv0nGoHs~pb+v`A4m6K&4C!UF;>+&_? zRSZeoQn3c%5FiuZc45kO!b$C?r!wywsZDgz&CIWY*OQm*q1nqk z&Tl5G@v(L{ugpQC-?f5Szd1mQo;;Mi-iFtOu&#=XSbn_PkIZ8xvfeC&Oc?$4NiU*y zJ29~cqwy3WiDU?fGq<%?2atxAqF2&vpbtCVK}>AdWu)Y=fL%%^GFS?l(a#qaSRnwC z1i_4Wbu%N-f@h&#;%LbiQY;wZGGvKk%1KuxLg=8uj5lfuKBV;0I%N!+7$os43bgo8 zA_vLIJUB`jA!ZBBR8!T^)0ro|Gr2RaQS#Dq5`QPcb;vL%DE^bvFkE+`l#EPf#x#k= zB`z^!=p8xd{vb_)WS#BJe~5@MH&u$dGDApMl{`;W6XvAJpQTX_k)4-kl-5n_8v;?LKa51OgAph4htQlHB?oq zvrKA$&lO|j8feDwMS_J^?m+#4i4#r50t6%k-X)ND_vH6!jB?TueNvsyv$yS8AQ3nnr_uJXzs zYfgaHT7Czit}1uLFAVp2QcBO>g$tERy?Pfel&JIQUAs`B&hB>yqOR#(h_FZlNn_wO^_ha9o)h z+};ON=_i|ok%HR!AG@L#Lm`Hw>y~R76HE%Aizykr0Y!zTm(vtGsc=8=%FeBHWi?58 z$PvQc7w=SIJ6A?-l`C`ZpotaDmAe2lk3-kL?mo{`JLW4nx6zfw2boKCxmj*LJZ4(5 z^j>>rR-{;b_RFW;*%=w0`MTFsvb}To+B35Q?Vz;-nt|+-LE6YL5i$l=QYu%%B+dNK z9MF0Mpf(Dr&LP{TOtGcene3^oW;#2}z%#F`ZCb`#s+c#R&Irs(fmymbT3Q+37SWU< zzPqxP`n1cX$})8a%ivJS(uYbFTUe>3q=T}ksoH0jF0PcqxRNRRCrVuxX3SsL7Pe3z zS}>&A7EU2TPL+i5`Uxa1_X4al-a+e_){={yi4@L$hYm_fPPb@>b z0r9EQ*b*Dd@XC$OPQYVe`qL?VVC=#c2viyx*3xUeZw#c1KjQNeZ~FNC1p#WU(miUx zu=*ye40?rACo(O1q>HLFaMTLAsv0#wSL$V`mh*LQA%4^BaCJ}`tTL9v#=h^Tk~amK z9%<)NPb5^`<$4v6YbFstjL;!9YVanur;zny!hyyq-UjK>VQujv1BD?eK>?*u4wN(| zVGWkE9P=gNv@fNa9daSS`MMHf6=X@iT|AYbGM5(EZQo3P;mRK#y+o{R7~#)__MSU- z6jsdL$Tpn!#J-9t0#v9?Et^|31+<}>s-2`zT3@r;hN~ehg;I4zhOWR!@ejmIE4pn= zB?fOoghrU5o%pMEQSwLxwgeK1W}{2V@0?wDJg!`&{EFsdZcEBXu7BfAIku$*Qh%!W z1yTN5)h%Mn)t}Pabo7Q2R1Lb5`8WVQG;nf7H*K=IhdtN6l7bED5J8EhkQuc=l9QL<;Jx z*{I61Sl$+xp4#@4bLU6|SG(Zoan+W;(@e}&lGog5EhY0mpBXEE;{%MQOy0EWsu`{0 ziyk(P(5kqj=UJ^Bqwt4%Dg_K95jWlg!Yt|jre!ipfD?gWra?P{1xxZ(gsgO9CZF*K zxc{GCiC>ZK?{3RVAVF$`21*&NR8w6lZ>G;u9qh3SuJkWz%LIWZ>!v(2N0w6~gFiP% zJwz$zpIJ>Bf~}=?7KdI>w8%VxS$F^RcQ=tbFLRz}wN*KXYs6Ck=2D?G)y+8fgIO6{ zRl0-5C*pXl+21Z(_DnIULyZ!ty1qc3KR*btAFQ2!|4mU=Pm$h57gL|iiTCxNGG{2v zuV#=r2BcZ0{f$bDr4#q|Xhh_PD@({IaD$6Riz#QnmX9{ZYSm>k({cvfH&WMUPEKuK z>Xdpd)sQ?XXM^tOOgDb=GNsqgCW+b0`S{M)$K_QuM_cn|a$#zhlux5)KUICzOBNmV z=VR*mDbf>+)m94Dwu(Ytg3Ei7g?g)Fo!Qvlbgpa`?c_e9%(cnAH+*BDgKv3J0(sUy zx{J{S-gcq>YveT^wB2qyX@=4*N~%&?P-b`4qI5BXD_SX3%13Alz9znJubO26PDy@V zq*u;H^q@cxzP2}ef0cl1?C;-&m(Ipb_2q(P?Bzg{=^KO-&y?+W@Jo5NbiFhBJt+C_ zt?tS+3gJSXSQ2v6Tjlz@c-i54FIS1U~ys|$Dc z?DvV~l>?9po$kG@D0OhTd`vrhO@@QG^LHz?4~fbtD1 zQ2)MR=8Ibm)nL81kn%E0&sKhvGh=#K0I{i`OUN?9qMTl@WE3RSvHj(K`LWNmUc(-n zig}zVONQij!450CLF;$WMjXbXop<$igiz|62G8vayw>0Q`l(5$>1p8}`omW_dI?yf z)xau-wwlnU42e$Nr|TDqE^N%=zo%E)2DZy>e#~OY)q(EsDxinU2g@+<58eP~izuFq z3(*{;C6`K9#z|oCUv#DS?OPSyC#jZeY92(kyRoAdQya2@WEZwGyL=YS8`yL|0AHeC?;lWX{x_{T#j+$yD+5+-;{^j@T@mNOi%M21Gg+Ev-gOTyI z=KgqhUDn(s+%&{1CCDtOK4HG9N-eg9Dxb9n$ge9K0*iBbS6yPq+ZI&r;kD@ntG-tI zOKLh@ir-xtwV3(^hGZt`AEuEUhEtKGMOoe1{L6&N}$$K z>j(a79h4n+=n`H%pp7lNZ&Cn|)FE}p-6Aols%nbeyq4-&dtm%85jq;7Qt?k%$|ZS=sr!V;YHge+ys&KS{_WPD$=WED}53^!$Y^@`T z1$;ZR>J5l4DEaIgnIUKfp#QlK3s79n8#aHR(*?^<|CN@^&gCztC?cu6F4VJ)Kj(;B zZe~GKvWKz-zSHVu<+JBJ>u}|G##dQsrY0$}@}$0#<~WNF_7dGF(v})hnWc_-0S%B$ z4T!VfH!}%6>Os%S#jmgt6z!NTsiYXATIKB}&*U1p>byfwP_4twAHGVPxg%ThsO=WVg=-pkd59a#9P=ZBlL zB8_+2pXN6LbYPid9~9A~02`pjr7&QYCsp+;H!2t#iHJ7+4KE-c6NlI>rMj;+rAo(vtXq}5{( z$M{$k5m>7~Ra9{#^_Z1=3UeRX0|8wX+Ba1T43EOyb$qvKI#rEW7F8AVBmB%Vx5W-F zqhP_sY-=eojdv0ykv>)Ml*d$8pw$;MV55KSRKNRumN?HMM!>U0I6HojytjdMUZo?@ zcKBNJ=ceTw3-mhxUvPzf5PseW$g|_5H01 z#(_w~F~)AjU~d+18O8bDj4Gq zg0Dl(T!9!Ln!Q$Cz(|&NnlMY;bUM@DyN=0oDo#hkdELyw($=w!9bb>AdsLFG8rQ~8 z9j;nAgd^$t)jpm}3S+r$P_}w7`=F1beNXgPBzc{?C2((|jp%`_^a4(4;$th(7-yrj ztk`?du9U~#0P)_6;;s=Az++G;k|YS}(OgBYesYp9GMl74ra@;){9>sLt^YwU{=+oZ z!C>!t@*(iSle_C3TfIZP*X)Zjc>;BLTyx6Ol?1*d>P<=fT6FgJ4p<1G=U#Uqjc6$u zveHmNS2P8YIxkTelUeeFTtDKo-VgOE)9Atub-Zh1XM%m8TS8#{fndwKZpd(CjB}VY zJpzsl$PHxhQRWr~eK-=7`_zILc+))w^UE)6XBv!G%iWiKs1-U|M5IU$bm5}oRQ35P;q~Fu-?_8->Vb{ z0uMAx)XD}HrGfGbzZPfP&zP7C&4sF!kIeYa2{Pu^!*?EYyzaDk1pjk zhpmTrIUjj@%UlbZc0^k{L-XwLe$>yt(4Vt#elk=0C z?2et41Lad zwyY@y)zu8t6d3h65$wzxOa?S0S7V+5Bn1QwwBofPaMwWoMj&*ZL79w_7HPSBjyFT~kp2HAh`H&Ygtv(tK+KiiYjY=YVX((UP*CUCox1vIEx zaB4t=!IQg2o+gyrkT->CL(XmjyW7#9HUOL4x85)CK4xNYR}_0|(|gScX;^P~FHWZ* zy5({gR%Dm0=4c37O=rU%|K?x;|s#Bc2QowQZ+q=jL=k_qWlWo)M*&?b@y*rxGP{!Bn(ad__Pxdg*#jg(3FE;f*rK};Wlh8*!*qmdX+z*9O_yZFENq{rTfoWv1 zmY8J5kA5YQ+y$6iRrNgARUNj=uqfR&EZT!7WrU45l?Kz;$r5rsx$^Jq!vlkG%bc&h zq_^R<-C&WH^3FP$zJhhq>wjJA-*%BV8+@M?ZhJjpQek6cXs>vR|3BE*)8j0eoWIaDUdHjgK-(yY=^KEB@)Cc)hu#JR86*u zg9JCF7%y`lL`MJ}HL2Dw#v)P@Sd6{er@+`QumnWaiP!3Fek4Kp#yvJ?9T|I}R^j&P zyB2ZKc$0g@_SQCX(xh3r@kj1cg2;aW97VKmmF;Ub{ z)Nfl_btu@*?44ZhMXXEK&J^;$Uaop5ZOp=~)7)v!lddUd)d!^&H9oe{0w2>FR^l}9 zYwQ|VC_HHfq`6~;toTY{Xs*!82!dgwL7ee+5pxiCMpVp`tl1D~kEFp7SR$1E-J6>o zT+1>I4Hm*YWx`q8v@1%j!h)$CjxaR^HuY23G!}ALCr3PIuYFF_sA(ie^Hp5%Hs@AT z2)I|W&kLOk6oHTvvGy-O4wQ57NFEm59hR~$Q@HQGH%1$R+i$lDjJ}@V*n&HN`gS4q zCW2%^j!{}OxtDL0PU|1~cQw?lb?SUL{iKdgg0j&A&13ylnI7z)D(tW}xF4*n*miV~YE?(dx-Sn+~ zm|LJn!G|yA;d|$e7Cp#k^XAdRoHi#p>120ZKha(=9~28q?SZ+Hm0b+r@>2g?x6U=@ z4F=eybH8u4-4D{e(Vgz%>$EwaVR^jhPwAVpcN7|d$jBmWvjL<8fH#FL=X&~L-4&GZ zhsPJfu6Jx8R$*_R^K@UFK31(5Dm=>DAThwEao6)h7wU$jk!d{)D-uX37tP(^_loWC zJG6oKumGiG6Tgghf)idZ!;atA6lKHF;4|;CE4_u~<9OMM)c!bC^s%36YQTlIvteke zsC(AT53~<(o%j>T9}Nc1*Y-Q?(M`Odu^3C@Bo{wUE~sq?Oe+-8+Q<}M4?Bj}moC9H zDN-=us6I+#B)k>iFd(=>^q7@cy+LN#(Pa9w&_+)>;i{jL<1;ijUna95qiLCN-ybw{ z!}0#umNT) zVlO_jSQJ#9|9(K)phjY?PO*_f(w8l|W|vx?sjjpp?*zve%rHF@Z!PcFDlw*rwH{HL z+3iSeVMES%T+M|No7W;k^bZlNmc?v#r0GoEcx-}22r1iqoSZMCDQnbve;`FkoSKk~ zP@NE6HNskO)D5?Y8ES{yBN)R3T!7&$lJJtiWc-x`K@S54@mMEf%FiSYC|lrTyy4t_ ziNuK~R^%ZB+E-Oavf#S3-w}&_Auz8K&BeF+!7z=oNl9>Kxv{j77YcTH&9Ont?I#)) zBV?&`k>|0tvm*vo|Hu<8veKX2^s2ZP*@?2xvW@)-Rl6qlK$gC<9 z_8WCKLv7JKu9pbA@I5Akqqg8f&DucphGU%vpq{s}lv2<)2*d3h8b(j~3yKN48nbNm zN!1ePZt!pS3V~l1uXE(L^lxXT02m_Lw3nIO8_fbf;C-+fT42Ir^hzvnEUrs(P;MUS z(|9`=5A{HMhjD~wZg=497IgjyQm0o4z1Q6YRk+iJV+=&Yr-D7%$6bYgr6=8>d_ce; z*jp#7t>8{HKsP^Hdu@h^=x#qZTnhnObdHAFd@VnzlRYfD+tp~Q{^8pUANbytQ2#km ztj~qnql$8Frvn3}m2+`Bz_);1!ET8uRMjH2Gdw7*YGL{al~oaytDaxo67u$Ne1S|Y zRpIB7PI^;00MSpb>Fxd_j)|+79wDX=iw}j1tvpj6e$C8L373B zn=g-V_`IfuUQOwDwZHpv=AKh=R1~qu-GBv9jN?zN<_Y#ZRzb=Od;*>Mob?khk#fd8 zlZ7}h$_bE)!ApULM{?7#*izkVx$$s=hl%AkpU-{L8#wqWH-})Trfc8570L05^4vdr z4s+XO9QWbd^xY&&DTxy}Szeu2Fa`u?0n$i%<-+$?z&%0uU*f1vE?Uw` zdcga>ILnGIU)>@j&XQd7V|;x_?$mG;bEy(F=se?uz<4ik^I$YQjD_bt^0S?}O=NLp~l#wgA_H>lOJh zXc0sYwwwXT>l>%)Ay`Gla2DFi_GtHlSG(0^6Jh#G)m zN&CTjC@8WL-1N`UEL1nGuD_ymqu9pIjaW|YUI16y1(3ksbSJ;0jcM=0{$5LFZn2}( z=Fh(rk`%}}<)Nqa+llSbu@D{)>cviB>tJ?eTCTDr!Yx;+cbt+cQl#~I_Zn4UJLIj?n9}PbsUZY*C`k_{( ztOl}9!^=}8neFwX7fAg0PU9PbHGx9F)W#Iv!%E2;4V4!rVlBb>TXN=l3o@t$OdmYY zrg?@w56G&eK~@FG&W~Wr)|%BdtDffH@I*YN@Ds-vfE*TIXX3qY@@a#O&faov)d+Yj zSj9N8clh%EujmF&0~_SdX$=Tk-YI+1Ax_z1-Z2;!{z7>lt6IX%X>Xmq$#Q?w(7lcp ztyb)Z1>HfY%}v_b)CI}~-&zJ*WB+;jPS@8Z?9E~E?CP*7qUx%-+SnnK;`mWK*Len8 z#CD||j%@Df%}22;1oMR9|MP*+EjjwGTUh)q+s#!tl8>`@Z+S{}F;nyk$}4nVP(cQIxrgBpXN5TI#8x{w|xYPo}}y zxVTrDWL?^rIo;T)EK7`3j5#JP$*$3hAqSCMboYd*4wdMIc!W6N2wy77>gqv21PP)S z6(iXA+q7w4#l70#xOXz8zCZ1uGChyU?z81rz5#Q670qdwd20_+q%7r0Nqs$I!|It_e29MS*+;)Vueq&>Afr|3hxM+&&ceU(M|( zULI6mka(1J?0(Rnz!YtL2t4Q&kKw`l217V6cklez)h^KHG_hmj)XKL)B4VblIepAl zjEC*z%+Vd!zxFtDt;01hhxgVq|J3yKf8}HQ^li+(e%0&f<~Hil?Mg~YZ{uK}4FwI) zt#+egK0NiOAwtTqm{L+>Vp$4YSi`~siRKm0Q6(mvmXp{la`_F`v`lwvo4C2Wi!P$A zo34YTpIH*M9hGxQt|_Q^tzG1*fvR7X>VQ9b(la0cq2Js=CDWzOEYc%cLhPNlK|nkJ zW2+6qK5@ZF6B0dGkXTZfC8GHHK!X>ZrFL#}kfZ8@oq6sB(m-?9wM%B( z2ql-XG*9KH?^sS-B*?lM3X-0*U^a&-Lp)eIhS?T6)^dWNbLkDOq+~v>z4GC>+(MPD z0^dJ!#oQaCMN7`9=1fgKHqtA@MOmu;Bxr_|d!(+}{fvlvm!Mz>#xcbB*I2 zd7l@84(^1RP;vcl4-H2#al?rD;YENRMgMuEO^U8{mJD$(?kqs2t|&dGsL_+FUy#IB zNq*3B9Q#y157wl<-r&ukHs|$zWdWA%l7c`ctMGwMI}03Fi7zN;_3a-zbG?P_Upig+ zT*YgyZkv?8i+s@~phE(4*?*7a#qgN$^qvN>V|^BEs5&J^O^^`Bei%NtgltM0Bq8P_ zazVUBFbvm&$Ct8w?YhA@E0?emF|gqp5Zb|=%DN$>N;G3(GlXjy=83f{@Ugs!cf<6 zg$L`Owu?Ej_OPSJn>U$Aq7Ro5wOD|>9j`6VmA!w{8#IM$`}KYKU94Pb&tyr~*Y|_9 z^OdJZ_rcs~6(;J^RFt`ftI9vOOn-bPoGs};JK?YuJAf+KKePZ=_)}xf?wK=;3tM;} z34b&6<8}B}^lk^31SRC04;OHdqB!Rw+@UiCdk>tf#!fA|)xz!5R?II9RKxgMl7xh6qq?6MC380TiZ_ zwVv=C;3sJ_V%8#AaqvKK;_ECL^oJf3kLDl`IV)n)D|V*)Xx<>M zHx6qQa!YVpLU0MDoCiYXTd-f_b(N`Gq_RMaIg-|2P6DW&?f)F<_#w?&5}dv%a7U{D z51epz^yr-Y4ZZ6f&&*fAp6DZ!o|mf_?SBUwjGXf{O4ibQC;}V{@-TP(mcsw{r#cIk zgE?JyqL}xS`ArvOK$?wvkbAUqsRf`VN`Z%7G?VK*%Uj!2I3eGcl(|VW?nM8G0jacO z_ki>w%nRCT7MgjOPD~Q+ER)kMbBZDY=B|M?H7G>OAaL4=-=CVv)-UsMZu0{n!maaxEI^Kt>L(6f)P>zf5 zc}_Iu#e8x^o3jJ_AB`BmMcMw^BO3;h#QS#lAUv+;1U}}4hq@ILO7dx&3$PVQa9mz= z$ay8;Cq8l;e}w%LQlxdbrq?KYS=@41d=-iyPj0+o_fojC5-_$~2xB81i7Tm-lh4-c z;9S#Py~k|pohVW+-pqwg*i93)@{=p`Lz|)r29bR{#0K0&x!5iZ%w8JPS9dHsoLsNq zgw|BU$R|~`3u@UCntsF}#5dDN_tLYiO-YI;Q=Bd$0x!k9B9Hf|tRMaI7HM>b`Q8D? z*+Bu!>^O3u7Jp2gN zJ|wwIJCcmT-BEU~Z({Eqxh5E{kE1}>@v7H-)P7|bt&1#}i(?l4AJk86jq4k|sA-RnJK>*m}@mk_*`Dx!T%h<(yiC?LM;8y)N0mbslH z?(SQs97izph`4;iO_VbrBojk`27HoIv4tc6D&Es@oWA9MJ)&L}`4Kk!M#_hc}*ovGS^F zDk{+R)#BT25A!X#9@`0-cM%`6`3eF)$rU48!1Z4tMgwJUSnT@f&YoaGJ6Ia1-1S*v zy}iZe-t`Gjz95a)?`tBy{d?ejT#~O@8F%LG{}{-2MUE5nm~|{M=BNLSnQ5PiGrQDY zQb(UU=p4x}7>HF_dnMM!w(FW#P~uO$!TpwI`)~)`vDaU;uiSHRtaT0hx<>Br_M_1X zkNz%XzDzM#jo&Bt&SJ+R3ykT$&;-fywC|TtZyx_21Ta_Yb@@x6{;qZATGz&1{`v#K`NqwCeh9nZ|trs#Ixd8`NRvq9-MyO#`sw0qu0#sR4 zP$5g&B$xI307L))Xhz_QjsQR3`F?KiZtmaR=5EVfbeZM0mb3|pZ6oH&nOn9t%E$`} zK>}b9L?8id78MY4cmWjz5k+_aaE3t$A>jZE5`qvw1PB8D9&tPyTuwSsT}}j7$D33Z zz*P+{!}(1g{e~Mi<^JvB!|J*Jxxx9z@FNEcruhS=DCpa~i4y}|V$X9P?$X4{ zJy4vv7TNw|*{w`i=Qrn_fDq;Z@M<0foNs6d|HkvGXR^tq>dHF(9N$j>Q(am=>RCHQ zBm9$o0;*hEcIu#n=YZb^jD8qtum9_F4G{{2q9V6~BR2k+;x7`JuB|ixZ?-aM{9Ch! zSv>_C0Fk6NaJ6aSQGKCj2GHdMzJ&o+HuQ?w7a*Ux0Lox16%@D>-{8S08C!L67i?1~ zJ(tKL8S}$rakjB9mwET+W1S9`7S=^>-*)5mpU-skgLBigEYz%R>S&FeC(ce8p#eVQm9S}fM^VY9{$r4fb8@jChY6ei!6)`Naz7>|Nrv3sc&4mE z9w$yE0yGA~HQv*NC@%feF2wUkBIy~2f9)Bf4I7{GZMlp)@eOM~%P?!1U5hyY6SNQW z1my88P0kAC=#Omfe}q@YbU{%ixK95w_RaXFIzoC-I#gFj>buB)S}(7-l;19W=~TFH zyL2kw!u>4?-j(w&g}{l6A9q$)`Ud0|RhP9mVTo|Cvj+=6*UcDmVkBXIe!RX`x z4>djgR{rM0iyEL0&3}5X`~ye!S(-XD#`oCw-%j(t<2ILaOxUPAaRX7omT&X9=jxHV zJ*p8Cp=aVE-*W#G*?J=1ru#o;KW}ojHjf%ofFZgB)VIHr(CfJ*@zcN2`)fhqWYuFQ zo#))u8**AY#P|1s2~$#if7lNtm;I9M@~yiem*5RZ0KbM-5c>0|@2T}?`ge|r(7X6m zdP(_eKl$gy&*vUlegeDA^lolMl=s^+Qz5>dU42$`un%7s+sGq(QPx5QD&@%MH#0>+ zn(6m0DDL=1b_+sZ&F>VbCY0)eBd~eBzft*Fu|bFbQ|V)t_we$Fz{UKoMeokX*{qhJ zkiF+Wbl_Mm^SJfjFg@>EzzCmP3Epw2wO>KjPu_|Aj$ivjuhN*hpiAL@1t>=0Cp>s5 zA0xk}yCWUnyYj^PA6Dof5YYS{(V>orpW`u@{p06~KFCDyYd=45r8y7ulaJA3629+` z%C%2?K5f;Ne$P;+{zsqWo~nX-Q}@>gD=?IG$T}oQPck%I8~+irwGmNgIzTTbH}5;2 z>>u*AyRN`-MELh9-G`_9FPnCNEc>c0(RZIe_89m%+avUIPJjIGZyv?wmzLhS{`bxE z+-`L*J0SP0{u4J|huC4N8Glc$Il8m)ZkB#lyY%OCC*oW5rokufPJ#tl8}w}c{hohM zbu&-yCC~AIJTm{FU5Vyz`;ZUiVsUcEbw}btt|x7Ns?QRC{CAHC|1oDwO-y!sa(uQv z$1d;6EynX(G=!739~cO5%J#f_Ah<#edNEm7Zeriitzlr~Dg4z61B&y`B->hqA|h#T(Vz`ul2k^nbk++eLsu{fuvbD%S-N zPc;>4&d;PjA)&<+eKF?mq4?DM{dzrC$^1bzm|!E5i{3BUfGuiM*gpN;rsq8=-`J-a zG@f}V&!qwGIq+VnycYV=Im0e*d73`(UtD3!rY2c{P54!lG8YwI%=(!8Y>21)^p-+F zKRrV>8$KH|K8w*LPOf=^_z4_DV2}fDOcyRE86EChBZ-i;L?-6>6A2faXwOw*XJ|S$B`-DbuLdrP%Ll{dI*u zJxM;UF5a@9fuow33t}>*H3Q_NvZ39yvl9o zd#+HLf3<@w=Eb;i7|-}+M!k3Zk@|ns(~(IM=eqRqhkCV%_{)r++yDO=khD-)AM%KU z{Kc1|oD#UW0jQ&MLz!NSixZAo|1IcF&GxlFKjEyk>;E1+agG8!q3@#{E`29Or?yvt zdwDy?JRsq0Tk|}>^KYM&z&rn^Ks=+98OdikOX<7DtuDH=2|ZTVntuLrB60;>F7W6Z zyyL13o*}?+^4eQl=(1gO*l#~Yyi7Yu+sgle1mE$sb$|RgPhvb#QCqQZPmf*zpM(*p zOKD%+m80)+wtnI)YUF%J|8^lvR`AYcO^?riE|*%A7w28Np2+YH`n~$t2|Q_hg74>< zp33Iv@=#aTawABUe~oFn%F)Kp^KJi#10Kw>Ymd>ZDC>!aC)JeCM55I<7tI6irOS8$ zS3j0lqBH!s!RM=!bDoUbqw_DLwO8;v^J;URo~zBb+N1U8)p|wW?v*!U^~Em!F+P#( zr`Gl3TiB%QM9=%nxDC*uJ9#|%=F`Y*_`f(0iGKw1Enb6yit1u4=`r-bKcAKKiyxa1 zKQ}!?{zGDu{R77aF#YW9$&KD=`h)Ab2=12}de`9(R{}p*yr294zvgcc%9VfXad4=9 z{%7iRg8QhN_NAX7;`!%`eLl&`GyFSc*U#~u*Lm;0;h{0Tfx=RcD5;SOW(-|li7 zo4uj@Zy7kMITZ_pGWyEYr~CkLT8I z%6<#z8pj86v+NG$oxeGp&Za--$Y3t}KWRlM>Fs7*nM4?W<>y=Gksg zZ1vEe=a>dRc7N3We*CsCI%xb-;acAsp z>E3(w_i4ICi#9^{qwivnu70nYBiwBth>qozNn##9-&0=Uxj*Zj$1e|+C3u{_DdWDt zZr=K<<4J3YJMa(xcpsp=vif(35oiGsZ@Kl+z1pZEkFpF=wG~m?xr_Y|19p~Cxu3nhF z|M0G1gpD#S@)t8@c5mD9u5|fj8H=oPxt{1hn zDxj>EIsOX}0PpKF-$T9n_3yHOam5n&_@}n9NB)lb%1czHf6AbUHye`jerav3b^7VE z3sU%e=%OhfZ+1uJKhJ*!FS?kYF&*?TaKFL_{`oK;vcTMBv(WzPTjIhEenR|FpD6FH zjO~Q)pg%}2hW_i5(_DGIspsmx#W&bt{H~rtDkt$*2b;m!*TVWQIhWLZ^qi>QBktd3 z0@`;z-~DU<`EOUQ1@k@7AL)_&QI9E~0&uC1WbadtzCGalSO0&r|CW%1Y{1x>&0M|l z?^*=bOUmS`WJ7-A(^-`h`xVl=UQa$=tjN**NQvs%{~?j_<}ZC05$j?3%AFkQZ+ZQ+ zDDp%9|JGtef1(dq_>cU)()B++Y}@*SGrRQa@m@^nVh7W?C=-2r##3k*2G{-9Kp_NO z+PCj=7HGAzYY(h{3LQL3Ah0eh|E%p-awy6|yUk2Mj%~HR zC+c6eL@sOjzJLD~BjxzLYr(hPLe>?}u-ojhVS+r?k64O-#`gR-T>CJ|Bl{pe!+fs@ zgs9uz*M8;w`d?T#Jyw6SYpip>{QV+y*#3Evy_}wcT;a&^%?ob0B zKF6)|8h;>PKGDr`;%fT8$tU}r2f!`vFaCQ8mFD}@@nPbCXo^SFfe ze?;Fyl<^If2mkxUg_u#@03yeozDUwUL+2lM9?CwezZw8B?~DID0UcLL`&yvU@t zXY<;kgXh~-4`i=I;R7G@V%VNP=&Z2-_Sxsl%wy)H@gM){J~!y?mFBw#L#Cd@E(YyC z9L4$l{EKhECi0Y@*8jg(H`MCwcAtCzro^&GDS>V;53qfpbg6g2RZQUgP=EFDij?_W z{VhGRk8k~t0X;?ZJd&6)6C;y@bo0C+Z#Rf>r{9|hg6Se^xe+kVgV+bv-v0mCK}6d1 z>xuU7{W?tui~Lg9KW87^MJMsae%1d)q#J_}jn4Y9{f<5x&nu1jKI-E|tAE>kL8oYr z%gYa*lQVo#R1wL~Lhv60990~%D1XV4OBa8*5HF~jW1{`UaRi9(XF-(6P5;!r_N4cP z8&sdwIJu}FOog$1&c8j(ZUV<>p^^BeBZ{(8>{tKZk%IsN3- zXAcPc$$s)5JuN@1eX?VIYcNm$h`-Ip{*!#PQgmXzgK9*&&B>w-pB`lQKPb(}uX(ih zC)$PY+MN9Jr6Sd~wmkPcpWRn5`^o+|S9|l^j_Gw?=so^p=VC!<_}DVBg75MF!mNltJ@)mO{Ohu@(u#wBD2gOHlF6%AUJ`-o<$D-n>^Y&71k^;dwaU z$-h4Z`8GqkuImau z-rB$LpH8;n^9`<-br`v`8C(!_x(@^0ibN29lv6txJ=xpPoEn5HWyu3dn(spmB<^-_ zh5ApjDk;lc8%SY@&{`Y#3f>Zc^Skz&3}4uAqKLC|g4Dk((e8j}KUFs7E0XHFp|cC^ zXQta+lC`X#fOSdRR?sPZ_ zTT($J&%QVbAwd0IDHqG>${w1TZ-vJ)WBZdfO6DB=*G zg?S|hX~k-4#1iN1?swr;RqlylV70;AV9mxsY~6X7ayw)lJ7v9Lh_f)+*wo2V)Eb%< z7|}M_QbDc2!j0YDV2jqoSzeJV zRyezfna3V_JkEknFwjBM)mnFVkcFMgAR_QN!mAgIjne7hfJp3>kpGQnRWZQ z8+GEOnN(pD9z;@6jMukmk7Si2I&-Pkiwa_7R^{0%sb}gnT**8ORn@vtRRbbST?S^O z6@|=)B5-PK^OA{0tRimXTl-KK<%l6K6c$Z}EBKdmeEG<1pa$_;s zyVd65@&ecBdFR|Mx$wlRX~{TW)K$$2B<$LXb6Vl-q9H}e1q1_ZENb+krlbS6CWj3Q zNpLFVqZBQ}x^eC0ap0r^OsvPPk`lrl#W>!bEn(trdk<<3tD6xvO5?SrrdY#U9{|K2;%aQ z%gP&Lq9MHFO*VeGYfp87gqLjCyLPN}3nCgssj2Ne8w(XIl5Ui253aXa$G>YVO^`}QB+xac>S~zpfNJkTSM3i zS-Ai_a7~uuDkhN1OW_$wv50tJlGVx!#v$jeix^6{n!1;%CRs*UmimiC4&)f!{`k7M zB%d)J`bMPXhO@BWO9R-OLNYmwN47OdHLq4qt7$?eUmx?z(sf2^&uwJvi!3lOB6quZ9oPOA=bDYKUcd$r{jk8o{K}(mQlaX_ z?J)ed1(pL?OR2)#&C2oau7!x$0IUjKHvPqU^~$~&TC)i%n5+6xQIaOiouOJ7$MT{D zJhC+dLUF{F{l*S(SuYx?k#rAEc#9chx;qO;uT!E+D6v!}Wo7F!IJm$D(wJdDssohU zF9>`3(*8iuid3T!qf@QW@NPDM_C}*}?>xp9?#*~CX)VH7oWiX>XKhF%U^)1Ht*mg# zCsz=RC?zLoS>=cHwT@`ohzXRHmFyFVP#Vl@gx%yueUX9Ac|H_(7`2EitGqC7by>sv zbDZ1BM6g3jEBFTae2a>bkGy1&16o#DDQzW@j2)&mG zi^o&pl}ndnx?Qo9=YN%496YV5+gw6Cgiw{67=xs(BPY{1*d;~2=GmvLU0)n{LW2_O zjIR(Kj-Io&XHu8nb`^`-_zMKY>!4JWn}r0iIyLlxy5Z_FHj*Hs(!GJ>0UTT0U>$@VZUXc~;zbU;%E zA%Q|zRkh}(G#V=lwagKdG#U!9O_u)3W!8W`J;O(Sv&x@=(GnkQ$YB_=&?Lr=HZz%d zh#t*{Z{9z~sB0&8(){vo}zK)Bf zzf?6Rh?93f$43^wMit9yGX|;<&~Ww=DjU>Xqh4Qy(K-{osC5ud3C7vKEZ0LZ*h+@y z^$u5h*MJuUigxz=9IIZLiw>r3$!Rx=s7iw#M9hii1Ypja=OUDgXo=T1Y$*gn94)J) zqas#%TFK;YlLU*kC^$wd7>M@>Y3K!Peff~$sjhR4Zvi9{8liYo1k%!!^2U_n-~GQK zs7{l8o#bRP4oUltzf-oG5c#0AGM$70J?obuS!t^=a0OI* z1)FhG)oKY20<5CQGfC%^F?im&Q1J_kZLcp#&tnhVZwUlf0G38>E1X&{tsI$X;=?m{RZno}F}6!hdPBh|Kwhym zf_sgW0dB0mYSyc+vK0aO7M$~D6Ebo`@685L;t>6Qqyr?@vw(aiCH2H& zaDcJ*aL02_>KfFccN&yx{w>sm&T-+jP+8UtpWb^TyglVH7V*-EV`G}rNBN4leMJ?T zt&}SDfepsyX>)dYaNRX&4zVbTEc#_@F>O=Y0ab3i<`K|d?ef8{FI7uQ%obnYJ@(2b zuLg(kQafQRV59Ug@a;}i)EEuo%&B?To5_8!hjfs7+UMH3Er`C}N&@{KHPEOICl+A>_Ojd)T zpnP#e9H^_=;^bWknc}2~6ln2QLVGYQkpa6}4Ha1Xh0CXILse_Y>+c{Zlmr)&E*9O)Kn_$XTOZk|#wxvM&lEg_?*7aZw#=)5J z17)F_vXky;Mnvh~!ip6iC?dz8)UG&;Hd#tpz66Y$zq5cW6~4?v6N!83@RYlg%#`iE z0*FXixTb2Rqplxc!#HF`25TDSnxp2!BGesSt7<#=FpL1oV0s}v`g=&TXNM;d5VX>} zN?1oH;BEHH(f_+S5g&gG5Hd5OB#0Z{XBQSl}Z+5 zxNFtaa@W~z_>Qu~JeW#;|-fhEknr zE~|~rqzEZBnPjw3@Q%b!*LT#=C1*2u)p*0bu){NijE&aSki_DSc77Qnq^Z`7k(9!0 zlBaPtGrNQMpqF|u9P2^wyN85DRb3b$`FGrQ{-RC#C7}zjUoNIfzpTG#HNpV0zk?o| zkHcRGZ!Qs6U~g)*x1%;%W0Y~!WX!S_vwM_trSEjMl9(W%rU%A;!-N zEml<8y@}}=SGh1-rQuw_%4C9Ela8l@Gch)unUAxAMjXXo>}_dW>7v~ablO-+fbq*!jrjgcI5CRpqkVs=olD1h_yEm+o zwlW<%E3vH2@XlbMTPC|OLX4jQ1xn2}triT5G0h&S`K1nyy^5((s7+>oq@6^7jakXX zR5z%b-=!`sR+dc(6HfH!++x(z z$JwA^dF-*v9-V)B;F3HVk3!E4U&nIyCJQppElX-dl*xuN#bW^VZ0MMz`I1A?l;F!@ zrk3j339w6TU=Jmtm&DU^lqs(Bw+~8sbP3yKRF#M~RYVsE)|K_MW0i9=d05KbfH{Mp z>(Y_Z=Nq4RKC0}{nwo7i{eve5nX^;jYe6bX65UdS0OIt%Zb_rOGZ<5>YMR@E5*nJg zA4J=A-n|UYj1#OJoZ*Nb6w-kaLp6}Jno8OkQ&I_K40Ul0dvRPy9B^7*sPn;&GN;%l z)4{S=i9fSk8^}}3vfxJpc5^TgX;m|!?OZsHIXy8XPa%^KyAifh%6xs-J?(;IEv3b=+0Jn+6Z?MLg43gw{J7XG zmNW3g>wzfcqEqkc=X)&@i3SrViK#>hzx7_zTUts|Cuy+dj*(ntJH@j|&daJVAYB0n#~N+8Z-N%dWNM&$+|$x#l&p{=47pAv%sJRr$|NCCusj2jN%<%wa7 z6yZ7K#=+weHZOTYO(;^PV7=8K%4;)#i_oUKc1*CMk`YESau2Pk3u@C)2LYD9w1ll^ z!a(3s@fUikiI%|;lYN#QE88&-6G(2IaRYE9K9NF$c>I2k6v}Qcue1ipB2hg$K<)#n;z+drk}M)6H~TnXd>1FWM<|tx3W~2!%{vRN~?m; z*jc=fQc}Q7UB)XC;mjB?^#TUy+}%N0y*Alt$F@!nCG)_jYs69~>Ira`h75Z$gM8ig zoB2i@_#_Zc)4snoOmfsfCg$`XyUCdKFj*UdhWHrwY57B~h1=9bE_Gm##lic?wpYQ~ zz7shzLMyfI(F_#`)2nY06hWoOPbb0HJh%j0G^}`UhcsBogx{``r~E6yTT;>i_v%mz z_kfN=1LZ#c{>G`vkrQQQ-z`dn-9QwMozgC$*XU$to*wU|CHB~w@V-PF{SfD@MPLJo-@#7@A1+1G(G?FG;QUz-@ ztbem}@NYNv$jUk#PzZM^NVCmao>U4#Gx4O7Qq_>)Z}qRR&_X~gAeJ&B)iq|f4nYKk zJF>%y)KgftFdfoF78ewKTA~Ij=G`yxt)q^Ct=t`~NRG_FB|}6qAaIiF(eawv`di&I z29`>rkx&a8t*d)gyO`3Gib9&-A>|MD6OhUkEosk`Ecj{#?SyQWMG#V&47uTY?12Oi z=IA;HipfownLEi1%RZ8>rvZuysBZ~V=QhYl*TjPWvQ0~fcp-!Rm4-M}YS>{JPhi4WplB@lIM?r=|uNsmxy4y9)Yr%dT`IEP2V zM%@DT9*dfxZlruOk((igzQ;k@@J#JYs<}1@-u$C6t(zE;RMOP!XoW!IQE1>+qV<@R z(fn}CJw29||8#Ur|K!u)pgYhM53+#u2Hh->Gi-P)E`1muw0}LoSl|{uvFmj-lma^B;rlVb*U2SXM&divB29O($3dQAT{D%^fAoU=|yRe zu7)7ibrt;*Xm>8Bc*SO;NlJnVv5TiC*x|2GxBjc3dQ}^2=1DPzMurQl;|f@QeBcIT zgl8ltz43`BR^ykyqSzgxmk|wNE=^d*gfnyllthse8NUG-C-N8NixYJ62tP_jY)a#| zz9w)oaflqrhKx6e8{4?Egxv<^5Ja;jJPzl-6G=q9c@nb+Eb1=_h2E3@;G%Juvwi)@ zl3{`zcAECZ`)}3;gGiwE>&Nmn{ZC!ch`*9PZ~g1> z))TZ}HXlCWUc2LfVL#T0Ils2QokxAM3W3t9s((}NtAB5EQ&k(r%jSuTJj}Y$p?82j zi}$|yNss=&`ks`NG!$YCwrMmixZIeqo||)Wo9ZR`IVb!|^XK1AW!g?-U)i7jsd>CF z{M*3Cu`g-rL7+T8YU5vwMfbmgZIMr1?jdZ9cK-WznyZu+FLM3l08b9Y2h4pV?`!AO z(G~h7#Bu-ZsTUgHf$~Bhr-V8e)s(J`d<|{ ze(Di}?=4PK|LJ|d-T2!-T6gK1in8yjz&d>tLKn;dr;cBQ+DDp?e!Mv8keK(I9!mM1 zCoUu@C?_T+FDK(Kyd|_?m!}gPmXneb@)eYqWhLBYr)ctwKF;1N=C9pBb@N1h20yJ% zUPRnl3W=$Eg{37W#ihmMABA@ouq{ngO6Q6S=eTDsC@v%|{VVc=lCoBRR=pM;b(SK3 zlkVSh=mbmY={My`n-BQv`pLUAsq5rQ5Wu|zyib8|tm0mDf#2Kx<9RCjcgpC2bhl4Z zvjgyNNgX!uy|Tt{(ud%IZh90Qz?Zn&6!`qo%LCGRa>BCWSDuuX6!`qp@d(*nafv}m zKY1^;>Kg|Cj-1w`{r^SWw;tt(4EjMfMm<*k;`^z!6B85?coQ_XwNE^a6V^MYPIMQe z>-+opa~HSeWuMTV6<*gHGvim^zQ($=f8(xN|5JD^A`621{_E>oOj%(!wRv9?n7~CS0py$i z=pT)meIpd8gMZf@DgIzzYDdaGF5GXy*NN$QcLSOH`RTuR;M;CJl0 zi16b6{4Jj%3GDrKA9NyhA8|eV41ZSh59~GD-&6O4wEk(oe=t%{QYcW!r69*og)1m| z(s55v`uWQ9YV^C_d8war^WuNbS;JRd{#S7Se`+e;h~fkkRrOd75|Sc-eSatF0FeHw zFBpFKl=wU)sgHUuc<3e{fP_W?#aH%$_rj}4rl*nL|K39oXV4y} z-qZhzTv#JJkG4pS@IE}CO|%etawO}A16{ZBcw=2oL$~PvqcMTsO5SIFzunObOHWo0 z#uR`0AQ;uvbjANq&dAmD(f=Q+Q-Nv$>vk)rM1oUJY4kR)`u_6DgmDSuCnmEV7* z?n;)wX?Z!~g&Rgp_sV|;U{&FtejfN)6j<_q--#csNg#GXe^GQGqU@);o2h}@-fUl) z{1iznrr)c77@KXiY(G~Jz7c%!fPkCnvb##Zr(Y6iT2Imi>8H8a^3|)x5bRQZ|G?Mg z`sl!G|Lb2ZR6fWBH(yW66SW^!m#D-~T=I@1JMSOq?GrdZmABUig|#iLYhhRm!de#A zwXm#(VJ!=5T3FV?uoi{2Ev#!{{^c<`?1Op{sQ*O$jly8OwAP;#2jj3Y_OIml{Cx2X zeZaQ>pxUjiM&1fH3PbTJBgOv*y&oy)&F!4`C&v4`C0lgxY>#fAvMgPJjH1v$Ov5T3yGnczpx`0fg2{KcMnY@)8Q9yww28U_E+{ zTmQ8C&vnn0JXS^ZJ#gJiKTA1FplKBtWi5Q{isFZXRQ8pVoQh6i#ol~d`xGZ)9CP{K zqJNAhc~Hzh5T?MM0f^(rgSo=xk5os7M8od>)jRq@kJ9WrmOXcmqBO;w?sCf21Jk92 z>kofh9Xajl`UumYbIo7M7F|6B5qy70>hlFt2U= zh!4Z;C(7hTe`0j>jE}Bz{kxLl@o&0Zll%?y)+Q|X7fDU;r3?Kt8Eb<79C#@zi}Hff z!m=nXuea+i_{)W5G90eb(7*2Dw8B_}s>wj8llg{?Z$PfkkOWjH=O?o0Nt z&aY|L&+cBk$?mc{t}-j_{Im{XNGCB_c#n0W!pv^7&e1;!oIAx`g5zv+I8Wwg2gl`H zcbjkj)7-fLINdr?{_^taM(mvmE9LsE4zCMNpb~zzn^~7 zPixQo6@Wh;q2~*0T3FV?uoi{2v#$^70Vcy|7veqquE!Fd@JMvP6x0JG+@sG~2h>Nx zwV0Jf&!83}78Wi+_^%~bcXne7=AZs;rrR|Q&dVza_XzT_2NnzttGN<{dki`*?2=r!++P zrCzNB z&90Pu0tiQj#Lr#dSEm6#|31qQddMa5$Ug0p7fAS!qMy3C+tSz%(Qfsz0RpeT1M-|_ zE9eA(jR?92oGzlc$~T2o<+L7_epla?z2YYQKC}9mzk1f5m-xfKjaQBMtGI9U+@k5Z z&uLwV(p#TBh3rW`g2V94ro}`pPj@Kd>7ESKM1Q}MV|)}Z{fb4$P69uba`B(;sbz}p zoN5W4#cm@ThU&0CzTU!pyoih+-~tQ}{~5}|j=cXF!MvY1 z7*Pjuu~CNo`Q-lP*Uo;^md@>;P0$J?N4+lJ(_sI`l(z3ylE!|Vjwd>xh{brLLy$+a zq8i`$W?y=IY789zq6)5`bfZBe3$+V_bKGY7@Pj%A;_a?TFmr$(gGfVxM*ft176llE z5&3eYiWNW#L<&g?ObSp6Bk{nJ;8MI*FqyBcxc{bLtZ-BKQ)p9o;Ft3fQ@B&u`}e|D z0k$ApxUFYY6X2L+$W-uFgDSbm2TsHPRXCBCLL7Giv4Ff#SO8woFBBFI7El&k7HAi8 z3yTXv3rPjYg{g(Cg|5Qn;qu{ZVQt}UfO>GZ(6>OikiFnuKrUP^dM`#7R2O0w8WI%F!weDz7ls=K9|j@@B?c*mWQG`nGlPu*njyz9%`jwOW(YFKGB7ht8F(2=_*)MJ z4R;2=!{Eb6gG<9r15m+nL8^hPp{&rk;MOqLu-ACqNNk8~@NSqkz&1WOXye#$7;%Vk z;BhE&fO1H7lsTX|yg61o0v)v-kq+JtaEGk}moA`-)4g;Lx-i`yE)sW&`$aXQy3wlX zK)NS}dSx;n1oH>x+Kyh>?E-zmlCTIaJ<*>MT{Ne1$b$AJG?gDiI>OA z@(Ot?yd>V9Z&dFf7cLu^?#@v2A|{0=HWN$}ViRr?e-n=rrW0GehNm07lip0Pr?=D# z>LvA7C|W2gC{8GVD6lBdDex4y-rq08Yk1AsM(vpQ>b-k!-b+;YR5Vm@RoquVDlRMN z6}G;h-v_S;w})HBJ>%-}x%qIuw=e0t^;P;BeZjtPU&EJomg{S{?eSju)%<>bO24dM z<8Sj9_-p3@0$|bE!DlgP9BbYH=K!q$(10Ld6R;3?3G4?Bfg8YI z;JDa!Y#Q?wV+2rwXTeW!DcEpxV~{auGfWwN48)A8 zM(D<2#%M-`28{-lBeVligH+LXVY6|y7`@cqfo~Usp262(dT<_$2j_%k!XDuw*fPvV z*d*BHxNt~uLUXe@;2ez(pAO`XUdLufw=hd9xv(xA3yXyl!>?fv@R6+97BqevEIfKV z#60pmG(C7fH6O_zxev*Y2M`yKD-dZAH;5rbC4@O-7ZMl(IQ$%D4zq`;VbciYo~|1q zB3UAHBb6f(BQ_&mBYBeEiC<(fVwoaHf=bd+aa@2dB$m*Yte9Mx(3xbK_MVDQ%O~p- z>d6R-6iOTlBnoeeCj}ryV9I#PSS6rxP6bjWV#Q~rdF8#LSee2?#nQ*Z%CgZi+|q7= zwv@V5T=-mqUC>_?FLam0FM}7@7}yvVFaj`sF{v>lF;+2nnco=?G5|7k8Q%=yjME17 z!+WzqflQpHc+-PxPBn8io;41{6k-~2MXa$AvH`ZK+OQI%iA}^@V!+L74a^PMC-$cS zX9v?6$&KvI6y?0-aOMc>rgZXjz;!D-V>{S8U7imQG>?)8qo=9|t_Qs5Q4A?=6_Y-- zJ`z4d09Qb$zdmopp5l-(*YkSA@KO9>`_}vmGg*v5#swfAAU2>)AdjHrAYqW9an$&0 z95#jsg$td9CWaD*Du$Ye@QGYRi9~8enMEH(U8C8f2_q$=JEK=4oTIf8?@|d884@EY zaEU5-Fbv@~a#cI6j-1Ea^yi^p1mhFWYlDZGN-cavW~&b1E2#P$~EO%c~!P6Tb3QmCgn9UtNG}DePdvhF>lN# zvzK{mmNLsU<7lol$D8HN4rZ!3_ng9$=4m-moyE?Rv$r$ZGbpr0G>PZh^Y=W`T+^g# zxoRxWap#9~)|&EN1FZ&8tck6BuX5Q3RyRVzE?RsuT$7X!nBz`@8MZ*}fM$f06-HXZW> zd?YEhD+j}Hu)c;h!`(ykq5Tj;bVU@!ZewqIjAP*rmyX@Ug zq;@1n@=>yQk~zsl2}xO0Xw@hVD%}*() zrKlfN*DB(8V;l(%3g-&02WYCmtGiVdR%}*K>t}10E37N?t1P?=2VhrWU1Aesk7Pw= zzh@x`qZ2@AUuk{UHFYd^-9nUzrvSA*zZs|@YN575%k&HJvp%+|;D*w0AT7lePr28l z{kEcnuV6>ih3Gya9CjPz47h8gU%>s4T}pZ&8g&^F3;PPDLTnlC&>PYy+#VRaBKK~J zATM3`VF589T+~(go=|LkImQuh;={=|r7ZMRmOojALDV_)58St-OTrz!mqk;Cbpr73Eb1 z_AC}Q%QtJzoLlI$TRmEPBd(*{sqR*;@qvJYg4cw0?ReX*m|Vo1l&qw@wEe^l1^yP2 zj<&MDx?zPWVr$%n_Mi`)PwWpn)jDDgZZBb&kM(c<8@r6T;)^G22C9Nv*}6GtyXV2WWX$7iwL zTk$m%b3T@aQg_-reXp;tOoph2m3*?PU8QAXn}77kBfC3lyRBV#)zQei(UtF;6ok?8 zMR;10Qs8}@QTyO|qjbiMbOLi+6e8LF1hD%+Ldr7D;IWWFfwi#;n4ouhh$Q%ka{jI2<{+ahx7V#xHKzm_+8}^1UOY3c5pR!S3vOP{C@Alu_k|rU`j(YNump)lU#z zMujri3IMK-%Kix#uQ@YDVCm{CcIVn$nlSHxI;^3IKsk0v8-s>&48GQ^8&t#e+sF8& z!wHcK^|?FLm8q9W61a*39h`!JP6=W@KJk>z_TPPJJk-lTa@Uy9dVY%a@+I zw{x~M;ZZ!cdr-CDtePs#9nqe}sKvRoN%a(d$}NFN`Mev(We>;1fmY7+1_+ z3F>+!Id6&R;!ST@4Gh`a1m>1J?-<;WlkV7>s{m|ZNjBaXOH#Iiku3rJjd`)UP88EL zKe9(UvL;sL*TUEgpJ?byFw=-IhKiQRg5ys&G-*@m<_(BrGI_lY$I^39PefD7^eu)j82DFFM8Pqe2fjirfn%%53w3p8a ze9lbFibU5*ZieJBpz})7EfF0U3*(zzdJ5l5bv-Z40xUoflLE%PezpPDU^hat4`sU4 zs!1LpSSSos+nm+%6YX=FI(xLW;jz>6K@=p-!dI2o=wy1TL zrVZ4PbqLf2@ir%dicfqE;z0-y*V&+m%~0-&J#0YtFfmTtea^(epSY^D3R-BQFb(?% ze(fEj-9$lNp88Z)7Qn@VVZ)xSyjVd!w|wFqNmk)?CM@5FtXzw z(}x-9&61|%(v3?-Y8I8Do{1mm$dWH*Dw_gbBwYij@&oy|H=sLGi;z{|FU@YC$|G%~ z-&my}rVUS*9W$a_w+5X22G;Dg`Jaj0(>6O#w0ohTN;NT|e4#&>yKbenqTx5(!P_OC zVc9@q=c%gfANHvd8Z zsapqLy|R@+;`7!bemPrBX|W7;VQMQ!v59DBt~3B@+T&3T=qRt3H5)f+W z%0)@rsNmav2^?@;ek$snfKC#Ib+ZJkZY7pwBWk}zjo)Hf$++=mjV)(7Gr3?$9uk+Z zp-iYqyv6Nv$$3~1G5P_5wwvdwu-;N&piA#iGdK8IRJ^{&$k!O^?szXUi~uKhkgr(E zxf{S|iDXFiAU7Bx)NJ$q9lp$Ei(wHw->+w#*{n zQXlV5k(A<7w9&P((%2hI^Ag9WJ}ilDtxRYgqm6w~9YN4^pqQD8kh7Enyd#TF9?d^* z1P4u9GECn_Ga_6t-Vtjj#VtOr1E~x5onA<^`b{ekQfjbMxz*6Nc|kk9dhO(=od_Nc z&Fu{#Pz?~v?ZyWyin)xv0`PT3kwY4es6Ug-(;$LPv*hAam1UnoWyO ze#kX*2RgQwjK>)>G2VkKXYH2+9E$Aup#=)0XYy*m!?aos258gsnG{&F!4k0G)Kntans$rM>(r8PEou|2N1&@_nK11qK?tqJ>rtC?nC z41?HCmwKUT&E6sKYskFbYDy~M%cnRBl-umvYxg#W9II8#)daTB+A`GNghB)~oTGd- zGSr_6)LYlb&HT0u#q z*}ru909fGk?I=^(m=A+YDY`MIBXQm1c>w$b-$EX}o`=bzA8*p;Vcw)#L z*?_|uH3n*VX~jEZ_E~eK2e2#-&O^WyVcdnqkx}Ao+4m__`^$ycJ+bzQthi1xhG)=E zPf{8E!ojwU;InWSXb9FN;|siSCSqe5`Lc}?VylmufiSN#Wn##vW*@`^R}rx<7cM3t z!fCb7)ER*y3EU{H8jS$TSeWiq0${<{TZ#ypyw&_vcP<7>wi4FO^z!cc3u>b+jh*y$ zaACotX-gSUrlYLYQRGDw3usnpXdnO=k?)o=H>R`vH!Fb>3yee+o=zl1narwc%-NoC z3}?=(VeYdv8o>vBIGhdNCAV068DdYcxY zQDJW)jBCCwp@7>d6FVd@jbSYXR9svlZoTemk4=GC$z&fh!GTUqy@!_AXwMaacWq`4 z2!?P?q@+4Z#QFHel)RR)Iz^Zvh`Ryat0`;ZK2j7aD!luSzGJ8`l**3Oa{o?wz-#t- zYJMy=JZi#W!uRn{Wpo;2e0!uK;Ic{KI1a@P%Mr(frcv*{+pVpqC(LNK55KA5h;LG>QWy2Kp&;)T*RTz0VB2D8xSQSPC zG4Wb~Dv}t;g3`nhU-mXWZNR)YTTf^|2D51Z8xG%`(Y#Ha!(|}`EOuVtiz>`@ASVvc z5;)lMWwEPE1Dl&p(UXfYRxK(!s9ic zB90p$8di5x|07GH9Z2g9g{6!PnJ)B%Osa~RksB#tMH#EoJw3$Be5y7pMwwf~d)k7~ z$in1c)AVv|)Ic!L^m=m9w(C_{Hf*8G+AE$5J;4#qnGvD8jN9Iz2em5$B4MXOCH^R~h;W1v8Z$WyEz;?D8CMnx@%8I>}&d8{R_7Oe;CD&z|d(WbwTwgof z)gHX=+K+de4sC3LP0R2mET#GB!mcmCyIWy#l8a7kc&n0$@glWui*#75`Jkgylrq)( z`-B?`UeGZQ_QnLf&1!6_6GoGVX_Z8uL(woMSk%tKtB-1&9wX;zo8fcn%f{OPwB)%v zU2wVbQ2-N#Y}rAg=mB0~EJ7A8L#a7M3d(K!;22C;=Bv#dDtQ^wFobMKgJw0AYw+;B zQipT`iggp5hc^c8VsXA`-D{Xswc9QNIpWr4EGihm0dcA097M@#wqkk&gAzsb9E*{M zULSxec*1=O%h^=x#3Yvx^l#H)dG75*H_QfLe-nC=DGyJ&V(8VXjBup~YoPGkaBj_1 z%|KB06U?^nP}FR*?^Sx#rmLA%IoKnYYBJvjT^e$?`1j`!Tl)>Mdgq!~ah0i2S} zV(TK{Gwrsj)qQ6uc#Cy^GRA7*?KScM>Ko^o&!Zk@nj!YD#z`AYH){e_>F$jnZw9r) zY6E!*Lw|;&pybE5WmzGO$h)}07NL32wp?2_hSC0!T304u=VS#DyX;CBSp zN`S~`knt+?;;&jZqlpSSntFv8hga171cdjd{!ui7BwGV-C&O+fOcqCWfNq+7MUh0Y zAp5wqt(%81%evFko|x*8D16Z18c)-`o$fL?bj0Ljxs{^@_0rLk+|GM7-rA)kO>XdI z&oW6)%CZbX9$}Vxp{H6xevZaA09){?Ul7yTJH%grLgOLLNXyDs4cGSk#SAH1X=_=; zyT-(6FF6-kz-+m#azYwRvt+hxY}HWSj@lj@TQw)8z=j^Oh1J}|jNp#Umlj9oaScM` z1Cg3dmze4aQ;?=qOnZa0DNr+Gn1l^wEMzHrt=pm}^w51vphUhf#ypTwU!q-iRO0hF zDYV#7Dpke9Tt`lSao)#=u^4L^_-VM9bA>hH^Pacl0E1P!FljD7zpQmIrC%==(iLMK zrrxV&(;<{yCV&Nq7bQpFp8*ER-i(BCqHiKC;~z$?O%~;6WKeOB?9Dj>9(U_I(zEC9 zR6rp|^I%co@l&>@yGJ9STvN^0-kuq!lm{`{y4qDbX{X?GAi|IgEjlx|Ov4G$3h<`Q zSd?M+Mq(io<-kYx6SB3v%Z|Om!q7P9rk|zbZ1u!rt2qkYmN8;DS z=s1hLHjug9*N5g1;c2i7*@s@3veZE>p$2@}_o_AT_Yk(~IRn8YjnQ z2PdQikg0nRAyffY4eTOU4@-FUwIDTPlgUgmU*ihH5LsLtOEI+A9*W45lTn}pZP}ZQ zVMj5Rn|Ae0Q)U@ajdtI`LX3NmzzpS}=g@Z;m1!sxEo{qh%rq$-YgY$U3bt0(aDC|O{RBLh z+NvO^#K?5*toGgyp!gib*dbw!n!rTo3FFLS=vEder6H8y$kCtbu_S_{*hngN3cV%# z08;jh_Py}J52~4bH9&`ktxgj#S7y=VWVJ?-<@w4cuxlrzV~Fv+c@4oP(T8|Od!O;V zy6|bIav8#k;^I~X_ZOPur^Pr?rOumsYDPWvgh@*#tQXpb6@}`t@+0$bP{yGVH87ZZ z{c)C%s*KovEK}1i94nn>gc5jt5SzcivaM*u03uh37;yJ#ST0^QcbPB)!8$?g2N5qAF)e&n(G=?%N+UoBSSZQ zX;*FrX@sD`UukGO-AVEcO8A8gPZ&o!Y;dZ8Gk+Ef#VY8i5gQ{)Hkc6ns-O_`-T!rF zYCNDXRAR{rocc1bQI(EY^c4nAp_$#0iT`fze6fNYPB9O8fUsN}XX~2547E z1hTY4sB$co z6mm^!t1fqCHKDg{WWTGt*;Lu^*|}pH8Bb^U#SA%%UiHs9j~o8&&CqpVPf9Bd)${S_ zq>h1bxmm0raWdw7@2{hUP>+V&Ww>#!L`3j=s}jU1)6E*~-9|BfRS|{XgVsn?>lyY^ z+8yhyK^+O+o(&7he``^6!#U+Cp*Lk&A!cantch$OL^~ob!(r|?7sBn^K}l;-<;?bo zO&V+ic4dr(S|UsWWyn@a4q-EC3cjA|q~?%v-X*OdJOx~d@oSAlt~vQ29wKDIY~^g& zQDf@X0SXa}l95h%TiLqh&cx0}M`mxbhfWyd;Wol*t*#$={Q4wNX`D#zwek zyJEM;V~k(QZ|6yBxWg%uQva84CC`tKOiXLK(?t)CQ)9G;j`>=93}B0)Y};Z4TIH*(-KentHND;bQcI47<5CzLvJ07G0;{rUjCj%$5eZKHW$gl3Mbq zu#hb1b&KU$e~y!kemjhFa7Ko@mL)hjurRu_5Gox>i43A2A2Q;I&Toizo#^j})p|Mj z1x&UC=j!=!hS9Wrs{zE_ydlALExCbjYr>#LQRPC8PMeG6S} zTONg(c&|l1FcdbRm*dANZEvc3JERNdLOGkHFRPbp!1^*s;I)b@Q99GsHqzs40ud0X zdXm92QS+Gu1;Zo*+-$3P`|jw@On!AgZUjfZBD+Uy-u5ZCEYZZ9(-Si0G9$$E)Dha+ zGGMm&k-aID&2DKQt5gIOi#pPW?>!g2LcNu4h13eEh;gF|D0VY=@=^QId&<_}I{d2| zI^OY+*#sgAEW9eV1dRrJQ)6-b0+ILN)-R%@qSC|;XBubRn4@cvOdehQ2ypmQQP&0m zkz9N%e92isyU>xhGbcelhr!-M9;D`h84yEByAYq*XDcBA$4u9svE;~bbeYZQ@hl|< zA%y8nQvgXXXup&bbhO&$Z&h;ah{F`{Sy_u|ide`6s1YpH3u4iN=ta^w4~85JLS|Ad z!mQ!-*iN&5zi$h3FK+LtDwqrJ;q98>3drKQzDH+< z!pI~c?~C(rfOl{Pd~3hgb=ozaT@GdwXc-eJDGH;e90lZ-H5A#so;eMjUzM()gZi=u zYeeJgr#{xl3`t!llf;P%@HYj+<)Y?Utelm`=tUJSuKYyja_823cF;OMla`=`f5Csh zKhNG!O%v+xTQ{fZT(4#uP7Rb@ipLEV%|$vSR7uM@u($<%`26Z=BHinmB25%e4yQHB4Eded z8ul8sazkZ}zTAaG(8w$c=}>UzjfiF$s%)IV`>+0XQ;*X|?2s^x+Nb_!v={DFNduS?0_c7K#p&>zUVB%jqWD3tZ1B=(Y2^6{z62j8&`y?&nm@r(C@h zm?TZXY?Wvds*d!N5BNBxh36{mxmL7Cfnr*H&&*5j#@eUo`?F4?Zq=tXYlBLuZMthF zd1QgptF9wmzkRPham>eHsu1CWGp!h0ZM0rMIb6Jwz_Ms)pRlP~a3Y(5QfP?Zkl;4& zOZ%CVtZhgw+tqaq#VGLR*>o92A4uJ*JE@P&O4%PwxgHBTtCVJ`>kYuvC0;R<_Am5t zk*0$KULMzel#z} zor9-1rY^gY1>y7@BOK4B!|_gBF)A*wk{KY7T5TK!1CIEnqs_H4tX5~HS+y-$r_&C$ z6iWzIEqfxjM3n|xOuX`pbPhZ+!~V|L>?OCWQBqI8T}X<8tOdJ7fbZ+v^3$KWaL^C)pT_CH2Yj!3<7v;$tP$R+Ti1&6q@(uAG*j!C?EgX4SFU*Qn!$?9aL6_e*Z6j=%R);5w zz=kwaR=jgDCNiue$5hONFcPA;$auM8aG+^ogwtT`1ly%e!cUsz!9+*2?6#AAZc(Ou zlJse>*2rB&vNfTtivaO#YMjMFs|ooTT8k!Wa;!5zqf2Log5TiKS}>tSc5Joi?U)=-W}_+BrmB!sJ4r5n#?Xb9KXQ#C0h4 z?10n4rOz3#P@S-}%xC1l2m=sZ-iA{%Rc8kh^^KASA2@zV%5(H5lOhefWf>%tK85c%J)ao(vrOQwV8$%`wNf*}A zR5BueO{tzCMY{#Wd=i2XfCe`A{P35~fs&Mqa(+;+!|loiX*ll@rkdxJKyYwoC7L_g z6E4yD1~7fNbvlZ%x}o+{AHkSfWFvGG_|in0AgOtx`APf=i;G64UA~^R@AynZOPqB6 zZl?X&1h`R-h*{XY0PQxk8!$`Lt*t`Yn=%aG2G-%a{}&tI3gpw}`;b0uPKC>|o8uf4 zjPFt8vEtw%;`I2ucxvsx#tB7eI}VB6wI$nx%4|p*dfsFuIeju9A#BWf2PQhGM$%Sc zNREGuLex#yF@zGKi?B5lb|~SK(r?;o)3mWxPK6p!Zn+G$JQ~;qdPTly4PQ{9tYRH6gud6(?B zh`C4BOf!J8twntK_Y2?86z^C2>E_pbHfn`Ip2}!1@(2~VW$u<|$r=kY&&21nR}E#T zTCUp6jbp;%3;lgiUb(&lVAkqGKbm{8r;R_Ou9VY75$JV4*m`RYVE`H+ma2If6Z*LP z_rGj9Ckr2cg!2P4U^Kcsw!8aq7BRvX@;yV&jSN7n+nvb$1|(Uy&dq+*y`RN~aF(HV zqFEU@^N8x|XFVg+c?7&A6tl~<(a_+>l+A$8ALFGGt!3huh6qc@Cs(G{b6btE{iB=y z-E+~⋐Ro$8GBYO+SR^Rk#Q&1uvNTF|r_P;uEnd&VQ1n)vPdmPUH8uyJf1cxToi z@xz?e*K&wZ+xo_ds-De;?xVWh5Y)Q9m?G6j->$2m8}0=o#vj+Ucg4{cX358%* z$X9*eE|;AI%6Ft^792X+IjqC0(5pi%PLP%_ZkbohW(aG6YmDkFl1(225iE2Sw3~K< z>Z#J0%F8Tmbi3^yzQz@F_y9G%OQLg%j%=tp!JwRPZ zrexFa!tu2c)U3H6?&d1ZEf3Gq{YtCVBh607jI<{N`J~MO$C%rqoYS2$`uunn4hFzhiYMHLTpQGJXB`1<*=PvksIW+%8o^Liclh98|kdoXo6q3%>zE| z*wZQ8Y&g@o^gp=T#MvJ9nPPfQ%XKdcLwCcnWTyyHV6PIyB|tBu?V#>o3s+ufLCAxO z0+Gs6rOOp2BR21YZ^;na%~N$~ti%Y7;yVmOHo<2>a>2im!BfVMPHP?#(RJ`AsrMk8 z#{f$sUR?lIk3XS%6bj$Hg9gn;at=y?!;xrnzpt*xsye=2Y5+HtmY~EB4#Okq>MDqkeMRI0Z5Zv>2h- zGiquK8>g`?xzxn;bbe4?59ork5mjoyCD{t>xi1p$F6}y9e#QC{4EqD}8IhXKVlwj~ zB>}rPgH1qsKHt=uWk?%En|GsbCYP8tH%;XgK~2^XZ!MW{SSZ&cOl^S@Lf}|D;d@ z+lg*d2jOTPQr@!4Z*&aHN#a=99OP_Sz6aNIs%B{#5p2M1FmQSUkk<>T=Q2!jgjvbV zz7;pny2H0IXVZx@Ou7>6DhG~Z9%ZKj?UdTl!T~1EJBpp~9T!QcNM%{5fTtOnib&lA zZCJF8A*R^sN_|zyLFkvbbj)#DmG*pmvvtZ!X=H92T zw&qy-5gjt&P9PH^%X);7O4bB~!@_1^s&`xaV?ylvOx0Nf-^zq3uZ5@525K@cVY=D- zi8ZDG2|y^oR>BfEeRJ*@0-Kk-3h9oGLS!T>^Y;RWWo)R|_J%#+$kN1taIY{GPATN_ zs1tgG$q+~5LrhJu6}q#B2zMsW;1!dN9n!b}Zn z%e}M{!POK;V(mx!=T86(@SQc12oI?kXw(m7ABY5-Wq;@!kay4mn;udb0SY`0B1KA zeLG7FLdtXu8&}ma%u|<-ur@4+ps=Z}s5og0I6CJJ+q#DeQ^_}?y=k_&W;?-zO_{rB z%}<3$GKR(tTJAs~NQ?a4N$2HZQ?M#_@rg9NquxxLF#K#ZeV*L!U65Fk2?T3Wpsdkn z)Pg8ogiIaNT*m~7-;H-DS#&7fXA@On>dFnhp^E^fu!9I9$=IBvHCYo?%5SEJny+lm zDo3^zs>v&}a03PJgG8EO(MFjPt!*RTMfH|t^f6ryGoE(C6;NrFBo~&uZZ(joM&vp( z54f;<1i->$?l}%^dJw%bZ!+;av;3pGE;NCtaI!=+EZ*Ki)s10YQ_L&9&B9!sLSMkF zWC=K0$FO&1 zvT;S#7Rwt8Qf4w25#eR|af-UCcQS|SyqrW=t`ul=bTnMLL~HcpSGO=k?LM$n79z6D z(s$F)UR^UR-(Y3ExH;U6t*SAdRk)NLITgQpMiC}4)f;!c4}F~C2Wq0jfnwTSj4Y~Q zVKGbeoqiR%3T$@P(AKnKbPOAtJZVW^JDq{CHg;vT;O(;M<{)NprZqgaHG8m$lQtf& zk@4K}dI?IZ>e?dhd(NhTxRBrHia3b9iZP~r&q!xATD~@ocN={vml3=RNkl*sazY_;ohzVADZO7&J8 zPiIS{Ly?uev~d|}FgH>&_+h<@|GkW!=J3$GY9glR!0WW4EK`lq!FVds!O3crB9^Up zicW+`YiS$ADr-zbxt1!n}2^f(6Wz5_xmz_cg-i4K<@QL7iw;Y6`OYM;x zE~-5`ZqUHXHdD@QZP}x?9}iMe+ zj9XP3r8~GmU4qC_ezXDf`q+@+*Y$+2uIYdX={NNyFx>Us7v!~F#dUgOMJAg)OctH% z)nj3E3a!r7B83y2+LN~R5|ka-g6LrRaBCXA4F63RHb;CLY;$&tiqAE*((2?dRJ$@P zoeu0*KdycO{KeYb2cqs@(B}f~#{!0JCWU}czp?CqO$O~{PJA{`kPmP#dkbm87q7`# zNQ|kwLO7Y4r4mFi@Q~^*lGt@f7=)-9`e-$@)$4tXUs#A@uN8L5dM)c!Ld+}-B|Ul> zaAJr{3z;+vNMQ>*nbOrmO#$NO#v`iE>@*qh9c77YP8w-cn^XB$5n2)+6aBL8m+1be z54(6-6mqb`dDso$glvuHOc>RbR1I+!Xr64-Oq)}KN`11No42Bs3s3VLYI<8{-;B)~ zf6S6$LRet-9}BTb19rBt3GI$0RnjYxlPgQ0d8DM1xm>2^fln73 zTM3YdmQ_osaG1TmP(XDQVsC5Cc-|f0)by~V%b0aZsCt~Q6G8^=~dOQd| zH8J}vxGO_5OMN%OMOeD&i7EH9h}k;@ix;J+>?~443k!St_|cmE6B+Ejrk5ZYPK0wqis+gs-S9&{Z%zpRZvxGexRz=@V-YMkKyk`G)6`M0000EP^I1KH#U$f zyf*PKyu$B1`L7PP3;hKbUWH$H_SqM8;U~d_T)D3QAPH;zf0l5d`qI}v#u~d5=9nG3 zR#Pk}uVvR?Ln>|VYQ#tr$(C75Op2kgpa1{>05n5TP!3h^?z}mv-ON(6@JKvKErDkx zODL)`Q`+YQkthhw&;OrP%p?qSphsc15F8|kO%bzRM=i-ot>Emh-yh*Dg-PyR6VyJY zq381z0$bd%mcg47sU8Ce@;w()RQ$h@;`+<%_vxKw?xP}x`9 zn{gCX6!i2x#g^i4=nC0;lTP%OVKDYZ!K+YfN@{036_#SbEDT%3+IE(O%}CChScsC~ zDI!zT7k{L5PK=TITv7G4F3FL5c6MlWE=@B`5pd0)TqwLGOWdtr+Do|&N_~|IGqu&G zMTVIX?p3c6b12@CgZH;Gv3XJ3EdlY-uC!EnA(m&N$oRabpUxa?pHYig$8tat(vcGS zr%b)vjE`D__xwaEacvf%V(;*HI`r&&y7DbW^^kT!vd?M6&-=wre|2< z$VZWjrhb0i;*^^8zms;vhQmvJvK%MlQbNOC!?Vde&-5&N%8+NJi<#BM5 zR4T89Ng#8i_7i$yQgUKV5IO&}=VV`_W%_*M z#3LhJ7-C|jPQHrNYr99Q(&~*<@+Ab0sH}Uw5B9Ed!~ia@#Xi26ES=2Lk1STkY<-=` zAL$^c0LMJ3pG_;;X7@|LY(P~5JY>Fz~ajOd~M26BN@Y@*^0Q~1?806BjA$_ zyLrWctJWN;^SqToRc9h4hYLoKpi@n2JC?fg(sU);F>Bv!#&p>V6;b;<-8$lZkXcC* zSlGMPy>s@Y4NH@`l3IW8xXqk}3WTvJ)x470!VG!lPk$7Q?RVA61I2&d$5$ykjP@nYQ%z!*qwWN?Qh zRhC&301e?U$9gB8&w*)>;w5qTieIx{ju+H!@BH;bjT)W7I#TFDxiRbY!8$_p5jJui zLyhLH7dtMe47wHGoGjz6nr%6HLx~wb_2?a)I;@2wXi^l6DH*Anu=r!!U(wrBm z?k>7$d#kTFqB+~|funuzmZA!(qEA9eOijwrM%2`h$|NMXD=TF(Y!ouds*k&lL94?0 zFJvcS)}gkqZ+*7a6?8OCl}t2=5dP+A1-A?(mGdD_3#LeCg0+FUO$^^bISYjl}lvGRL z{ID6W!xeAjqKtKS-jyXCoq|HpQN#EnzfI0=rxa>;#Nh3hFFvR`C7vpLs0V*m*6%`` zfF5_dTCs0avNc<(MX-hhV3&`J3~jbl(p_v`o{;ku-Q-El2Px`z4~WpIdmkR*Y|Tq- zC+O*I|Lv%jp>hjNJCp_#wTD1ZD-$-A_m}Sic;|*sJFM;@l^un(mPG;3YF8?@`K)B~ z97C&yEwJ17#=C(NmQ8W>DI)Nf6q>`W4f4(a{4^beTu2?E@8n`^o>4S#=bdYbnMY!n z`Az#stKT;hp8cjp{GaKo0Zl`WRs41w@Xkc;X@?%*HpLy#uK+Pl^Y}LRR_AxW%K*N5 zRf~1vx1=>LVjhT&XQs?wHq>`TUSpJGZ>Amv&U%yQCCN~Hw0QdvCvHX2Z=Z zT#7(H2STtP{m-HBVM)B1Ik@sO11;u#?w$(zdh2xeEYBD#gwD4AXN-8?TME%Ipq6;S zu${Se%%3aur@77p<@S6jK3g5xbQxM~jPfbf8fh{9^XKCoPpeuMt>@4dY-yk!`p%{FEx$b(DfpOmjSU=cvyer5!av30Vm|U0q!th^dl|X> zp5{~lP(lYVK5-NQU2Q%q!WD%UIEX?U7%^Ct$^YJe{9rveA_7p2)qdi!x%^ z=JBREz90q%r|-N;{iQjFcaTZ2XWFv2T0R$i@RF6*aohn|m4_b+7wuF0N@Ke!u<5c; z4Wy`b{ZTK&Gf_y&nyp;PI>o3NV3~7BW?wz9wl^p%bZ`z?m8!rw-Pd(IO$%0kou>(g zM2RtF3R?>&sGebyOPf-!>Enjs=A$~W`GA%i-37@JE65jCFR`a7yF#o4FxhlrW=@b<>#N8sf&K;EPU{SNX5Mb3TdZ6ElqU3<%8*nKUa?* z^Z^o#E!?#GzAS*KDo|^S-7S4V-YV4|AkiPTQ}Mu-8esgmQyNn1<0j6CqH>pv;R*dE zu{f3w50$&V`4R+D5}iUVKNQxSni@gX$k)IhBu_Ra?4^PYL3jZ%9{9zVN?|Y>F0h{l zjDs>ESdJ6lnUOq2T}aEXRuABTWFJ=SABmd(x1G$tZT5S?eQ8be6`oE11!IQn+S{;! zOE2Q@0FtF#?k?kC^U?ypmfu0+@IQ$}K~w(K{?iLdt1{LJc-I1kHAM{f9vCX?y7_tX zcL}zY-8Y%){N2-4B-MS+?Sr>o+a>?xO%Qn6Qq5ZluMC_edr?lmzvR{J83_v&!p7BG zp-J6pq51x?V(Bv4<7hB+V%K5JN}hnWNTQC-ZZajsQW?srxxl0n03_w3vtCPHKkvP! zWMef}Wv_eb_qGJbG2)20FH({S0j04ht?fjbw>7_(AkO(RdQ%hXkjA8 z^YNaI#BTwV?AhO3RgRGKYlvbCuNrmMvzcH4k*CO*y8W0ML#aMCwKs_ar$y6(SSg#e zb9QH|scLrZ)Hkd%m%Q~zGTU1cGXFbLP~dh^kKxGP=VrJj{I!A|4#IOR*{sBfKkNfP zVDjxmN%742b!bu%xw#>}v|jb>ZU-}4?Q$X-d?2LOezw3So!B7dygQc0I%F4g zO3=ShTsC#9bBT^ldH%1ye?|G_&t!TvUfrX2ixgkzU zI`S^h3rs#wGd?dOgMb@YF*bL=gWe9e_amF{(~oLGVQ^D1{h?bSwWJYTvpyA!?I*hW zO`#5POl|;htOtFMx!C(4s1|QnDS>u#MJQMlvJuBM({P%T)cK0u)L@3Qg>mUuH^?>2 zfjplQp*5vf)drkvFNXmd9uq6*$#+4(mYeay{`koK+jCt06YM;*=soSH03D$GVPu}aR$M700!tLk?+6iBeFv5lgw_n1djVcm#+ z=b=5@yi<2m`>C_9J+A=NWlvS&#=Az60BDUVC{s8T?<@M+qj`B{12E_a1tT?zZfKZuPVtEH8+niBo(b^&gcNNGY{ zX0_N#RZyF#cLfU_JJwlAgA4c}M;4ozLhryvFTp5|1k%%OKH8~D?C1#|t}t-E05L$$ zzn?+5|MECT{WqM-=Lgz>-}w+Z#y(**F0Tkvah}^mf!B_Z1QfFbV+QonUN6tGjNi|B{v=1 zK@)h6maCdoxz8<+4LSRT2pSN3L{p}vZw5j;Eoqbei4RVnm0(lVdaCr0so4SpUD|WW z^w`Xk_SEB4D7PWaiKHUO>;&5_gH&i^lH1BR1OrBx$KAmmkKfEqAn&Qbyc>66SWP@_ z`!N)`gacE5{)qk3UvB2LXM*JtOc@|5v$tc}-ZJvRWUj=I)RNdaxXF_iOod_j;2@+fgkhHWF*xfe4~oZ>68*k& z${og{C!3UB*yUQhN$PajT|V-Ao$i#<|LNb#vVEOr@#Z6Pa#^*9UqI{4uLfIMba&Sl za(~<>tmfZcpDWVZT)tOZ+0&>a^7OLK!NZRos|<^8#rg|x-8G(e6XkOhYXsDRaPbMM zv?|j3ri1UWA2uDf1rXtnzmd1)RVxjc{8R0V^MA2x>>y@ZfHFM_?aTi%wU9yR!P6Q2 zTY2FFlj4{CKtWhmFlvI-mfj`WO5Yax)W&IpL)I%td}Pm8{hR9F%6A#sP%W81*Q&ew z_?iwQ!KyUpVshAm6D!M$6Y7J;WyZ?=6G2;vW+=(wIE?(;p5#J^=8s#Y%;OUOG&ME| z3}_qYrJL!^tJY%vpYZNbahm|w*8$$k<`pn469Q$nlQ#Fs8N0hFB1jQDpUdnx#!7GJ z=A2CjACxKl60R-tPss)o_Wg4J&iykE|9~+K7j~Uub5}s}1l+`oI&!zkH+Getcy|RID z@DFurYF*F^3gchA6}E1Zj3Gi*FpEhOCdtv(Zl`Hgrwt>j#66a5MWc&5kprDaZk((b z7By9z^}$sfC*+P4mCazOQU(>0=Z+$Gi)rLK!?8TbfZK$HjGwD0B+spIzU97MhI0C)xE=tWX;M(qQ@``pO!pNZ#Xoih`M6nE+%8gxR1JsafgU`J~T&$tM` zZ~t(%gTN*-Y}u_UuSPI+_PLKaD@Jj8P5e@k)Met-Q($z8a1Hv4jCTvFJ+6BzhUknh zUjLVrtAnz=W~33}agO8sTe5PKSMSU_o#+BQQK7pZu*(odi_24`0`d>2%pb5_fvjz^ zyiH(g1^o^^$5>K0iZX=2-3{q(Jw@Kk|Q(=L~#QFjFT>l(1ONPG%BB)j}3mkE+2gghX%Ki%oGe+jP!@X`6VW59Hyg*P%FicvDNEx;PokF)sVKFBRV2f({aS zuQ%|J3W{+MFF~Lfu>Ba$_U|}2Xot_{Si8NA8kdypHv{tVk0Y$@bm9@mFeMEyHn6^S zl$HOA$rTy@&gPH6>`xk>lFatwCjST@^C3*r-(gdy=jyBh8QTQ?Ony z)vU9I#Tew)>h>8|AR3jZpLv$*Qu1KZ0VhD2Owf~j=>!W(?Ggd~H^~>Jtr6cbC}WP4 zw;BGr%>J)akNyWQj2HV3D4nK~xe`>X>PY%&jcST@(cg)?a;&s*<5tWj+buMH-!s>L zFMJ_vsK08e@O7{8;!T?gEAZEY?n3)CzUWmL9~}3D!FE9@rx_X#WUWp z;U}kCWJolt9g=`JU(5wg&+Jyp;21Au4(Y)|A}2O&Bt9aoH7K2GIy1Ck1ZeVabl&@x zA)#;(;>^Ot&BC%g_~XaU>~e!suXP;u+FW|NBkDQkhp(E;v+&C^zAP9VPH(y`4kC9% zAH|OU-*(2{2JCUZrwp3KDtqIPSPPeQexGE0guJM2ifhy3DTiOO?n2m2^hI0#oMgZS z8T!$}+%rVZIjW<4`AprwkK>Mptiumyp+lg0*3uDS+k9|bAJ=}5RempFRjsKk-nfLo z#R{-tF3V|lw_Zx^=xj)3+uGE6=KSpQHB+!l*_kUvkcIZo3HH*w9CLLr%R~)V^~LXd zU}iDTBv8rDNhOY#6FIbv`_c*fO&n?LazRo4;ph<#XLv@7$>uP{(BM$UFUnF{$1z$q zGrty%sXFxMOkBDD>$$p11y4X^2d4npZ$!e04MGCDqxY@oJhyuDg%pm;6&Oe7h(?oA zJkBIZ1~9T5O6OI#LG`H6wzG!!DQP`>~!0n;); z7eD^FI5g28OCJUW2E_#h@qaxJ2&;YcB`4ct4gO$WPTH`p>HvGq%=| z4Z&2o&Vuw$P+n`@ZI9l_uM1zcsN6)(bKF%sJZK`tm9J06j_NUaekh=eLJG)#vKyL! z67lEbLcW|2VdYN(hu_AjFP?sMRf(&KOYRnwYTyRL;>h6=lcb&JX}<@p;cxISPGQKY z#@0zTC=}vphwfJPXX})I9YH(+{9I)knJ=gDY4A{f+B5zfis(@0S{*cDIG3))0imR6 zg6UV~<_R8Bkbu&&(>zLy;~ywG9|?g}o% zz?F0F+y$UyKR|SHQbnqvx$0fkYODd8{8T_ghyWTdGCR|Wk4st;`U@2^k7{2|cThcq z<=*Cn6G+WG<3tI-LtBeo6&-@}zqIi{=~D$Un)`o|K2%wtI<^RYkWBg4@i9L))HYdm zn!x>{p3TqL44)% z+iwr^>3y4n)c2(?UN|&^iwXR!rP{eb_L;YH2{Zpq_VK@Z_YagJeh6>qs-<8xd%5Ds z4ns5ZDSb1towsPr`q%P3#ZP8#AT~x4M(rL}qyYp_Xm`?GkoNKnCtH&Bw^lu6xSQV# z$}wo)B7P-Kja_DjASGe*p;!ZgdN3D*NZBPCux9)Ln^DU#c6wvA*X_1N3d^(aFg)d> z?{{n?y32V#>YI}qzzX^~r~AO6;ZF4y(%{Gu*y|Ctt-kfo$|ibOH86w$g0)fN8a)sD z_v`*~=NzTH+`ZgnOg{5|joCp`qD8)~bA8DFjU>Cd z>>L&pbY=;BtI1$CB}_SHD1~%NE!~6wMs*OV5O*t=b5W5ev$>-QzBhZvJFGl?U(UsN z{E=(-%zI0Ccd2%P4#-pkeWOC>wuw_?OE&9R?o|6c3;-~SFAFxC8pxJDhSLQZN zFJChoTdiNmFWxWW>YBD1537BQPL209p&WOonvqyIVL8EESH0I)GFL=wwW(9_wsu_| z0aGyG*tWj_%WNyEuAzK>I4M@qq#{e9*lWXfYr<#BY=aF!lDCgSx>`;)?5a!_9rKB; zNJ-;kSsh7hxPNJ$Cp%xO%Il=kq$&2&Jyz>uTwj)qR;~Vu3>LVgEtd?O59#W^d(5DR z!>74ZGh>J5kri37NObHHWu#p3m9U^{+xBCJaGSHSBV3&a`XYPmlj8_zbB|f}PrS3=hh>Q`*eXo@ z(Ce0bD}$r3tqG{^v{3cyHZBrU_Vw`(U2v;pDbG}#l@BJQW}+44y69>!4Gc9eaP?3Y z%qp<=x)&!hX^}lw>|QZVIe$Ci{Zfs-s-7#k31el!3-=Y(Te*?-{En%Cp1G0W~ER|D$$VM8TbWKc*sp*yUdsNWG-k2`w7_e;H zqm5Rp>)dmV;z%@y`K(@B4YbOP>a&!xTKPJ6TYSyF>{PE33IQ2Ick;P-M7=K6M^AHyAorV~om4I@G9Z>uBU88#O3-*3Q(`KTn=QOuhf z7n$$2VOOy>jz|v1$6G$vHF?TJzN|5lSs|B+S6gSrP~tsF8kVHG2MuIRc{y0x^-ID@ zQ44>cP##HFX$q-Yjl~O~Y8!v+7ha1A=_txV5)-$0u9JR@$HvjTbSiHv*497Gk64&} zq@2Q108~Mpm zMoYmP{6iin%QUx-BRQYs7-br zwHBzVNa1ehy{YR=wXm|1sC>y->qF?C6mRMvYmer$GghIHv4p78yIZSh;_;ND;CY^A zMSK;#FCV%qmKA}(pCm1nZdu)Radmp$K1f?65%JCTi>mZBy=6tZr7ZR+lqMASR(`ET zx2_|G)ukB3P{F4sgT0Ec;M?g`)tKEzvV5xa{`oqh0dwsAV0ZM_b2aqeE*qktc}a?*fYGGs$bAs+Yc9WqE~Y8D-T)B) zSaiwhu}DJ|}|=>z0%iN+nUhF)J(KV{z2oX&UG~oJtRHlo;zKD?p`9;04F4f|Lp(A3$_sVVVrAZ$b z#$O;XTQl2FLf&b)Y;nilIs#k^X!camwTQ5N&XmsKo6_8PER}v1lhRDp~lum*3a}@g~ zsaL0@v8{}+rd>IDBXJynbL>8tp}qDfAX8<<=ntwrmsn${>gSb!huatvGaaP00x#~F za&a(p2k{(;22L!hTd=viFVMgzvIIdS%BymzX7$=!9a?o7zEX7WX3x|s z+2%lUT@edX`V!~rPw3&LP6YB|a1wLT>0oz!b8Yhmxs6s9$-ba!xtgM7`Q~vOznv}1 z#MuJ2?%&UHuzhrPYd^DA-J2Vlh3=<UrQO_At*Zn)n zr2i^gcgeoBxb|4XbO;~ThR@5X-^~U#$hpLw$u;>ib{D$j?bxoP#ABbdjh}(+@yZeA zU4fX9{>>z5MN~z9lM3)(M8@?O2vtTEPp#Yj__jCf$oKn+@F*->S@ejV?d~dAcfj>! zF|+vt{U)b`Gq_YiPvkl;7{+>^YXYhnt!h4{1Yj_no0cMsDP6#+);+62TPG9u5V%Ll}5WA3+)6g3#WB*Cg=in@hOgp;r zW2V04fNjB|9BYuM_bn{shZXa*xdG0^%nP^o-$Io~!0u*PG~&Zh^|K$9A9E?DwSDX! z|8=;kJdrdI(;62A?~S8TV%)s>1q^YLK*GEPa3IR&1^`HRQ-(o@=hHVf(+PZ)bkXUN zwaSlLNTw^jsw;HVN8&O4F5yTj*|YB_`+X&Ni@k@mI@KrAl47{GRM-`2PboFY$-3C& zgI`6$Sc58S?5(|YgQE^~s)Y+G*eiO^@DFLX#HwkkHDU0X_;}}QkXyo7*FKW}j*AOyhx_=<1+A5WWq( zcWT}HYB`M-CGg~1`=m^GF2ap!dB+6v?Jr_eP#RQ4t~YU{y~Sd)5Vixn&Y!7@F6PlO z;TBPa^VFo=O{=R^rk`PT8%7X{*;Drm7wp}qbrdZmXX0s##k^KM{VDJC#u<_m8g6+{ z4$S@9ru72gDjn?(6vL$MzsG8V5IG$C5via0y zH+j8(C}rIHAq^K%-wyJMMYJW!Z4c|HzYpQ|96XIExZkD_PY(AuZuWmgxZ|rQ8Gg&| zzif`y%+`k$9raXmObH*Cw<`{W#Z&ALUC;Thc2xVd)$!S%Zvyb2NDLq_3+70P-#$eC z2VxILjfTC3XZOm#f?9xN+e~(=5tSX=hH2*Xjm5>y1urhTl3sTxo14SH#=wlj5AFqk z;Rd!9wOa3pgwbj~@fqKeMj!7~`@HoX0(eo&mOK^?btVqcn>*s_g)GreH3_RjIZ{)| z%$RO+F&!KsE{4G_wT4kud}aEZqgWn}hIvVWz}u7|lq3%UYPlp^k~>tnqh?z-Pg10` zD-jnJu(Z4v@OGUrIEXW!A@VNU0Il7THGOou)Jat`DcxoJfoJJO6P$S}{S-DM zr;rM4A+>TYJb)I!;KJ@>?4q++MoD7e_#B->nFYAjIXX!WU(CFhM$2$EV#e*rYv0(5 z-DCt{*f#gb5Rw|}M+{#$dGBm|z_Ax)oJr6Q4Cau4a zIX`;cuYdtFesMjA^8(?Z6A*)Q6=NuIIqbCNYaY&Yo`2I1}uJ_NJSfcQR5hAIe&5;XcJUWY5%?L(9pF*Gk#;8oK=w=e zW9PZiDAvoW-4QXcE}IoH-a)uQ91yXlBle+LrFGjh(*^32FB+msBU9>*eEb(b8wEUU=Ay1RtzcJ$1nUW*?>&PnY=BBH{AD#x~jZ@+bBrpe_7q8|b2{b&N8 zZB1GX?MDFM+}fR|;$_i^1L7o1fm_644!CGae}-AwhYcT`h;xfAR6B}LPX`LY7fI!4 zkgpCT`pXc#puxB6{;rLEwmJB>^s8vL=YRh#cUO2G+$Jae#`*u>kg#3-5iZEBRb zGD#Oz0`aFB(6F%8nfm%s`0k)6{Dfn0 z7NJs@?)Zo&qO_xN1$?z>RTh6X!Bb!Aq_EX-6(_`pL4ClxhJyd%`F`$Tt%LK%=p`M1 z-_nvN(lo?y#87Z-68(ocCz|@V44c3aHGBwX@)m$QwQfYv+HdHIT4IrgDgMT(nEy}t z-QdN;4qxT`LvK6(Agp4P#!$Jy{}4Wp;U3NhR|iP-Xq)yAaQLh34)OA7(1VT>W98$V z#?t>}GaKg8!>nUJuFa-EuK2`>+qdbD8YiOrHxi9Br4%g^yo^BBBI)okV}v#6Hd5n2JiFaFt?2O9hF)eoY!a4)HQ15J!mTPR>!i}%AOE-!*6|v z>z?`utT^)Kqlp^Ijw`&rXtMlAFufX#diT5xbTMysNN#$fd47-lr%%Zlx=!0B^o&J}d{zpBiq*+lG6AAq(kw_-uaPN$aG-%aONdFJa|TTnN%P~w~= zuXI|+5OSr}8DzyI9!3qYUZ5q;k6;N7& z$8-N%mx!rC1n(UO$Aam&#&xU`HoqcV6%3e#o+JN##Bp%9IdK1(yNZI*OvsChdj+13 z?xj$8H6Q34spQroK~p`}@*_wcvp6K`o^jf?<57&d1uBjTK2Gg z!o%rVaiJq~TL_h=<52B1G*y^s%8O>jfpecn61^3LrM}=UWqF;WhMT4oK*tjeW!B!nB=_K*R$I>l9c<_s%y3neScOANlEwNLuhM`p|5%; z9Y00g6SaV#GkzV!$^1ddIwsv$%A%6hYCqP0g*m!pjjZw2?wi2VVE8MPvWr$!m1)g+ zM0=IDIo57B(0zoLw>NALwUjeXd=2Mm7zRj$a`Jbxf@W>sXZ?n?R@+O@DqchDHK+i~ zipWci;=)t3AZXPRQ)zvZ72OsU<(&Z&j=f`tf6D|p>tMUMBA1utbIr7>JQ~C6isKVc z+aB~b5jd;pRC-dFDG_oqc;W_<_%TI-Y2-`xMTvw2=@tP0B4O(fqqf1JvT4cbs&WCW z(#2!eMG1V&;Lo1@kQvilcnBif;dg7O=YJ^E?>B$Ui<_=u#c{uO;XZ$v+qVb|mrBqy zG?$Vc4=GkWKWHr4{a8QwdxG1vRYAL6DY7B|gRc79QUo+F4Wu=n0LMwQWK2eVF+C~irq5MpdVfHiH?#}y%M9FBJo!XH}1*JR0kE< z?+?%UcYP1?FxRo4us&UnIEi0$_&@L!=f2wKU zI&Q7g+(&D}CAgR1`J~mUaewN$2mb%!kBZ&qfalEv>&6r?*OmA6QAEvubOFKx?c_X1 ze-%dj`#*wt9+ZbDR2=U>R&IZy`M?ssgO0$v*CT59tK!99Znx*&%p>{zs`eqsb}UC? zFVEHhh>mfG64kH7X8T*yP7+LWFvD)yl(b#SIu_+{pzhEq6v%9s-L_38-g|PCtj=1j z=e;|-?ZTg9y#cI`LEmtj;xR65Ujt`chkva;5~u;JJr*?JzF~9SqRl(x;90iO!@h5} z`o^gH_pd;`Z^?sg&0LJ4?XWRHLS8dqCi&oWHS&X>RL$3K^+w%D==+sH@n$?)QLFt7 zTu~5>Yi`<;9iYGd)6#2x{_ehIclrq@euz-j;GHnc#l%VKa4#$3m&eJWopQe9Ah;4e zWa=ybm{*$LRm=`_%Gfn!f)kq#kYpW~n-8p={iOsLQuT;X%_J7h^~|9&`3UkK0QpA( zwTdtEWlO3Xh|W^8DqtXm>|-0r`$kA`7#rZPedE_Y%TdP*n@cstmY2~*NR%r@{`6FKf6f^P*K z@TwOSOE>VB>anU~`S;wM1XKsW5qtKct0t+3d-U)n{Fm*+x#0dR*yaZbBr*DpgaJBk z`i7VUuq9YLlwS#%nWlBhOSS^P%S(=(-wVY{C91prMmR@(ZTDe)jqvUsn*Km=t@{i3 zNR-$iDgVX)HN8U2YZ%;;nQQW+>YZ)QGJnt_K2g|@4OYpAWF#jB--@A=QBn%FzYBhp zk@`5VetR#QM%CLOYZP?b_epwUD=OgOiDvH|AX@bLZ=cr7@&E zn%(3(nJEHpxCRnBzvs;O4j+T=6l&?Ry12?_3&9sGHD%5aC{W?5a(t1 zY1c`L9V&^UMPYv^!i?(f2KtIjTB3s_mOWdaAxF z=bk(MVwkOeOZUAHOzv3xPyTfIpcSIGH**5ORf{4RhiapKL^;aix29iVO^3bnL2{xz zwkR+|o8?gs^wmtl5=I8Dm*Z=_Uy!;kAf6)3&`CcoKgb1}^6p}Ph-%>z;rR!33jFz4CCCZ{GO{ARu9DTvrs(fpxIl&geYIg5ITaw|D z#ckzv(*4(EDMj?Y)QMtct;V*51el}639(gFfrxsw6iS_O&8kV*62;HRMA*F%d zPUI=vVq3_{4-MGA$rK*rZ%HCDANI?x8r-(C_V@F(>K8<{{;$TiL)dMGHxKkQ)a2d| zN;O$qS@7v`SP+nnOmfTyf)8a@>tqPDZJT%pM4I;e(PHO>wE}_T?x&*m491kOEC)nY zDKF1}wNDKj(1gz>benH9_uea3pD<(iE`DUC@3lVob)63q-z0Z;by=r%H*#TCVB``- z;0o<*sT~7djK_8izlwZ$r4RX^0AJwZNCwgFD!(HvpnRDRC>p_+b?@&us&=x^01@Yv zyBZP*s1p_QLQd^t62^T;QSThw02-XlF~{*{)EimqOOkqoa57ZD1E)HTk zA?pU<&HJZY-@mad2mYJC;@BmU#ZKp2!~VId(w3~=Vt*YDkhUtYHHD)Mh8`GU{t!9Ry} zUh`!~3xFe3J7e#MnU}md3m+(<(19d8$iI^ot710YzePQm%%FM_;-BM!!R8xm9^KYs zYpr9S^(Io&kUoY0z4bp9UL=o~iePqEvTcLoSl^+mnG$w0gz*mD&N!Doecvao{CE?4Y+Aq#S+bvctNt}W(dFF^8FOUHVjB^6#ZdE9TtbXdJ{d9cL|YFvJm6~PIba2qcW0r9<|Wo6y{Ouv|FqreCqlpGnI;N znX9pKGOr~dHb626ZU=}!Z{sF>=;~K76 zWMVUBZiIcT0{E0-*;dJp{wI&1$W;eS`z{Ui-#)3q6ik_$^YatZWkLi2pIr~d2PA3V z!}md>wbuW#%`tNLyNo39$e?+CcCP%v-~XcdS`9ThgrCk8f_VL(E&(WnFqfMj-bPJ< zLDy%6`72e!epHvlMkM}c^-RCke)x#QN&mqd6mpV;7x@q+<EX!%rRlH_aSki0zQsj!uVA(=9w@^dk)<}y`&0%o04Ms!dHp8Nqv zZ;GxO_v{{J|8U9g@9NtA_5ZE%CJ9bEkPbAtvzXkTAQ}SRs z(SvREgfe=>Z9vr1)aSuPmj_U^+gH z0o(mp{0=*d1%$h9^+$bb;QTkQ+{At~&A{L2E`UL9lqP4B&FaqLsRPY#;xFCA`VGyr z-yU~-!+tzg#%!=dZoNTW=U?9n7x0*Bs2m0?A9wMn_RqE812e}*6#jHMhtY+@3}XCD z)6y1inK<$bIAFF=aN_%XkRIq!@|NV_`--&3Q7=`VZoiPvB$2wbSks!iimY`-Fr6N~ z3|;xbPU5-WVMu__eDLU0*WY#VUO}xPL(V9`2BP5^Trs>K>Nc%_A2u zZU9Et!n8P@Q9j*sJq}uq3MiRVBh9x;{l6Ji(moHexX8zIl3Y$Xrg5wyCE@KRI`QTF zSH;SCD|uZt0RD~5=40#?p-3b;PP#=+{i-Ol#d*s$grAR3R}c3e|FG}FO%QmT=Ye+7 zW;&_QsHrMi^k^0ZiY-lOde{MzSmgiMW2>ej#5|(@j~$^Srmsk$^}6?k6{{bXa%m}= zNCOQjQhkA}<=MOKN$%?*^ZPP@F(mql8PAC_rnJ4t8jq6WEYP)u5i%uHQ=8Q~e~FAC zMzC**6<^jyBfOQu1x$9Yw;zfuJuy*@r-i*e!^7lR0HBhl4Ocloyl^?x*^5)f$H%6=7#ZggGzea&6khO)#Ku1sIgQ-|oWwYmHvZ8wSG(OMK#nERcIZI;moq|Cj zKeDw#O;Y#mRuHzFDSbsRL|jV5^|0xGj*^MnL>>!ERB>Enq%Be^WnzXpUsfD2+TV6I z)Vn`RPwLFDoOBbFJd^wNQo9_k27HoqEiW8+PB?L`eY-GgeQs6(Bgs@{Dh?>*1XD<| z9k<2mZf_ZiWFNZN`V?80hWv}Ks-L^Iq)Trls4mn#Zn;ENDx{+&6iml)1v!)Doi78h zI*O?lt8pKbq|as&VpY#^V@lq~Y@({dH@f=hu~CyUC`g|5Kg6*6Q39<&;(qfU+OM&I z?e|wuz&^;hvC676>6lu^`L1+aw2I05NVrFlcF=*sTW|8p0-lb)ia9h&&wA$KaTUDKBH*!n^ZGz;X)yYl8e~l z5`tt0$Bwsy3*D2I&qLS831}RqguXz4nJQGR3dA^%%xAyGb>=*{Mn2|cP&!^c1yloe zo0)xf&8B2)mJB>K9G>DqMLV1i>+=n2daZz}{#npxTt|w8FZcR3hF>W>%EPX_dt{Mxg z9ruZkJ%u&Kp(y-5X-8rix59R~I1=>IMQy7Hn5vhZukS^FnLsQz20A6`oR$oe+BHUJ_X)%X?}G#5Qs- z15;Aqg%o>A^sv0DDW`y+kW%c3wId2Khkhuy4dcX(Fb%t56jSRNBZatIHa_gcH!~Mh@7~bm{I0$+fsZcf zmzd{miufFfk$=JV>u&V&G=JWDkuc|-jkct|IklV(&go^FzAh;dvR7^Qk7VP=b=lhi zeLSduLsl1$BlL!o!xZNSc>vqa3&*R zl&(TSQvPb%%OT(SbE&x{0WWLSCX)54F1|jY^Xwy37HJIcEmYm>b}#4>a}tZhC8Wn% z(kjDyI>}VOh);60QbZx{$O*T&coM1#@P42?S`NJ<-xlIF4j7Kedm8HOG;4dn)Y{`8 z%q1}lkHr2Sq5sv9{^t<(O&*wEZ&vt66BB3t4+@&!yPew&g5m#KY8*ri4jKsC=N&uX z!RpZ9w(FnGcwD%b!zx| zW|rJEBAVtrF6EKQ5xNTqj7)l=y7ixmFX8kxm`O{hk{C-10twkwYb;K3cNE*0kT

qv>~*xnNTqjd`{*BDg( zB(gruGh{aqE|UH31-SjQrZQeLx7h5Tm%4wDaS#C&>J{7}MxG8#@$&r^8#2;ja(gVfWlRwlrIVGX|91t^`BpU5lCq#(ymzv@4j_uw2ab z54_nksle|Tc_r;EIepvm#@uZx1pbM68hJOB52?fzEIu$pce$mjDbmH^ZZYg8;=}lD zVL1*=Hqe*-$;iFYL9TH`DL#Jd)zxaMy_2#t#6<8G7p-FNM}N9U=ibZHrz4 z|BuVV@UiuPcuLjS^82&f|nyuC8)a4`FrCE?{#uYL+U}xuU*P@V6Yrz`KjS z>%~Ppk{1v<+;MdZqgfjw{~c5%%#B9_DtE7czOrmQ}!EO52i@tErE`jtM0vgT8oX-|A!#t9O60d?s^s#F9iVCh?;w8MCu2_=N3pV`f8l@QR$_-a1+iBzK%}*vNlk&bt>~Z zz|?#wc3ql6HO>yJv8Sj^S4@+!EW}OSt+ukYg4Fd-aJld5U(aKMKWTaD7a)2dHhjl} z_2{m1y(U3Ds)teB-xI-w$tfE90w00ZpABZV6X~6)ugDz9Bqj%S$A#FIsA;|yUyH5e zf{X})w_Hr=ZS$7;fQBHBP3;}K&;i$T%?swnkl+3H-AI4gfQK091(_Dq0Flx*W$TA# zd>nV17*|=Ijl{!|X4vzJpxAjB@deBVd^AHB``oXjNNJkaqs2KYPRnFN0|bOAWgi#g z1qyxR?6*fQt5tnxnQ(5cSVJvwcb16y_Vq76SI#umB0I1EXES1G&*YntM90m#`$f`z3@zng z``&4_=~hoFGm;4<#=SU1xPnd8tMA2g%zKqJ^HoqD(d%E$K2v4Jpq1(%x(j{`7=?C4 z1Ulw>UL#P3H6Q>@oEu$SK!Dkw?y;k*Ls_@`uxZ}YH1}Ql3Q~S}v57CHP=s*B*}0%C zB9=wMh0J!jy>GzYoX^cU4+c8zZfeU`t>4P96JMUw?3=+|PSM=Z8zq?VMnd}!N~gV8 zkdieZvm^6axB&R(C+YlTWoW-XJOXFJ9A%@X;G`&;1u1gPv>O=OEY21XL4Q(D!4Stg zi0k};&a4t6@w2h9N&i!j;GL)xFAht>;QxPYH1CzxvXzyC4*X6H=%F@>rr-$qQ&;$V4!aW2{QyK{j+o zHXnRE8DDyOO_XXUXpG3uEL;|5<1UZMNyQ40+(JF@!J?kRW`%flnLX_Plj`T+Ca=(; zv2S46NeQ5SZ<^5%*ql@NV8_|)=vQa=4?8JZCrxmXkSP9VusK-RRAT9Yg_6J4Q07GZ zmqg$y0Be_Y>j9&F`^&_wEBeHG>bv_FPDF!J98SZS-ha)AB2bGjb02!{ypd_vDR|PE zX!2iWy!U*O71lTvT~>m|V)8LisS~5MQIEdRwb?G2j3hmK3p;3Sb{(LOQ;4m34fdJs zCON>&-CnIFpY|;>US2Iqo$t8l29a;m(7*11#L5U$g9b;nxlHB9Xxg&o44mga_+<&b zgMa*fjCV9?`d3nL8Cz3gbla%8aW^XKLwa+EJGYi(W;x}V?5+f-WG_Cx6+lJZ4a(#z z2i553KSwZ1Mq|9SV4y;bwYjet=E6a6bSlOZNrPRUGz}H;E$X%SVK4DU&syc*HchBY z-fBtpmFR!dDZif4i5uZx#e(e@93Jo9t>M%n)$-yXK#xV)`7d~jakJ)f@ta0~{d>O4 z>|lMwQnsE0BPXrtBgYtsm(xOa95q@AVmwF$N50WKXnq=Iri`C+XI&F{b+RqGp4S+8 zC9Q;08$-%3(G-V1U!unTK86UNxFmy;)DPjJ8$@TW^DmQL0R zvd`A8wH`OPFVWDmXW|<~km5JR6)G$(8n&h*TR>sqA*eqgdD`^#W#dg%<0=@y?kHSO z%#;iiSCq)S5le7|&ajgV4`AidnP-h;c=I|1LrUs~qDh^Tg4fxYPZ~GCmgLoK@<7(* zIYlf&D|&ogeS^_=Z$YMK!WSt{o;=c(iB*Fs$+tpO+y4S?!BfqXIyU=Q$C=o(_GKbq z!>cSQcj=Cvbgr#0J?6WB9u-zPD}1kqFPY`u?A24n4RQQ8td{M(yHs_nws$($z>Ym< z#anZCA@4TnnYT62v(;3m#mn{4bQdO${jlj;GwhyZOPCTePp4q*R~PEW@)-syY4dP+ z`g9FjJ}K;%)Try#*Q2Z&Z`C2B!;uNBkU-Fw1X3a^Es1Z$SPa^WK66&{HK+L@i)**1$26CpSA`1R7ZEEnC$|%(y72w&OyI@~yaTnu>SyUaqLc<>bdYeWHLbLYUA| z^5FZrA>GX@#!=MfywpSeF66AAES9JJ!zoj{$|CH``nqvgzJGLGgv2IEH12<#hgCuU zr{=m%k_Rem66ABz!{(}{@2XJRM*U0`8gmHzyh#(Ma|Rj8P1Z_kPQ%P>J-B&2>9X$C zIJ^zr+ZZqW>Rhg|*CEFy_D+se)>WQy_EqOpVRTNKvK4iyQs^@ogxB)%5nHmwsuXy; z#ptSGRQKM>V69Id3a-oth}iB_u7gC7R$6bAr`Pvady=jq`4T}hxfGtHN9AB^!`WNi z_@keYx-I>vV4qHXIR>|(x~IR)c;iEPYKFkXk?=x&=$U-| z1pF)mj)Tr@-EN&FWma+4PBa?xRR~}4*Q%UwXG|7QGVZSJ?D%vUSR}qxGc{sukg(PE z#v9uQ|G();kN$KwfSTbT&U`zK^D&`IZh0?z53#a6q!CS7@!;pj_sJ(dPkWjU%a@^x z`Gp`v@!|DmhR29=i|6#~e;d=l1IZMR?RH?-*Joxa7)5&Uu5kJQMbuga1?l0W6S1NK z*Vk>w6DpDI-*9O{gX&lv(H!~CQkDZdOCbUgaW95P6>+}RNBHg_BS*eH zEOrg=Yejn>$&DWp#m&}jwdsQWsM&2@rTMz%*~)Zcd;I8oNZzI&99G~(N$T8L@L71` zjJnNY<>(d)Dw3%i!aZCiPcICi`B^j#lJhh`YSvH#Ic&%fnhr9l`fN{o5?yV-Qaz!2 zfa?H5K)k;++-Ap^UhI>G@#P|Sy$b=awFBiVL|Kt1jVc2V;Mv42DXbl}XQNtxqlEiq z%%cC%{9ehoxl)wQk2&iuAkcIY5TvubDKVMYy&me>BJg|bs7lG9INCIM{ZLw4cRS3P z6I0jPIjTu}Jq|8ChgZ+DZ#2A+TB%`phs$+RD;p?+x(1%8lpmov@$Lrn1f%z&Rk*b8 zLHu?#gX5}Dy2ui{b`+&0zIqRfyE(gE8WtoE1gM{z0P1RPcG-8GC{p0(QXPLI4)o@A zifn~`SgQ0JHrE}h=-wr-+m*-Ol;5ZmtVF;9Of!KdCJ@=1;AGXNJt#ErmSvFMLhtL8 z=F_j(T94m7Ir~p>R&g!C=O6|tZ=LG`t+%7<2H zZHYIwCpg>M{tRxT>kvQ8(zPMlkZEBQC-%B;7RK2&!QcDG(dr`Bn}jeYzfggNr4HNB zk}Teaac@qZqxSI>LD5n4^e?>SM@C#+*_Pm^ICVNs?AFWz9*&v1qq7e|!2o52#SunY zfJ=E1z&CfsO2=yb{41Vp`yGTOcL1O(I=Y?BI1X(I=%5TGY#v6})7%Y|pCAoAeDID! z!B>nLa`RkL*eGf@W3ZME6$)z5>&~+o%s9#`1FUs825ZmAV-z2921}p~Stp8}S=3%I zHwx^tuk3<{@$pZu%bx+7dB5oZ@1_e=`40kPjVTa@J5a?t*sQh#NY@Hiq+cDFH2D;$ zXU-EZ)^?RMx$C3|CkKnGaS^{(`0p<>JDWg3-K~7-OZ&o7p<&pK{v%Tb z;5bmBgNsf1-}(NEW=v$0KkV6U!}&Q=B2rRbV*wx6i|TP#3MG51R4^;uqyt5V3lzNL z)E~HMIqCa->9LU;JlPV+dY!_bs$~Mq<_=q<@WiVS?ayuo4D@G-@h*Hjw)4Wf_>wA# zT98|~$Jb-nH6X?(v zm{@(o;qCi~CGQ85L_C-p^X*&LIOBk^s~!ylHox}^O@zl#b@B7uo%>Gh@Gk$^Od}?V z{K@-e;Z@Xv?xHC(^fKSO@o2n-ZXO=e(sz(6$M0*%@HKTvp?$2Dwl45)^QWiDy=nT` z(RSEk0=q^BxjjSW5tf*il}DK_E}fnF?)@hBlY*9EhCD7h(Y^|E_ZXK<|D5bUDp0f) zV$;*Iugc1I{W0t&F=uh|m^%GzEqaE(UWSe6dMds`K_Q%sZuUkssHe0XWq7GXo~}@& zaswVjX);?&H^YfRBmr`sX9bT#b}B;_|52=7T?l6I8Kr){IMaCOyV%p2r%~PAKrZD~ z7sD8{Zj(yKWK#Co?876*_Z#ug~<;iCVshl%~qowC4{zSk#PwspYz`2h?MC4 zhM7uzz4Ov4k;K%w*B%V8nmfCyY-mT9wH;2`1M4qf7^w`-2+&~T&dVgJ>o&}cWb#B3 zHEX`>h$c0)XZSKF6=C{A?YW@s(+Jh^`o(vZGWr8dGIF{`htKh%Oymi?y@%QV#=-48 zR%n??^vEhae>kU(%ui0fwbH_9(%;#b_BE#`=NvSCXZu^Qt;8co&t(j%QQyOkFY*8X z_lrc|CSg(?ymB;8zaB#rdFzI4TwNKM5T#Qw^g}^@RHOLMPC1)6_o!B53Kyb9AyRE! zo>c_wd8@QQnSBrNN)p|l1Jyf|MF<&{^^JaE?7kEK&SZy_Vu@K7gXXW(^3D{Xn%2WZ zcIhv$W>?p)ROi^=07NG(>FsrwvWRAm+ROzz;zJ3H-PdLtFj`zz_uDvh;uY)lPIE>p zn0{Z+zgxm1VA z&$w5Ztw>r8wLF>#NL9T&zU;Z${oQ0)EqD1DswWjl&3jlfx#=>c{@UJoaI`j76Kt%( zd;HioR;?1$IwYdi4u#iK8nZ`U-?bKrNF^3VN++Cakjr}tT%<{jw(6=I8aazGpwJyp z&B783wS}Xa1;aSs(bAA2mG}8QW1S{g4^U*DxFr~YpRRo>EBK$rw4d}d6ul`LM&&RETCSEw(>R|IUJcuhsIiK#a_B@G?9^)Z5@`wp;$j# zH!KTldD911aP|sSaSlr!cjuK0>o2Hb8Ykk7r8e$ zkXkGpNLaDz z#$~&&qzudQLhkit>i;7I{&&e!c(b*?f!z|1dsG)i>&WF^+Rd$DXdZzf?m{XLtNjzH zrp5UMC*>4a{kz`>t_Y7?d*+}B_t1Ja!LTX!j5TC^pG- zfB4T_8m#PpKn~V`(fqZ4&6RpWCFh3!5hcZI^SZYz{QPP69HudC!9HitIl}sP%S-aC z9l+#lA=tqm+I~#+V(ZTzGR=2aME~LiMOt)YzOOB~n5oJ%aD#k5ZWi-!uj`iMySdzI zY4Gl^MJd-Q>dmW!HKhtX?Zkp{71N@br;tZ7@vt)^v(T$!rt8((8}_j zkBOmo{)MD4=s|QIrQ#h#9vL1< z9)|n3b-K(|4BBWPBhVIcio3&<2OH(pG)tG|o(K332L7$CiPh(o9};=@q*`8-TjKTac@O_HPK6Zr5I*406reXu&7N|DGyy% z4{7FE_MhCB)T%oK>XyKSFjbywe#m8h|MCgR=+AS_wo~0}k)50Gr%2wADY3Ood0YNP zQ>}5D;J#9-E;b$ae5`{W%YDnt2T)(faYE2%7qi;7U3ToYcFyxPdXoMeSS;3Bva(Mw z1MZKaoXC>`1*Im?B5ja2$;(@(D5qfPn+Q=6%rS*`7d|TRtX6Lg)M;Fqe3hWD@1!tZ zwL9~qCW`?)Pu=KygzK!m)EMdG`-oj$Pil!u7pOOz9E5Cg8PzYUub|EG9v)`Q*V^@q zstM6=B}ol6eUC=951lZ9OouNjAe7LDK}2*z*Fw9 z7TL;;gnzB7A=G`-!^vazS8C2~^ZgE&O(u3yxr zgSmt@ya5KZx#NK%zXiyh43u<2YZx)y-e`fcHLqu*Fp+hKR_jjZh;LN7 zhI2Nsx{sK<0Y_@h+pM*4DJU(3L@O|Eg%eG-s-&m-0Y@Kq6$-2LA^+a1!)J(R3gC0& zn<9RT;mtttbBSE=%dwK1(+o7ZQ%%m0;iztl$$s__W0o5{f+WOyq@vAnUCVo8zRshNslLt1 z&9Ec7G0?p**i5E*?oOo`NIJ_C2mE~!{K%gx6oQ52kQ^W0tkaA?Wg50Avyvg)94?1+-DiW~$mco<$mJyWi4)$!3eQ}Jqc9fT z9_*@)S0C;g!5uGQIbT;344oYMoaaERWJ`zqvi|ZkG;XHdJBY2=aGDzhP$o#C{T)ZF zX+3PKj-nqEsyp4?+?g)!HdG$8N*3d8q-z`qV%Mh&Ah-w{N*VAzbR0A9BcHX#xuryk z@yOS(BusYZ@AzIk7FgI?nwS#h({+z#vC>VZLS?cKBbj}yJ9kL3YmCu@T(j%@o}JRtcyNO&jUPT@h4CW?xyT#DrR66G;pR=0(osiLtinrZU)HE*2=zd z!~o66&O`QK&tjgZbf(}gjikIrjfAhUpL6-1wr~%)fUOTmd-V{!LxgJV&-Ann7!$IP z$>(+l2Z$zPxZ-losM?E+qPCh#p;+%mSnkp(_g>!@Eyd&+px&$!b7vM2Qcmx)%zg z0A^e5&Qdnj*!Do^Ik>3v>U5q+#=Jya{cujYQWi02yGW;pw|lDnt6VC6n`5-nq9nYX zzoX3J%}}4RIPtgsgS%)8@q>MJtG~Rp43|WYGMVc`b=)Q2DdG5zHwj!OB!r(DFAx3X zALn`iu`Ta@?=2`eck>t0Cg$I$!x_pDzBX8Ij_7E9b(tT3mzN6F;`)%JXp=DY4zNU%a+1%oa}v~u(|hcN6K39WkMzq{5z!5 z{~w(SFE42naOyZ)pscLC%jg=|3T5N-8|mQ0o#>>7{EpL7uqT3{Sql4FI->X$^7}N| zX_LW&;b6 zK(j2Vj_^Ou5+>)IG=%3oTsFuDW3!&($HyRPrjzA0O_`wUd6yxx4y?38c3~+0=23yg(hE7umeZP%y!3cHPbRl$bV-e zasnc;Vn~3(B95YxCqIdfC7eUPAhNS+7MM5-39KW=dUUJSK#j?M#Hg&vO-_q-5Zxv+d@qe)osHq4RCZQ62 zk8>Z(s9#b}?~c2_2+kVo{K0#D92*RYT_7!`|J0lBlVkEr099fyqEZ~fUb#KPGgH6~ zn#jc_R`u~qRk=y98ayKZy~Q^>t+km{<;f3FVtLH3BU!nt1TDpI#ess(FdnY2eOzy% zrRngwPD_5JL*MJ=Q0y&VZkpiQZ@l`I za5k#%ZvS1lJ<=oW9iO&2Qe5l$9g=ZpIX zk*DMM>STlY(6l&jj99YBu~l+0&KNO^+I~DrQQ1Pj!Sw(h34v^q6x`~x!yF+=WngeE zOFP|s%LGMD^`EdfT}Q2$6oO*T7V+;0kT_CQLpyp7V8O(uJT2-jd(T7SaBO(o{LR#m zG?*SDR{oc?*TZ)jC+Wf9kGxE5J+`jr`|-a1-h`3@Bgh#^!cHVmjvG9z~KQCQ2SBcP8^ppF;uBnTuJ}As~J*;=>yz= zM!-&jdSFq{-j{vRg25guk8f`rQG{=fo;&Y;d&8S+C96n+r>AS~*Xp}8Y?(8Ml(=nz zj!G-Jt#NhA=&t81^kDH? z>xkg~>Cez#gYKaJ+8kb=H!V#ScHY*7BC`c8ZF?lM-RDvEYfx;a4B7`y)*1J;PJ+<| zh<BOd72nDKM)-$?VI;CDTz^s-;UcwSEpCol| zZPlrrKyNQBDN`LEy8;-Hw!`Vl&Qer*QDXO&yK#E>KKq{E7wM1Oo_d!k889)@nUV+sFfcB?ZvJ3_J9$LwQs}{L*Z=PsoHc<1awm~m|Aw9gRI?~h~ zbYZf@%c}J(gqgR|l-@$?k!ug(RMv3cr>5qtdiTW5BC1WO+#7LUQ6osKh_noK7{j^E zIck*>hmJq17d0_&J6_e&E0n@F-daEU6{nkkyMPE+s#h%nER7)6r++I6y69BD*}IBf zs=da>mFlYjw#!gT2OCXLR>?M=5?PB8U0Y!#rnOLQtVI)-tO;8UHbKxD$4h`Ryp0PJ zlm7asBMVz57J-0+GEM=d6V82fE;PKjf$_0IvflcHJCbC(ODl7~U@5oL3lfR6y17&& zg&$mju;Y!j1`7!gI%#HSQZWI#9=Uj~l{+1fTsX3|W{LyPGrw4jH0iTWDMSms1+^_f z;(OLdPvSuZummN}J_n&+NJ_MzZJ&kDSi91ko5xU0zD3U2%FP7LVO6TsxjM;?oTqGV za$2Q6u0Gayd(my|M0V7fJ(3{QW->m8r_49-HS0dQvUG@q@%y!wUo+5kkjjIB9N=~C|{^^wBon+ z%E_pBN{^9~tic5B*1yG=3r{f9ATm$iUS(0U$oMuiG>i*l@&b>_qd zD&_2}=MhrA5W$(!hS`3Sk1rIPcp)feAQP)V)mhJ?V4r7zj$iR_aeFy90&IPsu5WX$ zpJ$S;L#jOTb5xxsi(L>rsVmUQFsa5v89&BKvrLg|_VMKQ7>;{`{=u^HT1Nfvbfx30 zWoj})KwapmaTC9H;Eab~NZ(~y79(Jt9eP`i5Zbm4P0DSLymfH5l9}yANr&6!>VBxM z6urbh8jX{ktfm{lk>^n0*8VqFnn8V{5yN~_A6eN3O;Md0r+C7Jj zqx0wXb7`F?T3rVvJ=(<$#QK=6z<_&6Iz|263bzfYQg@Mq4b_7L19FR949_;J0?s2H z@WnJq=i#izR>*@dNS|+pHi1bunl7tl%xsO7qAEX%vJ@dm*%X0BG(GWc+L^>jgK|nJ zE@M{h`Ajeouja#j&D?Ox?u9Lq{7D7MX`vGV6=**ircnZZnx``ZZuDDz;S5r^JV;UsO3GqjF zUCG}%bMl&zr{*8Iz^nwg)r_4=X#al42A|(5#QR$JsUn?tbC&ZtK{oqKAK|L~^(=06 zO$gZdp5;H%C0Q3IBTAV!Df=2g30vV)*9k&yGwRn#nNZYv)yjQf1X+@~!%-8k)*1U& z54VA^Xch=(UN4)ZH2L(tpKdV+(zC?@n9!eO#Op~+H_pZG4_52{?z@)?%mUr4{3;~O z5APi`_&fsxI;H=c9l`->_o9heK@5E+Ug<>f2U|(RVNBt4Yl(P=HP1gneMc}VUG5hX~dT(lC{%(4%AIItUGdA!KHehF4d!io~+#>Y;l3m7qa+RKMN_Jk6; z%or?#d+9pYDrhP%4sj4(n!n41Q0a@?eJ!q4)?I*kf67cVQb zb|E1C#;)Dcf&;FRe;4H@6GIA5+`CSoeWzGIJ3y;-i)>m?ijGQZLf?7TE=IavUmRs8 zUnR7z{Exz7cy(rz%ds_qc|1{rc<8SpLj6LRotvj5M8~cg?ijP2>tabLcG4}JYg@E< z_4JnMWnyje0Y4CLx~F)x7W>P6CE|7I&PI2BpACMzBE9pHqxnhKAX70IfDb|Gtuxk9 zLX}!Qc)jF()h9C4VfXQI2Me!eI3;@F%ZY(aKl+z!$rPHT#s!Zyux zH7(%Lht;jO75QxyE*%uIUJbbbsx14q!!&-+P@T@0W(l(K4-^Qt&1z`C4X+yE-@Ifp zCa}^~kU{2lhaTkTeU@~Rx zbdj9u=M3tG9vRq?=JS=#?yA0qRnd9#zVQ$J($?*nEM^-<6hz2X{9a_DMoZIJpczoF zEI7RWjK=_HP+9ogHmu*{tZpZqIeFm3a;w$Ewqde%fPz28ZhgVn8s=8OG5mS`a<}REZA>Fa&0?xP=?6bMP8D1zq zu%)QswdR?T+8H=sR=ub8Q148;@1iE{cy@Ygqe(;Rez;`eumx0gT*=>mKH#07$nRRm zLMn7nTH+LrNNscJsYZ+@dgU0`G`XkpsJ`&$8|x?kirQ6U26HkMG43MAdR1Fex{M{n zw?tDpg^&e`I989Upit_wZTJTl6vjw@r>IWM+Jg{VDL zTPklK_HIpi?VntgV8o%+pEJ4BKyps;lGzDM)$@%!V!@zhaXIinF<-!@+15XY0R=ym z0bN>hIMH)q!y$7NJrFI>f`5uW6q=51qsmBj(=$IwF7YaWa*X!pM0M;8)PHlfm06j| zm|{hyvfp2AfknKtwt;-M>-*d?NeDLn5Fk7kv%@xXR`X|3VA$!Q8u8q-DzmOYq*`=I7!v7^9?ZbNz&*W= zL|SkprVFK(N|$mvL0CXnSkYw$84nj9`iU##2NgFivlv(x5aHq{Q*}0&>YMT&vjN#9 zx7|j79=?@l>W{lrdrM!+A!S9R*-r1;zuN-+{|$zdr&Q!H|K211MMn=7!Y$8n zZ#bfx4x=tAN6)Gi<90O`VZij;;rwbU_i)yw903~}NzOcJeV-s`?NaC#4Z4B5$KXN# zqfkO{Zox5ruHNH+_3l~xlGM-q7^T`xYs|mGR(ePrvM0)S1N+uBn8uSZl_>@h6(2 zx?lHYXEuy8ynT0Ua~K9o?vA)vLEWHDW*t+Iu|ZMk6pK<{W#xU5-r93=PX#FsJc=Tm zpGH+2SoM|SP#he$?b-u8db4yQy3#S7r>cC!+bO9SPxf0fHax#dH$@G}pnV_`vTTH) z>vnLqliI9aaeS!u7OPjI7R6-y>bP?;zfB$Z_t$-WYe!^4EwH++Ia&3oS>cO1Ke6?W zlG`qIR~qLj@+JV+o>D&3k%uH8#q^D9t;nFYGmI36I{cj6 zTaAk1-YkQ!-2ChZmuKrdZj|NX)qg6W`7nXmSwYPDlhKYs=|Em{zm|>R_RWZ}(X0Kv}QGi1p z%T?IZLPTRzPZz5Ay}tcxC0!(XqoGOENN+~x6m%eXcBD7akO%s9$)fmNI_oEY#?Go8 zYn{uXg(k`Ot}E$Ze=c`HsK$R1cE zC;g3(|FPCk8)XIOF4lT57attMx5jy|AwLlf58XF}ZT6*<>;a9utv)_4oz5gRe`cyx z*)Y}fxeZyr|Kv}7Es%ws7@^4?WcG9y~4jglRN>ZOu!f=3+riU414gJg* z*^8!Z`j+|LF-mNYWGc%L*T(OT9?RuN6Da84!p+xQG>PukqQB9fvcL$TpHq$_RbSf~BZp>w?&$XJvGpM`>-U5tH z{{o3rS~!mRJxl(PzIoRT%u?MzoWtUaO9m$f`DK-AawcTy<dtJm52Q|~ zRTL}(-AgHFlRh7oJ?eVQGK3XuR@E=OO=MnDE~iB9wjOS(9GLX2ni$J%Ev|Ik_30O7 zNql`aIai!n-Yud1;GTHl0@)`p@xAxPd0JCtgeoK)zSnycXtxhJ~8iu0vygJkNCR(eK{*K`rPDH7+Go6CY;dC}m>v6`YeD z$?APIdwVO=RrEP&+ts7$LZ#Wesl}>z9#9bT+?LJ7@4B(lUkGibw=i0N4nED-sjo&Y zBwmDjfS<~{8e4l<%<7KR(r4e0=GMe8nB``9WOF8$?+KXDkSyO)@aUi%ryAi*Mov#= zEQoROgZ*L(Y$LHuos1H^eRE#22&E0DjO@!D1w7Z(`~3fu6i9*Wtqe0y2xs2A>KRv( zed)wVc!?~_)V22%5+C7RCb&uW^czQlGt|f??;9!1;>th;Wr=w$;=DX`2O7|tYRmX! zpH}}1eE3l`E8M}~vN&DDyVk1wkAda+ zOSzr?c0OjlYsUlFL%o`6*OG0d^;z4}Z^?<64EwWinA(tchFKTO&nrg80944F;!{-2 z*<(6l9$fSPEM+rc>WYCZd!3|h#eGLT_r}vEa3EVG_dF|PpaNMmZOU-abTk32;%yaf zJyISs27?C~jWpsHbXO9)9j*thE3%xY6#H`^raq>^{Q*ToXv1Lz8a~)JEdHn&SPD(V6d@NoSg(L1*Q0jBYw?LzH@Afc86afEXebVNZANRY;I!UIn~2(J@= z`yTE((AUFSK6ye|7P zhGg+-mzSb}gDI0;@p_6%Wm}9OS2Eg8#}B{I%x+`WUPHf5p6Ko>Td2y0(7K8LRxwoL zUA&r4q9|bi`@eBB?!n`=tEz?$$7Ots;i?J8lk$$^w^uZqyhb%EfEM(wq1obI?bGY+ zhj}wdgXP;;kJMoBHE{x8_O^LwA?jU7e&mMxPVrDKvZWb+zAZ&@ndGVQ^q*z5)XMxe z$Najhniboug~}|Ed)2pmC|N1aYZAKPn7PC+to=|7uw+eFbl)W(oMPvkD)3kY z&khOTm66!ByU@g zVf1af11cbiZ66LQ14oOEccBjEkpDcy*^h~tnyIqBi4rkgOB-Y68}aNX$&PR@P$fYM z$v`N$Hg~84>4#EW!pi101>%FFxz5|Mu~e>Vd=kckJ4VbhTiZTO`jtiTXsokGd34ee zMR&vuou{>0Q(f&yHQ_@+j?00+h?kI3&3uf3*Xb2TEn_2qJA$rGfy7Um^C$Vvr7Aff zECFnzhYrYa!zdog>a_cEwNlWYUt4iQY!GWhyKN|x2*#zylx^hZ8$ivD=CP{SK#^w5 z%hC>1(cXl2GldzbySTsOOzi16M`BqcC_J95mu&9Odk6H0R>nWj$H*X{AWnX0kb>zN z&~2`;HGEpu(}?n#_~sg4yx%HWt0$>jz#-c3&ErP56kFRpHe@R5acwqq633$epg z+r2(`D$7>=DPl(Dl+*E#{2qyciBzT2F!I6B_WE00-l#OVTYgVdWHeP!E6i5w0jI_< z2dV-p{>0hP++wpF7@_bvlWnvgx^}ryPHKLpK_R&p9lKInuY2~4&mwE*+#;-)Z zQ;AqD8+^v5n%R4z^be|@4n$C1JLNiNEGQX9MH1D+F0XveGLAmM~}{eqY!(H8H31sFD4b#@u$vQ;PM) zSJvj~#M_Lf?R7MrvvW?=FRBtu%J94i6k5v3LI(vcP)v{foPI+&oe}!b2|Q_#)heVr zRS@AU?8-9~ejP`qN)d=}}ih>}E1w~=o2Gm*7< zJ*1R7MEtz}zc}(Sp&OU)b$q9WTIPGs*ZYdQaZ+;zdwU5|)AGPQ2DtYE&4$-V7?KRx zD)>=#7Nu0lleB9EZ6Kz@Wc@_^ml$~9I#ub=oin@N#ML7F!~jU}#u^`+^wUQxb@a_# zXtX(molz|2QD*A8IfSvX%ox*dZsXtK3P`zIY^~?!?E|4aOV*|oJp1CJ095jW?yyzD z;pVHSqB}J%aDUj`!&bsP~S zm0Q(Wk&}d*K~~>+m5d1yaiWO5h&kHecOJs%cPDn`U2*)J^RL=%)!ThFpSJ6tMedqr z8D&+~W_-i3`-Mr=hoyjbaM(N;Uz;9eJn6)-@v$<0Z$#K5CFJ(v9%4#~#~fir)fqn* zI}OLAhMAx@+W1iM|JD;io@M;mb$y-t@IZ<24g0aH29_x4zdbe6)z=kEx7hMe9 zJU8OazOJ+0`px+dfgWm=daw~k=dTrbUiBtkEg9>^p&>)B#CO}%yxG}^X7qfAR}FD-oM+d=H=DdN-rDH5+m9ZY| zc+JMoP(8KsWn=)jT;yhrx0F*ipxVtMl^ z^VKoOXZ_+o8H-8F$5ihO$v@FH_wx_n&iqS5DmeVT{|L#TV6QWdB9F6o-5i#9@k>r5 zz#rf)t72qqIOaM-Dm6hNrStk)9A{r5C*HY=JaD-)6>6IPF+-zM$3m08x{avJ!CJgP zgOM94t0Go|Gt(%Xus!VXb%~JrC=)b8@CDLVG#Y8+qf|S~S%HmVn6|ke^_rkIDD z$gR>AN}(!g)|*hh&VY90T~?|5kcgdL7+Y*I4Vo)3gyxr+nP&07 z5{9OZ+v@7MP!pug2NQ9|dQLsvn4{c%ix5x(aZy4JUsIA|KO)B5)AtQ1d~S?4wEy~zQt+(XV;(f#HhDT41ia;vOy^rc2leTa}a0IBXe|WYc!>eqx z(3s_1L5gtBzL9IpPFRgdvF^=K<)e&vJbgEFeJ|#4Uyc=(Jp4FFk|MQb$F$fMX-T-AAy$P<5lW&XAjk+CBX5Z;x{{Eu-+#sMMd*3B{mx=2CG6~;A>&aO1O7}F&@Q=GuXF1 z`52xAx-cP^xE&`+WE-E?IALh+wS32j3tiQSe)t*xcn2c92tgt*zJXA<(Bt@bvj6`3#B#%B_=%*r_Jh7ni|eigMoV5#)OKM^so=& z6x;qwiFX4Wok^yk#rp>!Oj9})5^SiKy&9jl7)`S2I{;6gv{00wQ?vG#pG0SS-a&WO zb`xLdqePJ^amt7?{czmg!mZT!k{DG}_zfGrhy;Tp&j|;OtMK`nq^Zc5P*C0gC??7` zZcVm>WHw3Xd|k7hI;XlgKP&c4r6R>VU08M9--b(h8o{*I?(M#|JbX_UPENHl5Z5xP z!0`852fCEuF17)wgQY*=4nvtU3YAlZ-62PncZPj-*mLmY(ILfAMe8fvu8#AEf-gnQ z-YU%I&FBL{gYy^^(D%aFzPj{ENpI0zDuXWpqa=kQa$|& zuQnP<>Gb~OCx}6w`cs!&mk*mwUR8*Iyz-HGjJNj~iY;2bRiOiE*41P-Ie(6nJ7ec6 z!3SFkH!iF+KnK#F^Cr|ZhUzWJFX^m)L4W>L@O#+5^YQ{7>pSy~g7LFAuH^U^_UK=Y zYs3Ft!SiFzQ*$}qk9zBeP5b{Cg8r1i2fx1)p3Xa;NPPVgh2{tQ0n+eQl(-;kHv&4F zVt9}Tq9|71gVrVg>;UD0WPuTwV)VZj3s$$=hpUS`24^*tAl6SJ{u6`8CEOK(q?(*n z#zxiFDJ@U_#41N(ExU}r!sAHEvaQ*o9f|mwaQHY5D0~ z=b$Z!ecHUab6U#S@%7w2u)`rtg6h3ymd{Q<*`%B7JH(7 z)nlZ%{idT-U&e`{#bz#GaBL5~yqiG)~&}>$U_CeVR6Uq02s4P_Fn6orQt1 z&EHt3AHM{vs+1?7b-#L&jsrMh!8?(E!dXz*+AVFT>8l|47O z2yQ>t0${1^i;X=*Fg-kfxAU^K$^$$091BYpjQ1UB5?B~=SA3{rn$R(Ahq?@}Ze>|C zPn7LB9WBB*JxV?W_}w)*`AA>ktz=z`j@4O!Xgik2`C}{Y?df{+DKlR5M~^;1fQF~W zAMi-{q5pILjwN`h_rV{V#b_bK`S0 zd7WTlpn_loXHdNHKYVqsg?x*;j*P)|ly(TT(piYCTfixu4$&t&d)sMasG<$G>d@@j zT_j4S{)4oj4AmyK%AE_Mu@10q+Rk+mZW_!c5)U!mHDE=pmn(~kH(pX3P3aNKO}zK4 z`(84ny~WEIcyw#AUC5cuX|8NqYpgRdQa`+7G5%PE#5S$N`6Wsp`N~hbzI;6ZyZg*Q zNjS1whTFq&WGVY1L=A$fW%cW(&g%<6ATPsxnGRA({Id@<5(;*|%&xUmI9)y`!QTlz z;hJ*><`^dsDN`qis`{o=C;s_C+~^bTr|+l7wv{+ z+{NPB@_<~)ur{X~s7`IAm(#!@+~1-qiEHtUh$R0hv{ga`ct()Sm03SlgtrY6CnVR@^o0acx9f+|70%N<5^yXo&M4 zwS(fuQH}lMQuB)hXD?t_L?j@HspE@$;b*>=WZImBG45Pv+&L($Cp*kUAkD^B4tx;2 zT3JcjsP}Kk#SvBeQ%VY&C0S9Ry{>BEw0pYXc9cD9MuvzHKucj2>46?jZaWUbzaMrA z={a!@yWF>R+pK{?^4WZ2#m84OtK2q*dnC8W6G&|bJ9R5wD>P?%%@drknDs z9_6nit(vK`p7|)59z8RARWTFZgma|BYiyik^MW!WU!rOydZ$SBI>ZL6R( zi)Wg!q zPdnw7bgyQ88gMMBuD}b9TdRZK)otoXAU4@_JM8J}>ZhBw@%qfHHQ6#P1U=KIS=gli z)j4Bl@Uw9{LQxXkp9e7cyAPfb0* zk39*_3qjL4XJwL(Zat7RzoAD*(JGd%c{MLyjq-K%axloBW%_g6g!nTt_O?y5dE8k* zgz$3d089Q-v-GQx=)437W(zw&|086#Z9Wfi2j|Noaqol>X6oPkYt74_ai0zR@izYj z*tkl#Ex%8Cixa;Y5O;HA7Zse~fA(~mDjEI=iw+^UwX?+5%`m-L+qtzWF2&QXyUuk8 zp&{vsWKBve6{L{IvMGdOFX9^FVcOvitE?ZT8>bZ2%AxdZ9>KM<8<4k{mG*Dg_n5tL z>b)axqCi6F>nB`Mh#*G6s~_brO7-xwocF+#Ht^%fZ!V*K&!uo$Ps%m->M~&%MhI-C5bpvg7`#zt)0EQ2ZnIAVqd6iNZxNx^M@?eB9@+paXVFhhF%C)TyHjr#5= zyq(P~O+xWh>zJ1pr=zn`J78uuIHeG}+@&lPMuAt8BOn~N8j3bA(yyezTzFTb7W_`L z%cgb;s)FpQf{Wvh-nyJp`RtU?^{>-*G&gTCuy-qs^@N?b4)Be`;$+-c^Al5AGHU2; ztEY;M0&;fP`B(Q$zEq-V4FgTj9}ccAxw2hc$fn(miA@Ek=tnlPFI@Ih=-qduXtz_xirmW}l~Vq@qg=NLq=b)#;L!dHvtK9&%3kGqvH8c(c+1ZH;gh;~@q zgtCp{_NN6D1BZO7a-1&lF=riTX6WViWB`8*dJGA&!F}30BYR3s4*J zFW(|z1SnmaUwia!swD&vdp zVdcr0opx`izerHYw7he4782x$D4hV@X;ql;^(bWTCDyVrm(SD1RT!8n!IjKa*Ao>` z5--N7i!6KnWn+~Q1oYD=ZqO)g$y)p;vCea^Dy~|pJ#%;Hf@y{Jcl$I}#Ia6VgIV3h zkCzr!+H+RekvCZV6&12<(CFm*&xvH2GGEKtU~b=g45cKdVj@iiNG?c;%hR^0{;@n7L2 zk!Z>`8NI!wC-l$|dsRkSCbRimdAP*N)wKXSK*Yb3(JRf0*Vgm$@!v)`&6XK1Z3U8R zW4RgtgxfuTR3W=BXDyn3?mV-J*;O%n`^VhDFgBRFs*}q}3Z&gMr;Or@kg-tRot?cHXPcQ20iM@1u7 z^e%^skBY|nsKYJhtFCU_%m|)y^;R|B3nU4Xy8RHMR%u-~t)l5InRW|3q3svNpG-q% z$Wd-@LOSjSoTc0Ls$C?E8ce=9$Pqi?z2MWvyrW92a}Np{Pebe|XUnDknPro>4awTt z%2?U536NHh=BNUXfUj1eN+$XRf$bjZ){%`p{&}>Pr$vi+HJ~Mz@^__+y~$!1e&aXWc`N zzsk6(oxb+j>BUGfF3B0o;OWITH1g>==9u~D47CDmxHpwTNw_Cynj4W{!+|G5$T|jc z$b;U>wyX37WAsTKLiQT>o8wu{N6>1aux>R!bpdg5!*Pw-FbUzE^1^R%m||FC1;Ye} zWa532w;9S){7jRVOIY?m%suGTJif;$ksgB27J1=v?%*m0-wlj&2MpOvrnTdXoV&>k zlaWF@S9bL#b{z(Xz)b2URzZu8j0ZnACx((SMlk(f%}Gp2j|a5N&AFWIiVa$s%!>?m zkk4-|aOi4XUaBMdoM%6|07~CK|>#wV>soi!m`~CHbOS0V0D8c%L!T zrGj7as#%)RC=a31337<9kcDOdjjkAq3-q~nb!GqF<8pk^!2pgOCX;VVJ#gQrQ)p7v zD%%?u@Dm?!TwWqy$(>B_6{%9x>Z9p})DeviL*t*?pv`AD~ML z9+;+DW1P7!s!gOMD8%}bE~#u6={ZBL7V(QGZ@O(lj2rv>_iXL{%1sOJ`ob1|{?sKl zp1;*znRZ54;E-MC<%C9WnR!d$?`$y_8ikATP13yOTF3O@Msqh+HqGj(nbc)k$+;1B za^ncryC%yC%bullu0$ZRC9$?;QZn);LMKaoSg$R)t2q#sY#>?CY-zCCIw}@)8SMTk zQSQqlS`-6cCtGS5H!fm&AWHJjdgg-Z8`OzC2TKfI>Om> zODV6C_i?RoqEu5Y6xDoD&5--AN99L}LVd?PQ-5D^jN?SJt?>ZrzOGaYNri~C=PtT9 zfuGx%g)VnBTeK_nV2qY?KE-vZ1eMN&s$EvG%+uM_tc_=&+M%fdis*1XZ}31`A=w@S z>3I`-pjA@~+|mz@gw66+OQu0Lvo=jwo>r8k1wZ%zkEvQ+YwMI9_NBB`&bvdj}9I_nfM?Ub}S=r=tL>xz?M_^FeFijG~6q6phn zr_CMB#2b%)$P>@@6#~b0pVdDiQ_{;uhfZ?k8+!6zqmO!dotR6lLwmjZI@9ldu)7nj zwX#%#nZOx9ka|7Po#$mBIur8lU=nTf!)hB*pJSPjwc4FcGk!pUbFbSk2h@JIF<*kM zYC7_+ag*cDe_0+$bf97%R7mpA!r(HbM>JT`W(~Pvu!qavV~(usCAB^WZH^_$@*-G< z_PU4kcut^Hg~c#@iN)XDbzf22k}iunx}Y%^R>Q}Bykj)w9JIa?HpyqMEY1ny#6M8s zCdYMVo!Q}!%!(RB*-=3(bCUJg!?wzj@CGxU89^3P^XhB?IY0hIo4Me?;mKXu(`ejr z<;7^#5Ky)AoF3}-w9nnk_p;$*&g*u3Sfpea=-f6$YN)BVbQ^^S&=36%Y9%^edrQyc zdb8{KKgW(-R%>l8?gM5L=j=gW6UPMbntEa*P_UiXd|t66O4D`?-L70dEd^GX@Dr|; znjqudJDY7L5wZvG9YfqnskNKHIq;Mo&AA;f6I_miXF3)8)O@IcJb)-lASF+C4Z{!# zYJaB}RLM>ygT?#$|3-G^9IRB^KIQ{wi~Kh*!ZZg?Ygb_H{lA2FWPU?M<$l_FUP=Fk zz36;vM`ex!{5mw|q{8c0RGC=9aBJ!c_V`#3PkG45yAwbe62)HVZsFk(t)es1W4 zj4VzqM$|?ayTk#g!0Ze(SIp}6yLF{`DJuTGZ<5tND8#ucRNacIfIZFAanExt)2t(7 z?SlEYE$MG+}oL&QmbkhwH zy+5!@H065#DmZS{*8z;{+yEfeJCG3 zSd0!ow@BbAT;xyVdSV7{89i_`ugm6g`m>g2x2aLTSyNtEM4XAug~OWFOVxISJ4Ro)brBDe5%v6Atie9i|D<*uW_>ZooG6e2gQo z{?J{82M<=Vc^sfi*(eaMCe0AHlCK0j&FK0zT*bAm5V=g=%;B33LV-M2M)1(x7(Nll z+w7+DsdcugJqlMwJ5;Cjpl#uBspRBx7(=Qn_xL0+$4e(Kxrb0X97$sC7E2M!#1%Gk zq~Q|-l%Dj9X|N0HMY=qtVM-89H75Hp6o`;q!qxX6VfgbI1%H+|Y(j<9b*<`#1u=r0 z5%JJ75xeUx=ahMDh_9#FyXu|=WnA>Gb5^(~DR))GOtP_emzQR1yi_EOrC0Xnamswa z>5Ybx+gUWn8sDz2M;wi(wz^buXXog|3m>mE;>VXeAtE=OAwCnJ9gXZE z{E7)$L&bCo_-itU27~rS6gMXR>0Sf54M2EW>YN3!6y*g^C=UK*3;w{J^!1~p$nMar z)&Xg8mB(k+ld?cLsv(1-yvA!x@4%PaIo<_1;~$~RW4S(e{&`wU3NSB zgMFmX-71BD7R{M#5M|L`drDKlw9zP7h_g}lfgvStGi`uBEe**Lty9)_Bgv<-UCa3< zhHgObt>?`ajMMXWebb6Aa=pz4>OHOMBl#2?m;YLO*`>wnaR#58WZc&CdWdCA@jz@F zYQ(*DV7BEn>$lu6uz7>ubMe5-wB6&(uYb4**1=p)za#Vy#P##rHNl&|3NirRo(Py6% zDYd8*s-4b`ib$m?7t_Vl{ghxz#)Alrl9i1Sq0NH#A8$zqo9_t7iYFj^lAQ!mxPZP& zUyF%U$-f6$izE(E(B}a%jtARP4=4vNJ`eVr{{KY7xi(Q;>;+MN1_Z-j(5~~lvl2tp zvRAxN$rDb#Y z*_(x6DcNUpW7sJ;pO|7u;pHSaK-fzbq3av4yUHH1E@cQBcEm31FP2KrW`xg~ftzXN zc8l7qFJh&cc0G*5pysxCh<@1@OPh98MKUllvJ=IDeV3I;NOf$niUcPrkL;c(Q$;+J zX=tHe0hJgzxO7y!D4ut;v5`&T&hZ)N|>|0k_9Yx-D;9CSbvVncj*2(AiM~*Lj zMss*sSSR)Hi=ow1AuW+Il-4|Ay*&C32GHv)36*ZD`@+SV@rG!-TihLuVm?Og$HZN- z##yO#_OP}I$>%A3L?RZmyv&K^#|~}Xo3R*+4_nr*9P{?C^;Pm(!-;jeHckI_V$q87 zRp73|p!gHVV>;iLbd2J)Y0>g!+0iIM};TmSr`sRrDP|JK}{{9%>YaY9u2wK=%+!O1pkM*c+-m+)VDcJlj}K{8v@ zOTUk9Yl6*a6QV>P251ibnrq))%^2F(x`S9(C2TOjf6$c-KLx|u6h)Vz1@u_LPhD#D z_p5gYAP{|jXsZhVz^l)C!{XA26Ai*)PZkQ zBmx_h(SLFr^iQHbi7s9I&yV%UY(Z>pf}jkoMJ2EWyADS~n9*mo!>aRoMjLOZvV>x- zQ#od6GOn^{kUv(z`WlNi2#q9S>rc2PcjP&z^ZtJG);Dz9y@Q?-hxm~A9{;+^O_C0P zB9;K^Hv`v1_MWZY_I96mp9XKi`1oXh5D;*!$6cy1uDyoZZMI8fmQVj2o84m~>7po$ z&z!%Vf`sy8O-Q1_f8Qo>Wpi*^h)zDtKV4QL02P4q(<*b;uCtS#ed;Nqb}l7_eJbm< zq)tkQ4lYz`>XWn25#q+3GkTP=2z55FZt#78hiD|#@?nucxO$+}13XNG>tVA4R^_V<8I+1F5m-=-_hBoEEHx7(&~iaB`c>m;vfz8RKXR2Vf^q_Tct+`QJ^ z-oRP~!?!NUiXNSf-VdYCt!_ z`fXn-qiX$-lD%eArG}m}14*K8_clK||3v<;R!-is!zMNxuEIvfpgCI6*C+MbuQ?Y{ z)h88_R#G?&6jR5fFsO6_*}mqhoBnPG#uICRhfCLFEkdcjpU%}p9lN`2;v2Um!JD_( zT0mF>l|mf9tz^xZ%jTzS;=7Ep8tkz5kLec?M(g>S0J0ymY3{Q0`q^iiZ1&V>$TmXI z8W=rCl%$7r-I_yFPk$*tqlq(Vc{9}oW!psq^#X&6w{LiRvT7#)45wCV+nly1sDLe5 zIA^jbQ&KcbulSUKOhd!jy+aD^Kx3`A8C3eZJ^6HhlHv_{3PMBc#$yfpO=Qu|A2V=) zPbs#AJ6E0?k2TDQkm8J@Wp0k2#8N<2j*ypd zS!Xy>s0vhNiuUyuU`*ZYQx_3sJ;f8(CH-GNzyh#Wm#9G@XlAA4<+vemk=1DBgAh1v zsyWJpexkMQ;>o|?|Bs7pzXo*Z zkEVjmr?{OX*YkHzJScw?c6Gy@aFsSW*Ru5bK8Ogh7g>P(^(1Gw#JDhT=Rwud5Y0*u z5CwwngjYvAY!K$t9xf*B+d}uGL^SSYS(`YPLN6{4I^2S9Z*!@XVgV@2* zWgtR&fz-+s9G`o{CsGVJmCK$&IvO(&k3WS}vfyWlp(%(IA=_AklN|E*Ht;r~_rEo7e0U-_AdjX0T+V;_9gN90EH9T2jv>#BLSxW-$_QQ&-E zCvopGLZ}b8pb0Qa{Mwd7poTr~jZ)sF!rgc8maKuCRkq9*m(8>k_ZE#_v9ID@5w%QP zP#u(-!DNh*UhR5)zx##GnN**|FhA^<5?P!F54%)r%xGnL!OY6-%FR5rL-|8rAoQr1 zdz9xplJ0P=D@M(GI|oJQ=&XOrpJmKeSB$r)i)?B937MT3euqGz1g+&anx?}uTQqH+ zIn5|*@HJ|nQQn{0?OA27f;k}W+i1z@MZPGT^G6~#O?CA7y_HeMG4+8N?fp7Wdzb`f z6j`c$e3n3JeAqVkz0UV~8ZT&1Nn63+GRC`lm(2k6T?W;jJ+Avzvt*d2aDCE9EIK7_ zC=1V}2oj+w6}urF*ttlVP)2tG=u6vP_8#t*9kS_BkN0VHkxtkPd4Qf1+Dq8Zd*inK z&PcwAJ|(*6OBov*=@Q?v*rPYO;CrN;apJGLv8rd^(Fnasj+?1tW3n*%W$6LFox`Uibl zbyjfUTMhKe*qyh^AI-C4^;j9dU9wOh7qx^+!C3>DW)MgIBV=y+XK|fAA7Ld%39Sx6 zwWr7%G@r6L-DaMGG#JBh~kmz=1S^mw!p2$7|y$)@#$V*~iT3E{>Lvd8~F z5y7%T2o>o@YN-ZcMUq&7oUB^#Ogx(KyoIDqU)z(8^~9~(nmjl2=0wQIH?xCqyj4ZJ zYVzA5FzJ_iGjGcDRbu<+XRG~s^we*4n>VQCoi$zy_M6h*0h9ycessQuyVd%L;L9Rq z<#KN?|NG8SQemeB1W_mYPq}h*NgDCHW-R1A^6fZd+k#>c} z1C7CZyb6eVkpGiT@yf=0_E1IkhA-npvFhq3 zTIgP2%MjNugnf-+aM|X`T`hMA(%xibvI|}W%KjPv_}vnEK#CH}UNhylifKoB$C(p+ zYzj-i$E7M~pf{`g*zDgG0Q|)&>(5_&@`4{2Y34Zo|AFD+`7s2lfx^fBED^7*Tln@< z74Ycqaf$w*f9nzVMxV@VQa$eupmFLBf7R#Eq`03ujhy>Mj4wOn%Z{B@Oehbg)e9A_ zF8f!LHexv8k?y|kD54+0YHkIrEPW!wEFaQSU3mTb0Q5F1v=r!|ac0?T46k}hRyrV^ zR}wS*DLj_ToF!%f*v>G1BI#sm8F}yA5x*4u5qHnl^Xh8fF@}9CyortPUS6LMG>V3O zid(wQls1F|aH&HOzDpC+bqf^m)Noci z&~Kq`H#utg2m+v4Ibp+)gK}cFscIagfpN0$k*--QT$G`G{R`KuWmjJ)3klbjrnf!I z)8=^gQRgy(+3U004^~0$G^MhpqC$K1Wd5Gr4@$D9cv-oQ&!+!3VFj-Imykm-QSiRZ z{eC+SUYY>sFWCRi1d#*29rFKGY=Dl8OaBPS<~gBlss~F3%nb+QRyhl`1JwE?bxq8LUCS?}B_!(Rw1CEJku~lV zrC&$d@|B&rIZQ3iQD9Wx!~}fB1Tvl?WDfy!A2bSA-eqc5w(-TvByZ)<4wxfHIYZE4 zyU&Gq`iXNEFhSCu<2Li6W{D}BTn6C8!rHmH1bhy}{;Cfm`zY)O>l1&5xdjg9`IUeO zxnRGca9lP5p%cv!e_<(?eQoA^bM$!_3~~s89bfexq1nu$)&(GqX}t8 zju>CSDg7>$>Vc(^WT0+=yg!IN4X|Ea0T^MHKMy+^56kw)E=IDpE{ZdWAKb`fuqgg<$W zd9_^V%x`}Trut^s$G4eeq&Ex3l-$!sZ&G@o0)5Jf1zvY9p@XwLcU?+cv{|_3)nbl~ z6EnVMYc1^2Lm3XhdisSAu{d#&#VvGMoyO$X>>uWW$L|Y&%kgZG4L_o<%&7>LYcHS# zj7k!hGr#&@m8bJ(lxA%m4}kuQ<4w;{T9faeVzX`e)k2Ai4JiZNNq+gCl$dHbtYWxQ z3V5-<+t(tP4%|T1k$vGf{J;>-K@`Af{I|87{#R4a@9kCB%;e5=;B~qKVOQJwZsdo+ z)bL|$g#i&vw{`XU!z}-^QxLk+AoW1|ux?3i?0312{+7d6TLu>Yx3B&Mcs~~*c?;ww z40Q~rzU?OaF4)ZoaOThtyAvNh$T@%74FSKL>pba7|L-VCSo$_LlYaEzC?BbLoab>gtz4Y&-m3aN*8$Pr`IW>{HKumWeQaM||LJ02Xs z)(9y$M1_ChS;e^c=#-#^nhie7(0k%v%rYN!gs}OnmO>XGfn$$k@G@|VNz9XBD>8N2 zR9~)(Pq%tYkv%($F~_6G;cYiO&voCpcof9Qow&bVrORWT;w;ElKR^fHa{Fo}~l!tF#z<)okK=JWn%9Y>QcP2ugl=_-^x`Hs!=5?<8BhW9Mt=P@#Yjf!M zZ|@J1*6y}tB%pmk9z<2t@GhJ-das2L&m9BzWV&&M`2(X|Qyc7jyPS)j4#rf2Vghq= zp~fm=hvJ?255r~1p7{m)m+5xZSGta^`QG`Z#03FIui{ip5Ss>yw4pdlB_5DmJ3_|I zePSW%1@;Pa#{`Om8xRdJ+PiSi=}v?w8*?%7v>n?Wi*37a`h~(RH(poa6{E$$`Iw@<4k_8zw6xbwVW4ej?0p>dgGs+0*> zmFb1WFCHl@L?sqz8~FAPK}eEU?(HMlR`inPxa8{Kae?O0#etU&3{&nJ zx`qeu$`>;Se| z0nEX%-)+Vy%;Ek_S|b2zo;#4-??G&|0XP0eOrxKAsxsEkU44w5yg%gqgV#L4o{Ob|C+>f#B+BAqIV4kZqo=+hN~P?ML>Vc9V7a?UMAk z9P;W`qxMPsTDp_bzgCtKzLdyN`U8?p;ny`bAIkL9Jz4(STZ+aalqocAJyfok{^pRW z3wUb0d;9mcs$%?X1PqUndP{Q|WxJMWv`YHH)5FcH^^y5#Zg2@Fed$s@F&7d3*!KNzmVUb`hK8({kL%kynR@c0q-NEA zQ2u8K{#rRn;Elg%k+4OZ14UP!L1(;o4+vwk&ZIg!P~C2nI}D_4X~_l|y%iTn^#=Ko zc(}1*=hK6vrp7)9ozIK)n#IBu{if}Zozky-x>C$z&dVgYaYU2!6MIv6Ic}1ly!b(r zdFLv!tFsQ%8r%Ti*E-Sz%Tx9;6Ur-TG=o!9hpl&VM59phclBa47=TpI@l*p`N^qx? z8>Ay}s;H+lf2*nd{ID?$6fEbnX`Y(gUDk1^`<@AFN*kD(r_gbEF}IJrs(moSEi+zK z+Flv+B}}&J0%QU@l;==T__OT%5PWS$S6ogvm}G0Wl{Kt3iZs~1Av-&d6X9eLe((Mn z&L{Y+X<<@GIS96%`ma6Y0*VF1^)EDhCqxqZmJ9QP9R?w@uy^cV*<@Edn@bzj{MQD?wji4~I1a?IoyY+b+rksKD}~##`1qXLr*-}> zPJM*-$+1IpXdvm|c{?~b&bn2bBMRSg?-TJv`zQ?BMa$^PEmmVA*Lr^N7M%w@vErSC z|3`Ik#XWu!4C`Z7a$Yl49X%FTc^pri97$P+r<_sBQ!M~2i=d3TD6M$FESIA=$vzU7 zlOGYA_rAVmHM}^O4GJi|u2Pn5XffKD#6lN4j-$nm1c_Yk%cyE1C}Oe6aaRkp5hp_` zkw;FP{mCZm?NMD<1bXCQ^L3FKA;o?6Fr8SH4{@lbr9Mca$*wRLb@N_0p4!)Jb{Z9_ zj#*j1caY5-6#_SoEZ3W&^8|>4iuT2?Yg#!+C@H3Kod`FqKYa6=XBpr!kUsu4HSh-$ z2+xzW+UcY3?*^K*`pUeb&&iWhFziWi0bjh*TppaH=Ezz)Rf{cjhLElmF!L?|)&v2a znl*#drE(q1A;e%ei=(M)yWh(?2ES&G;ON5|!c24Kli2&tlG|}0{vs9D`vhAI<%=PJ z15J#3wQ0Kh>TFH%=t6Es8R6?}Rwb`Jay6Yfc2(ZwF}h$|(1(zzGUTg7F&ReG<(2a3 z8^N)$u+^qkEjT9VZb&^}MDhnbSqsfAr*m-^2H{jN*$Dj5_IOaaVx{Wjp}(HBt> z(K$XCHKhkN{&_^Nu8ZZw{fNV=A!WLsPeaxKnyfRf z_9Zx)o3b{gD*upNjrddq_bk-ncS>caWly`Fso3Ao&M>0r zTSHOzg?sJvP%$;ZJ?%vg66B6fg+T-4v>?}=YB=Iv#xaNK=_n1)8>IHy)MLd-vO3&& z{;^(_2Ogl!6}7a(8OU0VRkb$uEXk0ihEKGKEltBc?K5${s<}EcCYBdzoR~;qM^#2t zUOa<>q%`F-Qs;UK0~#25d_~v>R^|w4P0B`gZ{kG^N; zxgy)9&|w#IXMS;v;4(ymX6rhE4on|WBz`!Q=I>-{w6NI%$^~-hf(P-7ue1pf>-S11#p(1bS3Z&FJB$$bYX`t0~KpLvX3Eukn{iLasZ9yjsII9B+ZAv zMRWwkxgR$2FfV4emLm34MHa&XIp;b-;;kp!^RSKNB$_y4SeTI!+ow*JPIo)T z5l5qL7f4xEv(@fY)^7Rr^1y|2hW*$*Rz^mvY($xu!B~OLP#-YuwLf$N+=hGK4I8k| zb_ZwsRMFt|gD~tPJ^6>2ZIqIf$D6e33`KE_{v)wxO`o#l+94>YRbuNj>=Mfpi$OTkSETYjG0;+0l@q?_-Dp~V%IsUcu9WliX4vDJmGc56Il zxhpvlyisC`W)YZrY2KNdRuTO1T7L-p$d83M*S3gcj$7+K8*u8NCK;$gwoCP*)>2ga zA|X6?*l+kYK~9X=Ah=gufyH)Y>skEx)g&bc=@a-g_}TdY#n0*g>tCd3t@eJS23WL zUBek&Q`{?o>V-hfOOLQAFqddCslT~jN#in+)(iDF8lq_rBG0&(#(F$6WeUyT6c=3qkNH_-+hE3kN5847g_t+R+qPA(Kc7f{id@wi%9QVaIZV2^B z>y7qCI)Zjlu~U&(s{b|Xdm*ixj*)nAaBBgcJVGZ9zU|WH6>llrVn{Mr`3-;>5qd~G zIhJC67kAvZ;1NlIUEVYWr3I)=lZLyei#C^^unGL*v^C!Y&V%T~#RKB){Oh>m;~Z8# z9d!SzSHnxGXqV{Y?AUQhprp+0fmgH5@}POUM;m+*uVJ{@VN{0_F$o(pJ$LQu3_Er`X;D|e=#>6LXchcZ>2HDS+BLy{B$q}+RLO-t)kam}vG$s0YE}&Lx zJUoCY?%U`DU4NAw+yuYlwg#|r7XS~UufWPsTC6Q`jtXH@2v2iqQnrPonDHV|JV;;I zX~(UPT+xr>Sku)Rl8}9*acp2**Jo=lc5{S9s=ll@rEr~xpRe3e$?%u@xya^2D(@fU z)Y(63Q!xePLK2Mo>+PbpY|z-oPa>!(!RnCB@alGu0XE4iwTKqT8oox~@{EKV!J_&p*&3{u)*m z{sTO83Hoh*n(gi#TLnKB9K&=f{eBhy!iOChZA*zOOmO{;`W`dQ7&ir)Y4}#Cexm() zs?UVF_pAe`p-&^p1v7VD?Jm5zde*0!?5u|YDbVrqpwM-#Gt}@}vj5~{bb{l9#>Xs@ z<#O|u7&m?NWj4VWFDMn@GD;HG$$QtN^BIr2JF3sOnZ4AQA~sR!%|l#;QNeCG*Kj$O zeGRA|S%%$WGwd~Uj{&v5zUVIXAT*15&&(Sh53JarTstCfh}b%kYb}(Jg`LOZ*G}yn zC&QG;dzpJ*<=hYWI#R))alyi_^X7zp8QNoQhc^=i2@x$i?4kT>F8%)3-em<+YP=7+(n9&_mD++@jatgeaoCVRGm z4sk7OSvZdHR?Zw830+?&qgF%KZH=J)>psi@4_1{=u9jug5jG=6&z&V=IkBzx!kV(3 zaVRN|3OXKVt@QUUv$g&f`3_Rbhkijo6F3TPzm8xj#`H}aHNs?qc{)A$>@Y8wn^ARA^N zB(^Xig`*o1^XKPev9L3cxE-D;WHn_>N0~ETuJ8?O;1e8vnz%D7I#7X_WU%LC3Ki!-4022 z$^E4@cD=hZtPu<`rI7<)p5PQ99GyS#9c=^PUH?Qj1xF1Jp=Qy%w4{8LZumwx9T1T< zF}vRZDw^nw5@P@1yuRhx5JDI5S*P$wnAS^cIU8k``_zvZ$G<-(ZMVyYbU+@20UN-v z-|w^Q%CGYgEReeSga-wv`L345LMK$6eI2WrN#9FO+n=%V2qLbuD6jU{#k?O)<@a=K zx>`C&Kipi_pC)fG3+(=bQQ#A`&(&*qeO85g1{UP}m$2M4M=dMd4G-`LHQBe5ic|5l zB@UC>PfKq{Mzj13@-A0$4)_&u8H!ZDIoq=gPfw%Yl+1#aPnkdwo`-2g?sxq}o4j+-GU`={A^&z?DGo#87u%%p?)7WL5H z4G6B4vB_?-Mk^9t(0#Z18-)^qoCdeMW6?^C*7o}oJMP;&+FZR*1K84|vCLH^Nf6GV z78znhtqAvf3W;2qZK8xPvCK#>=I$pzHPMiy=)^bDY3M_RIzmd2hTU?L@ir*Po?@}0 z%VVXCZV2^}tWs-AbjTB0b`DZ+a$!gQ;K|RE3)W%CrF#7#l$>|j+Rn7G4M$mOeVKqNZa=oG#dUs3YQEt%q@>%} zMCL?PE9u#Pu359DQ226Mm)pwj@K;lkjdMQLiWzi-OA8j}0_mr9H->bM!3{)~-OJ@dF8R&vl#`eR>fK}*E%+hJccv3U23GS~Lr@9?`~sW~zshud0U zjj`CTIi72bo!Y-k_YDQo@!h!A;6&e%FDsUAi+VhKwp~iOH;7H=!nhpFCM~N;??t*s zuYWz6oS=Q;hYmBV8lp6Co`}NGlg&3nQ&JDSJ;17uj!saSi^SzX$=o0O4yQ zX(zE%{16gd+IcUZImd3j9-QL!2Vyh7Eq-mk#fJXYsV+PE$ujxmT^@Lht7!S8^o5(c z_vvJ(vAP*TGR3+Ph%YP2xK4@2L4{^Gw0yQ(w0v3atBHELk)`;iVSc&wtFW?&;3&`D^ zx)!f0E2qxD;0Hm8&s56e?oa3A?y~4?VHA6&COGE{EsnxTZ2a*==2;egEf7nX-J~kH z_Uf&PnbP9}Uoz}=zUPhXjJHwIbxv-GDPh4vcRQoCixl{_Bz4-PUhKBR(&FHxYmVZG zaI#n>c5^3sqw}DmL3^*80!`-5GQW#AVyx++wm`%sy zM$Vd~Gcfx<_@}d#lF6|cZ#9MO&Z3C041L!AGm%a~T>}(9WJ%+B__DxUo;{bCIO%97f~jYAew$tjabt46KWt zdVu6`XnUWgEh(-CT43G)ODL4GoZlN^BN%uT%M^NW>2R;I{-u&nhq?|JC z`U5~Z0p*CnE%=UjG{)}0`=D*meNZ57^j}uZ>CBu&O)1M;Ln>J8+Cg$kbv?KL4=*X<8 zxE89(UQf8Jk3K7@SCDWeeZRejx4a#(V5$MmwvN|yII07kW)*oXt4l?-iZqZTVjwuT zz3Zyx_M@F<-m}z&@+i90m>E&|iV6>5D-`TZpN!`Ma-lZtrhe{KVtA=RLw}5bfo>Ok z?9bomEd`Y+^SbBGef^ik+iUViYr^}^MbR#{G7|e8iQzw&AYPtc`-!MhWmyYAA0lcZmdffUJ#Oi9SOM* zAZoUR{{z>6>*{GsH(x4=9EYieufAt5PVq@09d?Sjv7p^}VU8-_)i@r+`*AQh5A;&Y zFE&wHva6TmDC_~lXAGpv_|+S7NMXcvl_LwL?%T3g8aS=n3_D^e#?FiM6I4V|zmWWA zab}9F^@-<@Fn$SsqjjK+WB(@Sw0E>b0j!b6`IRy59eW<6c{I)cDz#1+XjKPczC$kP z%1y4Zp>8kGCdzXXJ$xG$&IQ#BH<0bcxE$bk=Dq(x0T$h#{-)pUgR^Y18#jG&9Hg&V za#Aold!icW^Sd6qlt{w~cwkXEFSQ#^g%gFtbXlWaYjgfdYJn+w6Et5oc{YvyO?^FG zt7dNIic)U#2Ictl9kn*0XP3*oJcU_HnVkYeo5Gnbde#S?HH#ERwde7h{^~tGYS8XN zPI@c)5~VeX251L-%T9dM*^V>2{OmqA#!_q>iTzdTQEpk;$Vnvix5T^0*|kMz_UP$K z^6rTb%%hsl1{v%~D_j10#T_K|mAz=}cb=8Ui5Qp$L->RU*Z(9ufOAaR&8Pec=xVwu z?)bZ&ADa|u`dp|hKb&h&80vVWy;Cbjz}3e6Gq|~HB`nL(^Pv%*zmQ4}AT1pc9*H;* zKW?av(C^s-PD~NM&eA1rQxFG?JBhmWT7ds^MUuW9a~IldRxTuiQ5^tFK(xQF$|Uq;X2U{7n`wK%*&EMH{Q`c(~ax*7+tN>wFiiDeZVoHUeh}(ZEKD6$)2O>;^h+WhC zyS3_z+LOyC(Z7S~OLgbJ!#7{f2tX^bwd(KpYL9Bn3EdzU73ox5HmE&vE2$Cc?;)(|rvg2=(Dm9QuISJ6j8zRIywmA+T*p+FPK zz^+xtPx^la@vW)az>O<&yFg#Xlz{If`o6t}4(6ScCT)LJ0*H`044HLSebvt)9)CTjUpSK;&W#jN-l8uK}qc5`F8f8ly1g1rh z|6CgXntnb)_1lTct$VrJP0NslB2?<;RcVnQnOcxP>;0lJaPQE#7R-WmGP~7SJu~Zm z>Hvr;nhnuCi_U0pUfi1HfSCvb_XyuunjHw47hl#Xis`Fr{w(dAigw@Ez)nqK1sp5O zdy$@Qdi40>n+)mm8oH(K>bYpv`8w8(`4DaUH|Z5KVK5X|FfUlw6prez2;k5@QKK&3 z>~OF86QW*EFUpOO11hgj#ojZDTvSf(G7Fqe93mSJqkm#X(Wx=XK1{nKykB3v1=ImF zA7d!8s7akI7Z)OMTM#bBrft{hPoCzZHNr&X1SBD_96{LJ=I4wDPq2FAz-bZcrpHzy zP3sOi;*3M}>XWCE@-p)cht(bA-hm`SD1_4MqLrwij4#F2aj}}4yg=M_+A}k>!k>B3 ztl$?W5^`n8n79e!;@aKi?J64amcU#&gmuRbrFP>2(tbJK_Q42xi^Z+Mu;$7fHIH~b zsqo;7TgqePFis}P3)PDmpbl^^yN_<>(5!6mocUe5Sh}{S6b=#YG3Tp}Ckjsqd;>^u zrBM#^s}PLvrUdt7>@rgm4R>yuL}AT~duj_%&8bH0OWtR}tk%UY(}J8KjBXKu9}Od2 zG+bZsZQK5%h%qTGxJyX1{Cc#ooan;o&%wyqO)2S1nMY?#*C!>3Zc|e{{$t}LXrezV z(olDQ6MfcNSLOy!c9F)}99$PK6rwx~R8I~u5e#I&Sy>yA%Y%AxH)SqxW=Hb4L38$J zfMyWQd+KGpjB1GmQW&N^Ml)13j4q$G?wrHq32JTFUW!Tmrh80l_HWR~?f6giUGqYN?^&G5 ztk6Hq=bgP>^m{9Yx_L{KHGJO2%C;CiW!qJ!8n*)C$5P00-i4V20O^nRMhAODCmJL%f;B94fOPL_QX%F`Xs zp*#}41+f&t?8v}l#|aC1Lj3B(pyRz4o%1x5ih9X!F#~TMMa2$|8bsFSWPVcT;4Fbh zHI46;>@#g*d@qBoKkT-|5u;Z!k$ZK+iN$3wg;K20VrHpQt{?$FVxsp%D0b&}$z4fP zHO<6yb#fiPm8!?n+s`0pwnXwD70_KSX>gI3ZWzv~WA*d~10i>m;mxD`41-QvOM(#G zR3#|=bVjGUJ>naxI*K!FC>!LU%~TkHjUK6^pn3fNAx28e>Da6xY-zV)dy4~ZVM6Ax z@fC$7*w5sq%1R*3ue0o{N`IDPYqDTbQI01tBjomGWu4!(vY?QSezr}<%ymS))C&`W zCc+cpi}AiaXYZF7$!#?Bu{Nj*lVQYkV%=mHFFgG4Z*$^y8h+^IV1xe zBo;X8EZ4(~8bjUMq- zIg@-NqaRpAj>M6R- zX$y{CluI|8sl(&~duQTqSLS>eh#f}a!*Bowm{J;+tf&-oN{AOK$SGyh(MWNT!rqeF zw6}$kJF_BP{Y{W7``t?%Jt@b3%Y^^&aN=w_y$KtTT;7B$c&$RDHfG0(OPrd68dA-e zQ9U(0k$rK{Q88{vBe;3HQ2;3!HyhOx`_hBclhyT0C@YnDg{Yz^ ziV}C`;ur=)mA#@Rh-n&}iX5wYr z>8d3jhd$<-8g|`@@5hg700pg6YUe@|G0ftDDXvM8UNa+u<}_?w|2;MNM>qYNy-EnJ z>U_VORZPY~b7sW|_2u|j?~P~@ItOl~v!Y%`l#O<=kKTpp=Yo1?67{+Hfohyc*18Zy zDX$I?vy56>Xw>>y&JC1u#FS|KLg=ahI_L z8H8|pL$9IG4@T6WA7|^|iJ?LMobvb`rGt`Re9y==!jE#?V=HcExy)`Awi^8TUKqK+!5LOq$X4(rj+n7FO%*3*&3LRtrpId&K|KV-{@5Vq!;OY|OFF z^~W-iDz6F8JuZP$RHr zBz`EGI7?J8-^E;d9zr)u2~+nGLct$Q-FXkq1tk@^5G>6e>WzB{JetxV{v;EY1LXYE zk3QZ_0NX6Ocm4I#(`&e=;7an}i5+fC0$-bi)9E)ptjvZAN=46wV4AWW4{Tj!>4Yz# zw4nn8r(3C>DAY6XzuF4w8E#Ouc0*#wW!(VMNhKL*W0;TzsKVs*-4HioZZg)kO!n~X z`H44|5%pAOA-W+*LuMHRZLPE;)M|3$x+-b1y9R>iUywQ6lQvd;uIwaA)o z8*aY4@OY*iI;1{068naxUGp|}08H+<`RX=@T634R)jG^mb?r-s4jm`4;OQ6g-h*VS z#gED?KyJ|ujSTB2?T~$Df8TRDBe8dZ+-*#klRDuG(S3KwK^Zk1mTA0c_na;SB(gVb z%O3n587m$8QA~b>Tx9jhjD?L8du&UeD!rNJ>J#tv2DUTK%ZOYtwKZNxP>4;zENvQ> zrMqECmY54WsmKc>UJQJ#NF}M;rJHGpAXU5WCS>kQ>{!DZOQPIb9qen2dt^E%RkPd( zyQT^@xA;*>`A4*(*$_@qKw-^C4+zeUpqYJ zvHr#R!D%IRH#K!WZQ?`hN9s47M9jB@+X~C-t{e7#e0H9YdAPai7JNlbhmHpoH#|8D zz_V)X+`It~PdA4en-S^;A_oCo>h%v80^)Zz_cJ*MMa0SGDlHdw6PfXdo?#q)j_-gV zoW5+eOglXLBwW~swF3RgiB^a_Wjwp3G<}6xj)z&YoWlOy?nzIf8+R%STvj|T(c#97 zf_V2s%RMC1P&2?fzBZNWxjkC`xknN(Hh!DL+uc7LGo>vvu`;_EHlm8PIBQ?t15C0|>!nEGU7SpQAv@7d1PEQ9>_d0m-;=@|Mq%1w2@8$Xo9qR41 zL@S2a$0tdhb1-@7Vi>uIU9rd19PP2B{N`Wh)rJE=skZOd^n|$I?dt^QSRapw+#+tm zsZzA=^QgJBQ#Y+p#KJ^`x`cu!(_lPAeJH^-rEMBB09!VJqq(c7O`c|ZBa)BVp|K_8 zQa6>r=sm?voNI+8(+oQCztjisgg%4F)17c>EV*;Hk9r+ zaItm6oPR0mvm---ko$d3CNBFRxGwYeYn(Zw@xF_z#zLFfkh>AZKTH4WBIP(ItsUVj%CgF^-mle$ZzdHvWkKHrgG>-lU{INsH(#;@D&Fz(*H z6sq+1UGYlgy(AmgqKP3Y0+u`5&d6a+ARW4LL-B*wfB*45l=pTnSTCU6iK`*p+&=Ch zl{BZ*&}5S@S5JVJA{4JFi5@M>pCIbKH%rylc-P`8)m_$%5%!n3_Boc0H8q{u>C*pcSokBRY@#FEXiB@Wrk7%7+2!P%#$XgJL9u6wRB$6*3Z z$S&OY7@RbwNzSV$T8}W}@x29-DQik|;Xf1^;`V++J*7q_u5bH`)kvqw9gUfL&s&?F zM*+Me6@&7j{%Oo*jUQv=N@)%Cz%0X?z~SBAb$1aE%LSnISxhdA)ErtaNX`#13qmx# zPU|4*^5$y9ur97AhQ@X4@7Av&_z0)GkMev^)eNLQARMyfB9a%YPJiEU2h|hxG9Cx|vW_o3s5W+W~GU5ag zxaLsB-g260odqE#%Un&*kS4E5is&)7f+OzR@e-}92M`Z*G(Oc)v$9sRHOJeSAl~ZT zRKB4urzCsR4J|3Q**lKfwO{3cqlDMWmp-Nx!L`?1 zI`7dg^(90^W01p$hBhi54dw zign6G!&aZI2Abk|ZFNC&M_H(=!xu@2y!;ZX5BIDsdtBl+COXHzJ6R97V_@l7((I?O zZgmp?VcO77N98WxUYRrG*M@^Fx_q)mx00|t5r-wpZOh}^aN4O(URv_5rG+=0fX^%` z!>)uwN@}T&Se-yXAWG^(o-DlrPOzp5(Y2Y9ZE`Po(VT6AmiB=)J?M!L>pd@^8PtYC z8P=4$FX#oYCH>S|&8apVA5hC_umCmc;wH=Tn^huIKhUY>C}iFj>awQK;%5k*5|hlO zjLjFAi|Thh%Y>Dk&j*_MD(boe-;_GZG|e7+OPL8jGK3A9$#yIeoB0y?SF3v9fY{o+ zO*KtR4O>#QZb}w32kZ?)^N!vUdcAeYn0!2){iF|9Ibk+tCXMlr?2qnkCuKhA{Oe*8 zu(fuYNOceDq&Dd*1;)1Po@k_+ToAsH81(RT)j7FKx zPY({Ei<1y2I-SD;k7$yhpoG(fLHR8;ghBb;_GGLrT&CqJBsp44Su}h)HUJngpT3Wu zo=?>IP)qvZu_u*|^mr-XIjZ=dBF@E^OK5Zdn&P|vZ))Um>rs)sp;;%1Qj$d|3uwxMB&se7e8?u14Pr-DMbBtE_jb5`PA^$fK}fZ(82RQGnh zVJ5e&!VE}WWLQ!-dz&Z?RGH+z@DSd5EUyj?h>W~PKrL=+p?oS-mwGJf>Y_^e`7|^F zXl8(^;Z>6}ShhJ3N;+@-Hyna1hY3wvV<8azKIOXf35L22DA-y{eKfpo_-+;!U zY*v)?O)O1qQHh0g-2eBV???{~GUmgq%;o>R(aiY-fpy;sE-GGoDwnufdyckfENQ#3 zws|@G2=K-;h(+69{<1>V(w>Ii7-1O&DkwpZmEFdO0k=%_g6@L9Rwio4SzM|@XTO8Q z1H-m;K6>$rSL_`My)m4VTJ~*EHc%hA_DrWA(0b`M7TuGW5hj{V`=XeG3Pd$rYK2sW z*7?nz=)02j#Qe7e4UQ0<73=Q`8tn;2-LUEv&6FS$?!9xMxF~Mw@LXCqQV?6QIMlPt z-BWZ|dBBdCE_Znecv*$%(oQ=$t$YJ2%!^}OX1w?!*(%e;FL$+?YGB0lEtXJ*73tZ~ z>f$q+d`}|wTgl7}Q^qi|U

|m)Et>-5gA`MxsFBrkK&tW~JN2E9&Fa#&`ulxsOC8AJtB^kcbIbO7kSp?PthN)#HI9 z;^`bEG$QcmGF>!s+cI>H!!pzTwYHT%ghiIj2P4sOGo9}oZvigEdC$UKQioz|V7GIj z7HO{$+L&&2xjuhpC)uUV13k;8+##-Zpa~%zCASvj?ZjH-hBiE8u$&TO-OcXM4{cqi zQFD$7X1i9*F5EbG-g8vHW&_0}a|KH?EjL3+6G777tvHcm(4c|j7jK~rTNK7;MS#^x z!^`-Q#+bn<_?~q2*ikp(uegC<&_`lHBIq_R-0}4yfPvq@?Bc1|K|&UMM98W5a2LmD z#eo``XWgJ^=QBksx?gR&#Np`ehu2s7FgpY6Y@E*kD&zqZGROTgj=XJ1Y1$#D50Hee3sV@FvsESCB(|)^&NCY{>;6vC)vl?04l8WhEeDTJBmIs zz08|i9^{Q;^9235q26-$#)K>$3kB8H>oL}%10*9~)8(Aaqi^pWfr1~7&1zh)O~iet zz8>VlWWtCRH`%fG@NkNVohKXFxB+rYZmRqXtl#J``ZNRhcp(GZ1lsqdX%DlmJ+4yMxoO-;NJBo=K(5I@jVhu_ zKcns8-IN%pcTp1)`;BWNuA1JP*k%REi%~7feBv%x4CiJ&L(-ov()?mVi@ZG0dBl0G zB=^n!&Cqqc*;c!IH#j2LdVCq~Z%C#Mq3}&YrhGEfTI|K~2nX~g;OFP0r8d`MOa*aS24ciO7n;iK9&)05GFR9<68{14;^d|l=*>&}y zjpF-qDgZ9&Pk@)8nu(ukl3~G)Ab~%<8&**6M+PcvTX^SXo;5hLh;LAN$Uq?VmpQRF zA9+}39}3sfT-e^uKKKZ7+a0m!S~bsgS%+FWIfSN-Du$Zfk(CZkD~wJ4?WBfUQQ3^H zan?r(lD4jHA5JqeRAR^ES=GObs|2!=z>{})2i{+XCrEf%2cW33l^{{f#fs{Wbvy!d zV~Tjd{<)j00j>bFg=S|6+O_k=j zb4u1LI-+&B$uzxmbsTa8#U1VsYznP9`+|?1H3E=Bw7>mnXG@0nZKoreSoEH31+`l` z5Au>nHCStxYfN;FA*umN+_$eY-a5H>`b_x&ykhIx!ff*=%%VpAOXcV9KUunK^5^9| zUxsLrx0H?ubXP`9?sa@w4V&~n=$P0NF z(-#45=p(`$bc^Vhy%Q*EL|e6+*VlJ?TvorZ`jGsKnKDSgGU3m|C~k!aRJYA$;(q{( zracA1aC?9*=91D2W=S5Er@t6K3?mJQ-Z+Op>f+}|b=?Q?bb40L1?e8{0fA{X8}z}q zX4|@P2H15dz<{!cmaLLX4pK3hNch}=?8&^#<= zqI4$liEGIhZ-u#pC4yOv}HSM+xiY)s`ujn*t{bVpB5;-GUYogaFO1r8(W1TzMGS4u=Jncd%RWOWO7nR||_ zXK`#VG$m|7Z|A#0C`J$ z%a}%kXdf(~B?IyMqrQS2Q7jZOyu71FSGEztlSTNKy86zXs0%~KC=P>wCHt024@-wj zGJu};VPahEZ?R_N(Z$XoBf$p&nKoS~a)U}mCAkM|Jw;bI#IZ0t1o9PMYk5K&E0sbC zYi~UokEK}Z+em1aFVpQl!aJHJba0rCR%!%xyR~?BGh)zBNs~C*E{7gthQqSbh0Nk$ zewP8`+b{n4x7?7xTT=3zy)uLM&&G=Yk9AkZnEyM(Ylz#M(gM0lm=q179e5rVoAkMEYvvh7K$A!mn4{&Z@-0Yw$Q4Xg~z#phTQHviSsM@-BbjY`9W<*pdN`kXbi zB)g|H8SMy`=5TinWpfS4kj%HyGuS*R+Vp`Y(1m!0Y*)3ecYVS9T#=8kocv1mVi|E} z98P#nb0R;om_T`c%^F5KmeW-`UR%*0t zOWH_(#f|tlSH;rX zT4DP-0zBBYd^7e4PB+!-nV(W3sw93^*uuO!sx)RO$s+y6PIBl*zu6uqCK0z6OLjrl z)ym3D>a@WS)y+fcxQ7LJHDzBXz!#HC#&NIh;ojv4Zbn1$L*;F+cC z%?q>y5JTjWNoD$*9_4DszlTN$k+@_y69T)SoX{mE@akMi+}?)O#Hw_Q=urTIYXJ*B z^40L@HXJ(zxB5*pua;>A5FqRT073u&6aWA=08~*)9fc&6N){seuq5PKg{{yeXdZsl z_oSjBeDZ0v)(@>~saQ+jduy%#M*RN-AgGD}&Hw=63gEnWbLWg!BwI*dc*1jG-jGc* zw>t|n#29;3Z)_iLR$wTr!4e$u@a)m^KO+Ec7+N|>INBtEDD7cw$PljmTEpda8Y*h&HL1XLFh6X|<2 zC}bJ!CMt0e2eVFvXk45TgD+qYa-NJPA^%CL&fKH%lC$~JL8N#2R#v+)zEx`C90nJS zd7@WUG|LvnmgO2va5tpaAEN&q90lUC0Fraq zc(3O{PMBX88c^u!HlDU9oN-Z!9G#k()5DqLiLw_YamrjQdVRHw8wGB{^?I=eXJcc= z$l2YC(RA&5N>`zi-wAE7x&j|N1n+YF?Ykc}e!2H;rsjqO=B5HAD1;3X%2^U1rJcLt zJ2?gbl_MOw7wX4qb1}Di8wZrF`ItGj>6t&}o@^f&zU9Bs)Tex9|>ikw1x(oJp6Hu#z~W zzuH?;x>3(CBud){u2gP%lN5s7Z?x<8uXJ-c#ojBUqe)U^1$qMjitFfiOX zFBGlS6$vpKhqG)8Ta@^DnvC(HT3y<6%OC`GhnRhx?ajZp(yS@3CGRNrxP3TBUX)gk z!`jRhsHFGvG8!tLM6S z&a=9`*3FtKtb-QfljDm zVT<$aH+V;2MdA&apk=<@3Ji_tQD~%Beq6y~bEp!x|2az6&<}ak8lW%pZNvW@(2VyW zu~CIzjGY<%O*N~=?R4j0!nmv7PZShzQHo+8Hqky-ydG!9*Ld3;kEZXq3{vsg&=E#nZ4!$_0drpNRMDzJ7E8hv;o*DpHei36KgR|qjb>C3kQdV1=SxV8(BOgjJ zCELKyAtWX{IS$lg2K7o(0 z*eI|{G2YJ|w>S62Jb$$@w*xg`rYS?bfTzVoXNUIGM!_EtrrEK-K)1V`{mJs=U#o`pL)Ip&&uM*k! z?LwB}#TP@b`qRrcLds@6%F9swOMj{lMc*a!%(8S#4#5*!h`r1yLJ;UvsOo%SC-+bs z_D?s!gW$6o9qG~-b(oiKvH$sAhSz60Yvj11rXw-XQG@D4_ARIoUO)@5kG5;>7fU{J zwH6F*>*Xkz;Nhg8Mn2P_(^zy|&U*MvH9kG6Jta?wrv}qm1-rx}IwHL_(@2%DjBrmv z6LW4y#W8Lt`-(hc#oAkK&h>K(oV`$p)zmN*1n3Q2#x+Y|HpTJ+e2?ZfzAPD6vC z;(C=th~GBx_01A!tpnG6+fx`U)!1D&1dQU4lqlZYlR~rcd{#wi~6aXJCudxi8?8r z<+S`Wte42+TC(D1*J|P`0nI`^p@R7eLJ2P(3J9(uMJQcqCN^}Y(*~)vRxj_je%GMc zDr~}VJfkmjM%o4~x8E&htI^Tx;f*hYl0e<^BOEs|!^ti&1yRlc0#$}C zFM#czq1cWg|64*zL}Hjq?4`;}TptXU)$da9-d=Qe%L%NED2LFxE6r?4>y7%dKjDJSX~bwzGv-tRm% zaJfU#2{%B%TeE-T4w74*trsL7iq@YucJ_X4OG3VH{h6|`Yvy49Xtpo2$SuhCt^u8Q z+x#R7_c`pSSDUE=rj%38#PbM+I>Jr#OCdOgi=92py{#}&+CZo=0jVV=h&;>c8>?g4 zySfcCyZeS3;((<`>(vPGTI93%=ve`421mq~M;M@=zeq+{INHdW>W4#~xECuyZO~V5 zsiVW4ck}8};2-YiKsVG>W2q64Cadqkc7|d!gAYBVZ!NiANAA{?>-Uo92^Yqpo)w)a zN=(38C{pMt$uZsV5<3ms%=d1Vl)z;kh-nb%C8S!HopiB(eo)rd!i zW5@$srB+Q(9m)axu5vMB4+obDO+~)SLK`$1OJa~Q8Q_?Qh;Ng)d<#y47vqZsZ!Lud2yIAJm z8XyVRj+LAAwQiIOj=Pmc9{Sr4%PMF{&iM>!yySa>B`LhX2 z)B##&8A)Y?$A?gRp~kDimxvigdumDWxVIT*JHvztNA=WY!^&f>flyT zUX-roqz)-%?wQP(QYkChxaus+JK%jWa9O~>iaaNyYdRjYJM3o~W+XF#fKO$|22S zG{u7OgwOntF}PZu%v$UA{Z(47{5WC6jM6+e+L+>w`k$LEC#K?S{pYsrKM8^opI@<8 zloIYA-<|+3$*!zYK!1vPj`}V1TpU&YhwJ@NnHQP}%<=(#IKA(>Kz@j#zWO{IfN#lG z^<@dc{GctjN<)%ezT`ik>6d!M%z{?PWY-gXyff9fhzaeg+fhQ)U(qn^-^DH93>R$#ki&?IK{~(ZOE=E zC(>}1krz^;@>%QpEz*eZxhtV#hjs?3_&Z0ONqS zah*~|U5DQ*YF$2L0^8}!OfnMi@oYqbal_> z)cXAyo&UVFfnD-wE_akh=2^S@+xAVhJvcf+v+y0UQuw~3+Wj6O2m zP_s|CdR(|bpI$7bL}*1cfJld2v<`8`&nJkA>Q%l+PMS5}rpBD6^fArbw?3osI8;Kr zH+tFP?+J$HgXjTA6u1Z>iH}+gcP0ZsKjGAddc&p3F?<|_wZ@+t-jhY+_?z_z?IjaD zbJ}~RkC_$AsNC~N9_XsDd7+RHehV)%o)WD_;oaNIHR&^Mu{Bt@mc}P@j|=2lU>ntC zl5h$lCd{GtdV0Zn6ILL*a$L6-(_NQ0^mQ}n(}!-h$`Jes+Quph)+A7b!PBuymhJ$a zndlLoOGb#eHeQ^hxa*lF^Bda-)9XbU(8;1kkGA4i;yHSeBn4DnxUW9qNr@i-=`<)^_ z3)Yl(SepfM+)^75sj#`#Ov8Xh!wE|5XYSjTC8zSuY4r%X39X85WdMNiY($7y22!Z2 zTzJIHW{^9IbI@V#rF^5^S0DCzaq6t=SAnUwThiM6>wFfSfYIE&^Nh}apOI?k4`^Sw zrq%Ga@FtC^y_1L2YFFH_x{;q@lRghZed`X3O${A5L$e<+y425(2gAU>OmL0`=!lIb|-w;9d@YR@oV^i zm}F^(t%w=15O|C(hb%w4hrmtz?8fq!=Fk#(3_zU1l${xzv1hnN1NGyl1i-|VyHM+Q?q9NJh-0OQ-I_SBnKoZ1 z;Y=t;lWbOL+Q36O%a592s31P*@(TnPDuPeV9eG203p2Ob<)E-ZMK&C!8v!$)E_7aT|(p#}*6I#cOD~R==V~o* znux~7q+@Z)#+e_B6-$>%x<$|p=knaV0m#GR*l6|7wGpirTDz9|E}nX~c5Ss5uO~_5 z@xtpELTA{ML11?!WMqP2ehXk_Q&47qfgCuyfiN=yxq2FS20`c8 z+gmCm(SiHhD{tJ5%V}Y2TLh#+w3_o+d?o(N!G_;X(H#nZ0-@#Jg#`|9Z_vFqwtM7G zpH(|IvX(6}`EjBb*fkW@s7Pj@y}NRBHyeac*{+~c>35l)y$3A!Vas_9S?qCqd}T{R z_YjfY{i?dx6|puPa8Sn^9n9ET5Ilu@WTcf{ktXqUW*ijWVBM8y4nkF5^g8ll2INR#E_I&{NjQWz@|@i4WnR+gC$kAau;LGa>tf z_c6}f6vdp|e4xZ#+!q;(5E3}SNLktEM=G3BETlPJEuwZ z=9|hknUEBZ%a<)psPhEGE8}d?c~MNI$K}%$?($5!qbl(0&HdqmHvh0yJfChy*>1G3 z(n>R+c9T#04K;-OJctObCEns()op{CFsCgEdP-(z*W~9oE~+5mZ-h5E{P0f|&^`X) zfe6XWx{>+%RQ|J$V5ketJi&*gSZ%95*fp-WC`>*^n9z8%c&)GJ5xAvWny!JHx_R7q zQ|!?fUBj;D=5n*vZy(zCFythB(k$^GO!fRdZfQq(%;JhDe(LDfocr5OEUTjT=768z zha=<}-Ex@p6)kPCQtKn;7<-&9dx*=<9d-($zRm7opgdF``&lv<4t;q~)Pg8TXPJ6V zTIQ`PWrt#>cEzy6XAqzs>vz!B2SiTnTy>PR712^_kDW}kk05{c%()LYyaDJ~za_DopdXNSd-vDLzprJur{QL09y6bS2M>``~0TVu^{U2`2@4j{at_j#|KI06|SS3fa?={-n{+- z8KX{;gJ#t``%VxJeA9TFl;a<4cenOa*ef2v<4VB^T1jQ`BNpm}*tr%fp3g3x^q_pz z0kuLVXL|zcF^1h!1cFi|FR)p*; zpduUHxay2ircb+w(&J{QfNG`VUmv5k$?A4F?4~-h%)(qPUP?s_M>=Kg*V!1C8a5=} z#u;>pOso1rWZrdUYqkPs*>z?}p##H9x9);!6ulDiQi5p*fJ4~>e)^1fJ7#GG>1Qol zxqD4k#J$%WfBa%oV#YY*3;xD!=5q!NHEji+52qUn+x(iCU{2oqAV1r;j*T_k*u^_|4+ph&%)cOz%*hb6)) znBYS1U)WQrvBSL(KFHZ|+~lzGh?ukhHRmgB?tNp4ruvxT0Op0Y`Fnngkg%-8z;mp`ra3Bx=rQ-!2VSLR`j!yEy${4~?THX6x}o{1ZN!*z;{IFgQZgL-0C zb)FiEc*|BnGt=w%_UvdcEwgzJc^m_1~dS7+aB&i$hqCC+d|@pdv7oJnZHglYn^?hP>n zr>e}}c?zRl`;)iBttY2*ct6YD-K)FIV>dQ?QNDkvau;=Kz&&5CE~4Z{OqKO#E1F@} zaS16m)O&9>NG3zLQ|DMK5F5<#nVwdI5^bXb3*3-GUIr8ntQ&XLEdSetJZgW4ZeS;Q zJx!Vt-2KY!;BLP~RGBljoxC9X^;wz6)n~v6KB=e*fC^s%u7DTXilP<#;?5zj{|p&9 z(Ffl{D-3;yS-%UPXHB#z=CB&qars+umR075WoRiNOGc1WI%5jPodtO4JidjgOSoxm zTBt~(=Id2CwHy#3uY<|nEq6Egx|nADp3zs~=#A*M9&_y4wYr=$Nyx}B$vE>ItuJ1g zOCNzB9YNS(<|?N7@&)Cq0PtCl`40TP=EbXj^Q0$IP_R)gC}uR{oww9^Zms-o#4XNO zS*&Eat@zsix&Nf;MmhmK68yvXVm$TDd#WpadPCU%#cV$C!|oDh&VTmsM!nIO2>4<4 z=5+ogMZE0i`<52|X*S-zcUQ`wZ;`{oCm~Ly&2Wfm-t@iE*|C4*W{U$?`MeTy9_7|nY8 z?mNQ{23L!)Cj-*S2n8ox`l+q5u#7tl0%yDwer;xe)u+&Lc3-# zLNRwj&IhpDbRTSW!&_9FpB*htX`;fLCU%^O9mcV46GL}&PZAsUstd7OSF+I#Cma%_`j8_|ja9-~Zl?}$VB!esJK37BrD01f5@mdWkCQI{5`qlAR$fO*-T#}=W> z6jT(~E{`X7cf=ikv1E`?ZlmHyAv09H9c|u+ zN84HoeINyjBgHu~n&{5$fUHV7C#E?hn|+8XKI39s)eo*-DzP;*Mmu<8s9E{+MI4rl zsZ4&MO-C9HI*vVeSUI6`Gh5l z&g?vvV=$VB#{%+xQ z5G8U(!*qH){&Hv zRCU|L^FH)03hV?bht02#pQ59VZ4q2!&8wm8PY^hP3ApFtcNoV~Qkx1fvTJL+x&#u~ zH6PXGZJWD)i!P+y-Tln|3i-=STnj?0Xl!dT>jq>qE^^*GP9}+S4R{2=mXw>XX|5?& zG%yGFI~WI-=$}YYnbU(?(zRZ7rpN7O)pOUh4y@?z7pD{!#RV_JsG#6U+;bkIVV?{n zov_Y#;{gV;Rm`s}N&vS0K>2kIH;qYvKTU2|0>v?kjvY)5BqVM={pl^J2(Jy&8V+e{ ztHq6noa#;|CKq&|AK>OVAqq-*#nzT3I|UQO-A9+a6crk;a#N#CvQdzTUN=NUgzPm? zP$QI4(tC*Fvk6AwG~`gKi?7E*#Pg5viUXsBn39;w@wS&}J8#l{Jjy+kt8a|tU8JyG zp6X>qi)hRUeb-YH;|vHj0FX9WPYIcv@GO8fEWAE3mrdf8!^=B+=h3Z59beFO zpeG`mm8CbH-B;a9gIdcxjo98Hsf~&#$<-@hbPqSngF!S8(Fl$1*R6AOrP6i~@Lb7q zeixEPu$qapO74J(XI*RuI_={4q{OyVe%CL^GgA~s4mg_kX;$Q?U0W4OxQE%QfSiIJ zq~yIIaV#VJtg{Gl4|*@AAr9XBTsaGUw$b|l4tJZ?HxluaSB$e|sCKv4Hiiw#ohK9+ zjU3Sj^=SP%+E0E)M^WB4R<*fqx8#^6GMf0VQQNW0J)v98uKTfwmm~ED<~cnt6){`( zxkk2EIxY3Lrumg>$4$NMOH12BPWQG;yN~WH!U1tSf9A)2k?Dr)t9031qPuYwT7XHf z-OD}noHFE}y#aUVp`*aj(kblNG^9AQ)@W=pp>~CHRysJun%2S@=Ol5;OS6MFwFieM zJ$!?=2Hm&6+tx{9-PE9_C-xz{B$PtgPL(??o{c<5rq<;xU9JE)Cnhw;oPGcjp~$S@ ztZv)6Rp*!SvK(N0W3V`ic6Ec#XGpYbhCz#Ms(tplC+W>b( zi>Zt>T;;ceevCF2k?PSMQdJ&(WLld`LH5w6`n~YHb9HmESrwqL+On_Qd8qcl70V@j zn^jin4zAIQt*)xY>`5~MKXGt{E$SiR*WLCsgeI}u-}Jp9#(Fu>f`jQTK|B2>+Ct57 zG>Vdv6o}MRIa+>uTZi&mo+^L|n1VP6vo$!01fFb?c|`%a@Qb|>eyas5rR8Dv-f-B1 z7Dr>#!z%}FP_A_<{NxT9uJ1lvBGnz3BWUAs&SATd5^Vx{BP5>OueR$ZJVr*2Ev&?H zQNNp8T-G1I?dW#zCz}Rp>EH1v!$ol3tsnFW2FS*9$dIUQX7gF)MN?qaK;gN}8(nXp42gqkA`NTix7aW+n}rx#-wx+jFiW zzOQfS#h7EaDPa~I6m0R0jw!F;XCf##>byo*^>czTC|kY}%PhJ1o;?u|rZ2J_@oe#r zB}LJh6dKR7#;ftUEFhVg)?ICfDd=wZ)h6C=(}8B1{pbtXZ6&HeHJ7M8-TUd?tP{55 zmf=&*;N{*_<)xcED820jIA@yQ z$S59y1q+RR^doh>s-{mLEE=Is_M2Swjkg17g;#b!)VOua;aAZLMP3w^rQ$g`wu2?F zB_C+t)>qF6gOFCR(aIr7JRXO42QVFhba1^pb#8dz+HmZ82lffFD#lNcLy|GXR2to= zsYBbhB4+`g21&A`Lqg4P>s}{Pau=-TnAQ*twklHhngbY_tgdR=z4*EuM)+ z1FNgcA;Fr<_$bHXWD{8qAD}^Ubfv1gE?NZc##_jjf)Lq2uHlEnP-EBDlZ}gZr<;XD zZJp9(w!l>H$ue~v_IqQzjZOlZbY3niRK~B>Av{oHP@4au=Hurxkr4CA$N{Z zwi9C$6Oj`&t(&!)72Mp_+$uit<}8(zLnFnKvF96Hs5iU-{_Yd);%y)Wx@qrxUZchg z)4Go5(Jl{|5T=^gLr~bW2oPWs8Js1XJg=f6P+hf~O4kjrEv_0Fv=8e|JKdhSY#Pp1 z{y4BVp^CI4morhIwbXF&ydyE0nuCe;%S$8q9j>5_Ek1$;3V zJf86T5t`$G1H0*zj#AOxsD>43zhh-$%bjht$L_*Y!@F?LFGy?8n|NcO2W&DmZjP4% z?x~45&}n~B=aOtDCuayb#fa;*;9w|nI$A8X!w@doFi$-@A4&*MVGiqKkq z_GJk*YkO{L!K3A2tB}vKNKq&FkqKu1-L!zGprikpI0U|Lf}10 zu?b~*dNaH%U#7}-SH8u_;H5CQ=X4`Q^th||RRbLQliKykAFt72L7GbNTCCN+eB7X+ zzJIv&P}e=edE4ofaZca>sb~len?uJh+crSJ&zHboCHoV;IufCQLy6ME6bovUZ34RH3p+kDU%EVAVY?rq@>3{~xD-ZfMW^#eZ<VB5M3IyAVP>nOW zRrS6!T=g=uCi_fOb298pees2|gaqP6hDVC=yg&QAm%%o%5xm zN$&@*A3Jv4mXOTK8;Z8(W6EB>^<#C)%mZfiREy%p@iT6{t?pIx^@5w#rfzpwnB^W~ zuNx~bRP#2{J{tZ}IwE|XaV=6fn56<6a(*=t``LK-4NmJUq6RkSiu*@vbh#?7FWido zx*0pk$BccXa{n<@7qnW6VyP9`J^Fs{lAy?7^N<6Tt8C#K!^?gine*VcNc-N+P0b?K zHg@@DNu{|wD$lG;%EtG=lzXktSJ(6^{5%pM$f8F#M*lwm&img%Du%VqZlouOqz&;U zD3TU?pDFDPv-EwqC@aAZQI;;TX24VKcysq1^mYNN%2S}McWp_ooH?eN;l4Glk!`41 zH&TWiA!a>ikbu;Q@}34uU9o$e0Sz4?I_sq8ZRZJ7@Hl=E*>lgwjt;DU4| zV;MUn9$q4|F#j^!=bp<=p+htqK|O4U;)ivOr-0{zF{MLECBf@w(=bd&kl@Zvuo2eg zbGa0olF`r77`a9UWeReML7pVFM`vN2rr5ZnFyqg_oTH-lq~xOny~!O#Zl3rkE8(Y* zR_$_*^c(WcGF@?wC1$x^#brQqY|_ezy=H59Oy96C(DEYF9QU8Xv#otq=<*!4)xzml zu+8*<{F$jfme+cT_)1@Q%ZcK)>TN8lXSdQnhSLvLs?koySb*_qq$0l`{mDZ)(=ltL zgYr%GIJcHr@S$3gBZX*PSP z9rVtZeHDC80Q`$P4MoA`=PoF|&;b;D?nR@&hveO-)}G2XKn@F9tm82P3}4gugtB~# z7X`?hi%yn5PLmww}Vv6M1pXb4gGM9vXo0ifSr% z+2dujF5K9<%TY*#`{dN2T|LQFG6ohaY7HnNfI4x!mW}HB7v^OlT>Y7LCAkVM%nJ?& z|1yv}zWD=Sui_2D7CDdirdKrJkp}IEjLSnMjr16k8pF8OF#pThP>sZVTqDZpFVLd^ zE?KDC^5&Z14b$svj~J8o2CTGw^g*(9T9PeU@hs6uqtVqDdwMGN)rdYlMV@&5U(uww z6J=gjeF46^FPER1)fvXR-E*=ELhDY;i@BZ^QKil2o_Fm+yx-58D*J|)H0*AzQ&dR! zO0Um%`M$pwSSfoq*IdXET)hQ>Z|l05glQo-%bAc0fR<3MQ}tX97A3{v~=c`|4A@UVQd_zqa|z zwM=ZxsT+=}W&}6u>M=aR^jgB^2?P+?{%{4rooBAGs z=6F6rkU&%+me}mV)-+Tx;_7E$k+>gbM+erRn43@Sl2f*}V6xhmsFhc8McnyP%+;V? zoYkGUlGF(jN``&bhWu?(fS@A)O(cjot&yl!bc^u57_PM;AwLR{z{I8byr+8D+`dTJ ztC9?9Vu` z%Yn<5*6ynT8DPp*VA+GZ6h*NfO}H%;ej%x|4x^Q?wopj+J4m|~v$3XjDd~6sI2)N$ zls-)oQnUMW8Q|?^yE1L#!MJE%xUT1=HBt=^Z=@}U2}BMgKMy&LJASG<$H_?@i9Xoc z!xi&wR8_GBc!$(GsAb#*9sT(C-o6Zw&1;Fyry)cL>_d+K6xM^Xqqb4P|g-M>b~ zk7SRfXk5AJlwvKON3S1rbUhj6w6SSP6>c`V=rZIzZ@?JdWJM9*l+r<{lHJ2LXh&f& zC)w*w&U=cLy|mLaSUn)N9MXEib%Pa|?m7D0nHFon99x^|q*1H{d}LFN^JbJ_Q5lC0 z3zeX=SVyBWZA#1fy(LZ)=Q89V^n2Jn;Dzu93O{Qhl-jlj8j22bD1V-DVNa%D3>+?D z&9?@uH(l}WU;@XoA8}nfw~)OMfW#WlVtEa+wX!#I zs`;`*b-*;(sU$P*;Ot$U7Ut{#3zHTe=aAK5=Kxc8^!Rw0=uwRgqLN2<-An>EXb;~# z+nJxwv!^og--)8pc(L6#CxKAwX=UVSe@jkwWzz1n*u0(xtAI zr)XR9M;bwv-hMEx?~t$bk(ObiqStDp+Lg4|r&Z}tqD;&RYLNSrF7h7U_eXksv4$OaMiM{sK$wd(0+nO4n#cVv93FZ4aRF-b&wlkn>vcUR zXnrL^4lh3H!}y?X&j092-1uqqTRDi?w6HQ`1d5CG(tEl9$~HXV&eYq_H(H-V?Gabk z(!%`0-s$(9S;B3#md+LLPhIqb)cN&Xzr|=CfS|L*vujsvU0vR7=i~sS=adzF73XYh zib964SB{8$hdsN56TX#v{Km{2;{z!v($B%pPR}E}qP0>@20bk-k->7-CJ|8cW@&yX z%`6_r*4|g)CN%()?7;L$q#&>^bqFnFc+l*74 zYrlX^{*L6-|Lzrm#W@g$Qz3+_vIBP7ypw;e-g-$o&1!CJi1CLTa6S zquDRbPYz_u*rsXw8U_6BGIPr4QVKhd3ae>XDMo!lorL*VZpMr5`qf;gTsire)bC%bC#|t6+;iB`r1w)AVHCfh%QVaFDdx2hi1ZI-+C2 zjAkQ49{_?ojotK%CiJO)!{s%&b0tn10knYbff`lIkUJ6@*d>q0ujx?ymXJ@d8>aA@ zRvjp_|Nfw88OF+>lI(`pv<7-+FI9KPi!lIbaGDeZab%9jgYa63u!c!3bP@NGSq;6I zpB%+R4|nPU;>TXTh)}`I>Y>eWz5_N`#gumD{BMvsRB7s3s;lyZ^t{~!3YaP`ww4;lxmY&m9rB}grj#wW-jP*WxLtQlV0dFcDKaAM5{ZSH)gS7dVYw?`{YRB z@GWicW}wg+vD()Q3UYn|gC>hG8*4 zJRdlpORdwu(!nCteLq9<{n`9RXma1QK?qvsiNpZI9~8$%S1h~x)8BFbl2@A#_-nX` z!v>#r0oRZ0lt{GvU3hF$an)mtiEZbqy&G4XZ9En!e#tq7^)x)pdf-!+>D`wsturB{OdLEG*ZZ{{J<>6Sv~kYOh!L) zc{9+F)fNlr)BpTq@Z5C60O)oRq7PfexApZ;%#q5D-v@^ae9d>&SFZn|$Wr*jX29r1 z7zFEGLb#Ujdmq0^x7MJ{A(h!lO8ck@xhW>&qoN2zCP)npVdSwIz}*X&;U5U0fmSxT zlCUtJ9MFh6RizLe>*V&TIsZ0o0`)i4ll}i8&kE$l&yzYE^AhF$xo zDlr=C2}DGsRQ?@i?^GOa45^z1ycf6b1eAcXX} zf2O&$+K~S^usQY*##{D1D9kWh;7X6A#+k}fbX=wgr2UCXkM;K)g-kjDKhslVZ-o8S zhQ1#h80@ehf5?y<>eSki78%R}K}p>*qketcmrnJsdtswq&>}DXVahT8n3R$u^%51t zWzZ?IF+spG09Ohf=aOso_`<%u%mn?BOrRHk!L66^R=&8{SC5b5Lm)<2xb(c&SbK9E zO#IJx*bkgNOy=+s5iql?OVi6HN(fdI0hLTUZ1Cpo?1~@Dyl>A$>$%t;pck1w%GY@2 zd;lSKz9BteAfZU_tj@A2x)Wr7w@HpS1$yY`Yz`Q(oFYoJ@`Bm@vBi{U#aH+r9 zA7RRkB(9Id7~UCfQ8~!q%UK&d(g2!i$>m+3N}@f>6Tw&$PoE^=Q;S^?nJ++vJjo zBeU}-t~14+$E4K`4_f-N4|K)s`Va#H_iftIsQnLRBcS`To5+)8)Kk5PU4jN2Hd7t)pe*~Cdb;P@Ke(V<>DRv0}^)#^=tI| zD#SOLF=bz-cbPk8#q~X?tTtU;g`5wSQuD8;@8t3RNr~CS{VD*kSQ_`eZpnQh;_(x) z9BhOP=*QK-<-P}?n?N;iSGs5$up^26{i1l7^?vVC>m&(|hr>+AWBvAE1wPKhyzYj! zt(gv%2J{G@6+VN(58!XvJ6=1_-T4)x1M%eb8 zQO?+lm5iam&m0=oUXODq`N)gI`d*0oFcEOr)1l@>qzDo`e75_jE%Vjm9fUvto2YUa zaw|Py|J%A?$A@)O^ZdUHwq}7G7bJR6P1+<*hwXkwzRU+TE4G>tO$Bq`f5s@}csyZ1 z|A|!u1~+!qtV<=V<<5Owish9$0-GFaWUsV|wQIVO)3#8zI1c4L;yw zs{Ruw`K~M=$P|j$_b0e|CAqeU<+E9ej$(JrJg}( zdhLimAlcWb8LTk;v$Y>Z^t!~KcLM+T?gHp!1q{=#%)bslI)?J6*|fp(Bzrwrd9B=? zn*U!=3osQ-kDx^O{+Out#0{F#4n<&y`_!F@)d13PbOrji_`qd_==aRkAeb=YsYXYG zB^ z{gahNjA>pn(RC;J8*2D(SwPEv^`MP{^{s&4!V>m>mL~t+Q6$D7Q`)hg*$h6LVWQX! zp9M#Z3+z+Cgy%F73m@?>&^7{h19|>w(a!_kP;Dze7zDvwu#erjF+4NQzcD0i;J;pQ z(Ytzm{MSFB#OuG1LsuXYR2#McN@uxjU1z>x#GCZwMAVsHL1{x-LD{EAUHuO$EKa5` z*zbbvqz4Z_Hq+^4D~`hSX_SXuo6_mwig#u)V!|kd@4LGIla zKceI_Vc^YTJ$m&qklsGk#GW46*|d`&TttW@MK4!+ePVDdEV&{O{kaazXHNqxSI((F z$rXlUVK~^M&L=r+Ta!m*+ka7Tq5cE@9Q+0TDF4Q{P=vF6^WHB$&MUmkcIDu+l;8Gi zyTz3iC!cJ~x6AxTN6+C~cI~{jeGVTF7N=EE;JUMwP1gD1#k&3oznce6+^p2p()4zX zUB5f7ANep>MR$(rAB~-gL`CPIw)aYZx9b}P;SYS*+1iH+|u{{?R}%5|6@iOBmYs*s>Xlv#~J>?%GGXTWMOmP+u2*3J)EXq zE%^gcqkLl1ZD_*&KPd6zQPJnP$H@D~lJ9|x`NvOad4 zx&Kb-VY|-#|0%DuDDnMk_D?@N`{G;NWB!k8|1}=niQJa|&s?wUZ}u$m?C)o8=I|Ai ziT?y&+YDS@=GFp z+kU=wKA#7;M%i7R&-Hi8@h@LtR%Wr-+UO$8kcCl(z9j@RdH z%ZF8gOk+m#p*Y$YVXJ8}c1|s7;ee*;c$|A9uv2?pO?>G{o9bPRe^tckIN196oU3SI z%W2LGaBmcncNODUV@e2#7o@n(HN-QSBOBO-)w8m>AG&~Kob+QmZ7{9f1!;Vl_%F~E!!<~b7T;lh6*O63|Prgz;1Ml9SX`y@o7%RmS ztOk=P8q``D8>7h<8=ADq8Z*%bR!WU}XcQoKqLH4uE_ZGu`0^E&#gCoK6-^G!b}4qv z6|qEl|7RBVux&h4I{>;?;Odmfxao#(hOjMH7M7B~(mg~@d-JJs)L}dB4I+$b5z=lQ zMe&{uXAM7du2iC+6D_=PvGbKwjtX zNuUpO5(4SivB6AnMtlS{i^}NE(Yqg>bprvf%9BQx*XX?S1T2r%fYDN2Ktho^HtL?Y zjvJU!YHH#9V{OXFICr?%PnW!q;m9*_wb#9E@it)Rc9((@2S+@z@pG`^0pYjimsd;j zt6JVn%UpImi82Jza+PS5cnp}ZN^48b;0&DG?K<0AZ=&(HKv&_G;mYilcV+tKHLYVYO^mK5A$TpT$Ovh1ShFvb*3t@n91()zp|5~yO~pUE z8*_a*u;mZAVPf_llOTQiTAQg+zxwXOtyCLeohQ=s5raNj-}(fA%-Pf)hypR%}A7hID$Qb za;x=T-);48nfGi@iJpWd^crkgUmIo;*S=^*L?+xxAe8P6DYPTBe11G7urZ4=1S=jmKc zaeVbFK4%OQf&9Nd?ww2S7PEwy{rt)>H2S;k0^j)ImZudikGm{$C@gle4NfXdXzhrn z*25NPD~_XO4ufaW_$6Rr$m#~Bj)RX_Ubnb=-j;W)raf(piu-PD4X!nZ^MnqqOKIxe zXtADv+ih{JU&DUEj2TiNu!*<~Xf)@B_=5l{Jr%vOwhj0bf-)>hz{FeaWdik3RHV8dT zSV^1xt!7B)&(vD9mmpb#yqpPLUM}h;7Jg+_tgkvIsbJpYu9qbg$E_*5N&XU!+v0+!@?SCikkUmV=ghs$fqbn{(EE*QGd4@#)N zkS%EROy!M-SYzmfi$F+M#!5-ob!sA<*I#A;jpr@IT2Np!C4O{gU3gAMSpo|-f*vle=?(0E_@9r_Z#w@4&HaHbU$Ll0Pcox!aLdm$`w>jD1_*>Pd8cXkmT zMO`7Rex1Y5=Ziq$FeAKaWlY}G>ifykx}|*Ub<|=DQ8Z;|!QmS3hv4mdUlAoDk^~`# zk5y)$JO>=o351dh2}ZAGZIhd0n?jAEZ9hc!f*WIBbj=nT^Ll1AI)qZOR; z=P4jB&boJaL*E^6&2yIL_L=xspw?m}tRfUY@-7=pt8rpml_c<;>$b zg5)0ChfytU?P{rRDmB^LP~49Mcvoo&$k89ndMcdoZ=fV|>h`TAck2y)EgU@+HpwHO zl!tSC0`{hMf`9O(NgPJfn=ojHogAc9Nx5En1*UcRbT;(mk{TzR4q0(8(-9}qo!QXT zvH?h{&p~T`LnmZD#mzrv{GyGCW)wYZX>~7G4 z>o*gyNYvbXlcn|1?KY`xV|&Ne8Hncuv1Xzz&xQ!k;eqm2(p2bvo*8XrYB!Y>tMKy~ zs9?r%1vCq0HN}3-?c!iJgQ7<;MX=%J0k_mbzANL(R=9CaP`psh=##c@6+^?P#<|W8 zhUQ7T;4iAMS-dZ~@7iA!$%KbXNdy2j7)cfD1Gk#|u*^#=<~T`py@(kba9K3pN3`D$ z`>P2e8TXvP(daDQbX0}9{&fjw8b_+uHfw1rCIawuq3ZmbGW;~U{ME`xDvktSr`~;r zTw|!Z#Qh!xFW%#wW?|rbP}2^NcnFE3%V`9CI;o9ACH9Spqpd1$-BK^KsTmr7B;^<3 zCO8W*Z+C>;G?mUz8GxSY?#O%u4c8V1Qc` zl3Ku0ic?s!+eS;3mI32seoXk(5A~D>doKgS_QZv`ecVbflik(FVub3f@2N^~gZk7D zWsR>{(1N8@#U2MG^ibN`sQF@CZm80)VQ8rmQ5^ZW7Sglih4G$cnDQ8}g0~UTwO=mQ z?i_5vj$NkzgbfaTz+i=Q%z8=sd3SxLWFsTt=%?5;JqdgLhc^tC?6Pd{fT$pR?&6xN zD8d$kquD9+h5KHZ51+w@!}C$>Jam==rMW95jyRl=U`jcwF$>))`d~$ib$i|HB*$Hq z9s#o!J6Fkr6w&J2>+4TF0xmTM_OLtRtU~M1?LmE65O3<;r&^$cL(9$|K@{e*r^i&T zu4sMux8EjkkG*JwJ4$YxcAev%C=UMZYY~j$C95ru5|RB{v;>ubcZsjS^6`~ESx#Le znes4Kf7;|@tG>ghP5l3X;g%`6uG~i_M6YLHS#rTT&Y`@T_yu_7n~X31eKNtlYi5>` zWNLkyhjv6yY0ZW8j7wUid_zUw)=}6P+elGXB8VV)fIe|+j(Q3yJL@LvXC?m6z6r)+tn1Z(MD(dmRir%a zJvfr!e1s-?*g;+-ZV)wR6x5e;&&Mw{l+9^A?puWGhnSh+uC>~H(O~tG?92et3E}l1 z%R}O!72^@_rklMNrM~Q+Br027G*IQ_%Ah859mL+pV2NBFaHb?hoaWI5B5e|~)Hy?Z zo8gmhCyA7mej;SQF|vpz$;iqT3VOKKkN@391R?7@QZ_H%9(Ynz_Q`3JG?0pWl&)0W z(%Tr{V6Kw*Hz|O;>dT#R*_)Qgh73#EMS=lYqT%kWqVM75uk&)OP2r*uD1+2UsQIQ3 zh5@8%Mf3-~)lOI-JJobkvUJBMj6~x zUSgp;8Q?n!&RO_j3Gw7PPoiTbUEnUQo>V2*_d48y<5CC0l@-302__|7LdX+jHxd7{SUcjxTdtiaiVg&4CDUYP%tF)^zp9uz_6_}R}Ic!v2`H2WzBv%}=q4%0Ao%!wQc zDcFLT;t}kDb-1lN8d=qNiP`RDA&olxYjSnM`r?sd)Z`3aI`$LeRNhP)o4{jg$(3}X zGy;86k9@u*hMVv-)rmB=&xJDcG)X~4APX>gLlQmdHDk$wEn7!j4jX<50hzRiJoY9D4utM(${40L%aYjE zGA<5if}%=kcr>W&l|M1`m^I{ff=RmEMb4kC5{1-Z?klookb^j|A=wbh?ev~Rp#=yq z&KiNZcyYX+-Z{dEGUaXlh+K-l+e%xJB z?{Dvq=#TcVJHN1fn$Mw>C#LVEkCvLuzxSZC zUt(Xt`DglZ{%oPnIbZo##PshmXAjgs)%9PtPhqID^-uZ}3y=CR0i9k-{3QFk319gy z_7@Vommk!h4f&(~Q-4*owXH*O6!PiQ5}&*lfjMiTIr7x($U9=<5@PXZ1vz=4e1FWg z{J-^z`$bMWoIQOmZk(3A^LNixfSsC;F{-pREf##4AJxj)*}H$Ohq9GD{A2SW$=}mM z?aaSyaNPf=?>zhG{PX<(mFj!;CAZv(Dh!NUs`$p@Iy_c49rZ6%08Ic92^sP0B>b%)9MBWrUs@3qMm~KXlUSX zyo{<3{n5XdtV`&Fee=>U%pd8Kh)BS&w9M$sHBIv}H%TuzF*Tn5t9LU9_%9|VerD>C zx$B9!X|esM<_AWGs~-E;hDX1~s7u(pk8Yjq#2z2CPhvl)^leGKbpHHZU|JaSUu*U* z#(97J$2!=~>u=lmYJQ@Ax6c0c->sxM{QCcvMX&28%H&=9KQsqo``3K9m*(Z`{kUm+ z_R;{z2fqMr*nQzX-}eNA06jgQ@59&74|qQQeKgMipvA;* z-++JM34T8JZ-6h~zxBZ)Um7sx=JF2)jKI9VzXCrJBZK0hz&rQH|2zFZPgAo%pS*34 zL0{3QzVqPjYe%2c$Ave)>2LkL0t5d+tFGYq_FvzCS!f05RDbrTZ9=gB{DTw8|GfBd zKfI^%-*5=N{zu=R#S+bjBCDY5~}NRhhh5V_MsI(p_PZ7iTSVIHn3NG4rz-y=EGE}KBUp&`!{60Ey}&c%%gSHZi{ZR?eivEe_)G3b93H~Bq;r|4qf?l7GPx?gE{{b4j0NQ&l%)tVL4q)C7pUu|{@%!Va zr=ZVzkclTiGJr(=!AO8!kzdG9oi`(d|J22A7s* zT5re}6MTy=5uO+8{NwNEjBmV4{zkScdNTiSHD@KN5GJ&scr`LJ0@KpPBlGEAX{oV^ zv8j293Ry!_3OV{B3E{zkgb$mS=65D1M+RmlKSUAiy8JJ-955lLezNtIpedyBG%?l2@$P*sddJS7t^Q9CEs|zwn3P9{70|6 z{4R!}c%S|rygj)%m3_#6iN}7eW5e$PcjOzf|Kp9%RsWRM>Xoe7-^>Awv8{bMy@Ow4 zKlpbcEvX)DmpT4dl0Ywh|Fn*tRlfB@{6LG=J<9Tf&u&lSwN=4=y^HO4aerpz!E$x_ z)R!;D!vL}4$Bz$#BFU`ZKj{AB9*RNn|Md6%38BjP=t~V>59=ZNSt=~usP6uM|KAcC z7n*;){kXryX-02(^}ercw4e#)b)oaOBe{H`)&?cklj8yd1N4J~6f+YuZzDoVH$v|( zJCKE_h~^4kZix70j<)a5CVnx0x?h)hKY9*yOn-jgzhVC|WWs`u{)1#&VqpAb)&`24 zLV$*jYJzZJYHDIwVOqNCn9=>3&WoQv`Jv9v&VS$NaIwK3pXzd++sgj-e@^q){W|>V zRdZkOQ1w}qo7jmx@t^lCW6PO$6LY8!7+)G1_m$qpzj<+| z@53KsWqvr_i}ifN(JS~jk7IaG$6p^Kjfq2k{1=BY_n*_qm*bh-`WbY9_Ju1}bHzk6 zFElp@@if#2^zr~7`)|m|#n%M18!tw`(Q9H%UvN}q{qFw?k+C%1Kg`t$yjNwupN9Q! zX@XY&>_hx}`9Yu0oaM;ZnQ6y)pRYf>A222zx&@X8x4{P9n*X0k zc-wzxg8AV6=wo^szXrY|+Yl!Af&RY7FEARu7tB+<*HXMT{`t?~*yM{`>VCf0};2sJLJHp55>9 z@g5%U@A3B@=>I0C&%SZ){te^icIVYYz&&XlIWZ9}dLR0S_&^&y#Cp)qxetc)c4n`} zgYSw8^1Hhbvkw6a-<^xq5#QR{lTsAX*FFv0S_nJ-wEj)eZ>K)s(AF8T*E0{;+q+#7 zYH9gwOexs=d&xK3|2@wiZ)It0%lOYfTowCda8P`o^VRnwKI4b^3hj#jZ{PR4%*##Q z|GjMG=+k?zukOj8ZEo{lH^O_qbG!QzpMU3TvXZm5c`?8G%!ljCeQl7?jQIB1JHPGj*u&p{b6LK;II({=bV-I5AD1`s32Es<4@vy$3W2XTUk3It#KNG@ zZC!h*7~S5?Ok_Upey87V6T2AwKQn$9e-!TBb$;FNrf75i_-?yix9=LOk7!Nwz4Y9J zxUa}X?S3N{GrHb)SVHLD(2qlP^J5{E&wu+eR|>q?OSFo8yMJW$aev7#7FqB65T2os z>3^gh)jzv*Fz&6*Ekxgc2x2}<$B=CN^YDMA)~vyVT+i$kM7NczIV zm_7VQ32wRj>HqiIlHz~>tqOn^*xdPw=AZyq6bbMS@k*V4+2gkkilGxg`aK4j=Rbb^ zakL%i{r_9L4-;wfIR(l?wQabm>j#e}fNlE*E3#nM0nh~S3V;>>o_#hsbpT`b3`sx& zumk`KKox*2_g#}?1~49=9XW9TI{yIK>DEd!1f^cK>1(#D74^kaDgC#z=Cjt5QMOV z_>BNVP(uJbK*PVVL(oGgM0iBVL?A^-MW96pMp#C$MpsB^mk3ET_CG4tRSuEzFyEQ>@DyuEG=v;urAy#94=rk zpfAiX6mD0y9or!7m^RV<`#!si--Wl!+v#og_I!K3eP+Ng{q{4zi? zkTb+H6f|HoNHm-^@HId;j2nmz%MFxSNk=ty^t!;=G;L%4(7Vd=2yu+!~%DMo5J1UK5%<+2e=d5 zq3&5kGXz6~NyJY?R)kzcVT5NyZ1-|BK3n%4l-|nQxyCug^H7kql&SLy@m4y zY{hfMgvE@7lm(ncqJ^nNu+98JcjD-x?BegD^Wyg7`oja`2jdGP4X_>^@3?kIcYJshd8Bz9dZ>GrJuW`HKVu(*AEzJ49~2-xAYvecAgCb8AQmA%A!Q-u zA@z`RNQcOgNSlbGNUVsq2+0W4$oNQeq<2Jt#D=7dBv>qFF*)a&NNJ zGH!A-2{kb`N$93@pSq{rcy3WdyCmb}=tS=1@}>6$0>uX+9P)@#i=vQnlR}oFn8BVo zP@z!-S0t*(st?cxQxXi^q{Cm?xwIywlhd+#}+%<@4yy?vwDnc#^zh-jtuQ zpUj`;9~YoCpim%cAe~RxP^^%|5Y*7(Q11{3(HPMsQ8Up^(OeOF(c36w zWN4IY1aX9QItyv zXi0F%cj<&AoK(uB(8Sb~*ks%U<3#6_>O}FR^5rP`~QuSL;(sn|T|!4aZHt@BL+&J0c!?j_DI zPB<<|?oy6nE^97*u0l6XC#EB*6Ri8NbFwqH;|WY2ARIUvUJfsaQ^XtMWbs${OFXdW zUQZcGjULDF$_>5?B zB1or6bxJ`?ThJ%yBGW`u3)4T-hUxL@{3?eojZcd&N5iDU(yi(5bc#AlovL0|Z>+=C zlk2K=qLupfClxi7M+#kvYlKaOh1p%~PhsBSu43ilHR5dX@iByPp)tsNHd%pQNXynWlfI&8V@e^{X|kDXnj=Q?IA6 zkFwvh7uw6(DFmbnlFs+@X79XF+1^utcilG!;vnyMN343^Kz$lN))m;GJic_7=*f9} z9?X9$q+i8T&@ZqRZV9#s3Xc!yo3>~im7^S_BW-&Rdx-_P zjlsRk#qN;F@p^fLgNB8}2AGEy;Z983X%J8m5YGz##iSWC&#$J%Uro;mYOeb9=6*TIvW+tS~RM@^^I_Sx3biDL3}0 zCu)hyN}asfe{GmBu+#q-n~T5jonj*mMu_!8o z+1K`nS7ei={G+_f8Pjagh1$`p(6_F;IZ+BE?LkGlvVe=!T!&iLO44h8oMhBNr91l= z>`}+|h<&Eq1LNGg9#dk3rjWJW80+sfQgB&Gq);t8B5nNR%WeAAkY)6DfD~p|9npbl z>}$W;FH4b`bgs8U#v#QK{U(SV=1DLY1)ZgYEkZ_4`j|7L32e;9Q7fgY^Gq-*L%Ken zWqqHl5zCQX&{x2wYS2VaXIi)&21z*>J#K`KU>~2KXDQeOl@;5by`QQuih{WZv`#z5 zwYF}}D|<63hs5lgPBPWPaJXhVR`8&ex)3}D^kP_MXBb}ZDjpVXBOGJZ;vF~CGK1=2 z4P8Pm;J5&sM%Y}X9%#dz9nE7=uYzO#uh#@|m*iz-D&lRk+ zJWsaN8zTJ+#sdR#U!BdVuYsM5l?FiT$!ibiFyqto3f+Ap?`J#i73FJLO?!_S z%4Zi}CySBgyHjLI)sJz~$m9-YuBu^D_|rRVD^Whh5Q!^iY1?p-v;CZ{Txz7slijER zAl+LZf_sW<&g-n7N;4I~hGzDLgSqzG5YQ(j$iTJ0nQDpl9q|*K%4WnCqKN}Ck-M%g zG$ZA;Dr*j!_~(mr{3`3;?QKiZ#g|=hE;*xw$Yfz$iNti~e)Z|dY$7a;gDGR^f*wcK zmUBaJG(#tn>K&m<$Fi`v04m)$dAIPt4vWaN$dYBdWrrFFUl!|$Q~VdG{RZ| zr=BYk$N4Du20Kio&;lGPCjt4mj%RQgIg_Gj+;O)Tv&B#2rdhL62YJGedAjhRnjhL% zK{l1DhEgoc+A=;R?JI)@F2B|FUR)PPkP58W4IfKDwzpL_9y51t@w)biU4X`I9L%dd zrWrCM1NsP`L`x_$#F<({z5RGI21! z(yL-FqDn{`Mt#ufOIN*Q=xonx2W(Z|xfnt+*+h??+-E<7(lJ4Zv2aCF<%CDiQCL>p z`H~bw{LZIGFItKc&PK6q=L=h&TszN3N83q6Ov`vlD{}>@QctawwKqoa)9ar}qI>5#7;9!X9HHUG1`El4pOnTdL6_s7G_r`8)7}Yst-J-O-8>_KAQ; zb`l6!NlPsmD0_a;P&IbNo>y=CZYq3dTQr}JB9Y#{$4&h z>8mKdz&aMEmOzWSNTv3wV=I#hPY#xDuG_HMlc**`%V;o;-q@Z|pj3xZRmqn`EfJHO zYd23j>#Ow_#MTwt(V}(;*;NxkG-~;Y#BRMVD%$xW`~DYOqWmR1(1E&R*VoJlq0MW( zrz@*7+OfSnZeMqU$9-cP_%|M_I>8j%LdF5tgvYKf#da)`7Zg68ta~orO>#`PI0u+k zEvqFf6%4(R)lLiPxW;qX?tC#(D&FukTwPH(rWbj6nKYZALx*d$xH_-1)Dz8a-ZPX* z%Nb@kYzUO^Ay!5BTq)w>#PWlUA&iriez!(=)d=jlISKd`vH$K)Di5gFabfEShNLuV z<3u*6ye@rj(O|y7hOg78xUs?zJ#6&7bCw$!Ph7h_H+)S3jiL=X0E#@OovbYM41+CC zuRJA0Z2>Jdyt@6)Nlo>qyB*cs@<7ut9qeq$5B*}$T3)8nb_S^zo2y~{UUKp8;7m_9(Qk5vNkvZjiY0Z`Dk3ION(HCd1qc0<>b$5f{G&(=DQx6EZB($g4c$#wAI zd`-MH2>4Bc0`>XXQJWM~T=Wuf2{Z9fSrB5#2#mC&@SKIdjvYOM>w=MbdPHn2N2a5? z?!cuHFMA(&IJe~}J7?W(8_xpFR>Cz$bfq)5$Q#P*)8owKOqIqbL0RPdARPLS<)i|5 zbcFB?T<&;*7rEboJ$b=z(-H-+BTr{k;r=YG!H&Z~dmtcnL4Fnkvm-2`0x#jm2(oLl2!Leo5hy@7J4g3fRAf&>FJ)JlW(nqu zGmW=+&Zmdem-Qz9p^63Q;=Yedm*mq-!r{BqZ{^G{CAJ{Ax~`d6+ev%p*IBb?2OYyV z$yc0W+*P@}=9tIwyW{P7pv=u(>J-eai1bM$K!* zin@0Yw!0SYu=#Vkh9u7vv~0w&17nIRwc2i-*{ZHqqnXXbJX;Bw4ro>QAOL`D4MN29{WdX7*jlPmrm~C)jgMe`{bS}VhakNw>wh>ic%h`V*Jn_uVAw9k!6cV?V zCp5bu$dxgF9PT(MDF3EDkG$(^p^id1w2bd5t;Y5lBi z82wtQ;#^f^Q=P$$26kmR@L9Qq{)KCjtk~#)@444DzU2urxZtB6gC#!E#}%JRzo;x& zYAoKsv)S%852%^Qg7bD9FGxHFu!2IElf)vstJ3v0Ih-3`d5`>nKf}^i!U$HqiM!UE znr7)DSDhrxL#XFETkge?#86EXN$qrPT9j029gc_jsnz-Oudz&k@bL?8ccz$%AoZgtzpWsIA4@rGB_O3me8=4Hv zHfg5ny?EQL~qAqwE<23f(-3t>k_EtVi^roa-MBloORsG=r+Et*G0}!x^CJ&GY5l6(ZsegPDvr1OBY2-Z*(VwWNO@^BS$k=YGgD%Dyf8;Za((k~gs!*XPpB_81KUD5ik;-O| z#O}^dUE?529oSfStAJJrne866#P{SVnS`Ic22V(yiHY zn5a#iO__6xy~+HGo-se|{23pOaxPUz^5Y5j9fi!k70gqg@L{VhgVrI=O>Wk9AH$=G zeqGy#PZLn8LP(_UG)%asbNNTmD^i@8R38&nBJ5oGwm9d(n%T;J1mk$Vn-dI<__Brd zlZ85d!ptGEHU`!$bCMi7H~u~ozLYE85`)Q>0@)3XQ8$&60N|Wu5sI25jx?}5uw|ph zW#%MNfZ~LF5j-!7lmB=L#M}qN9E0=v|&$5zwc3(&9YTOBxdQDw_)gORi(r2x0$(nbw8Gn((yfF~0k)AtEf79!A z@IV*D-?}8L^RM3_y3!|0-2rK-r9HztaRcr=N5}csa7T@vy2!=gR@mHm0PCo^jyrUa zFNT2AXJ^PXpDmR>jQ|a`rGmPeT7H_v?nOPWJk<&)L1K`L1riz^EdhS69W0KUaAYBTEykpw`$%Mzq8HW9T~qHyZ(Gd zX{eq+Ea4~Up5eu z)G3PCl?)iZ0GghHSZZkBd==IvZ>}nVG?g~HmfpK7_463L@0dbEy#~BVR3+mJrohbDeAW_9=Y97gu9wL z8BFQ*_z!v2#U_c0eAxDb$&9)NE@iw%PNjc9?(Hvig|dpScUh&JQb*~5 zc$RH@NJ4Wvut|EplrX^B6`WTdIwLDl${8ZJTX#pqYRt%sSub49H-KNi>BP@iJ43Cx zB0r6V@uQ#i`p1DO=mtU^Y;h43B1Q6sZSta>x~>iy$7E)fmM@NJ8Rqt8b4J9ZZF_kM zk)$vSYtn9L{|@>T|0x!BqFtA-q3dI-3)vvY+uc&g5pC=m^=oget4dY0~ zQE-n%Z)kf;)2Te&W*658hqfo)G9RJGiE5Qz2T_y}r|>P-1r#&_Wn%YxaXO67=va(J z5hTw0Yu1(yRc4>n=p33dl1(N${d`@qvlwavzW)_I9k& zNi6XQZ}d4Qp|x2-q>NNA4=4G6Ls5;Ph`V{Z3ucr;}6j%5x9bF1&EsF83*!7kl|*3DawGtMu=vw*8h3thT}Y z@>=-&ob4RV?A!LR%0y9psuG*=YEZ)b*7N?5s`^&*u${_cdwXOn2Xiu+foJ5k6zOH_ zN?M~z?FdJw=x^Mm=}~X9GKnZyR^8<|vzmb(ToqE@=@gN7)Gt`|aH2(f*9*pl?F>;A zVrcENWl%ug^waJx(y)@vFg<%^7Jh|j+Z`%BF|0?8tWIOr%%Pq>fw5iEK1uG!t~6s8 zftE2|-$_?bzw5SeKYe)mlC}hMf#y-K`AzI5%(bXMi2L4_YriNOcQ|`#hr#DbMUH~Z zsF+>YwgZgSgQ@TxL5zimok3h#g?f7mOnu_^XJ&piZm8>F=Zjlt&Dmv95D4GtxNe1# zB`qB*^WhWgWb_tO^O1ra=N;HiuXSln&T8H`23o5!l+Ay&P}81&PGt#_};dA9|wD=;JLNE)TRl1D=oq=YqT^X|ZqCPPnv9AaCY%wsv|jaXVP9^E zsIBgHkR+Y=6sYM}Rx9Agska^O$G^l(rYw`hg++I#m8|4LXhY-4Gq3}y8MRnlu-YY! zG{Hd;B3WXo?&;!~Ii~Nk#18U^GNg7fHKzP28KhFV-8%4Lkg0(#ua_nA4l#oZ8KFB8 zMd1;fvBO+F4&{3J5VruNJvHEDUg1za`m(nMV=VYEg1oZ9M`^ z0s5!yZ(C=9V~i!&)j)Q41DVDT^>$I3mfOYPt<~sz>OAvAFDX9yfH?R;5|7?R#BhK# z?bxOddPZ6C`q;;t#dlyUhUQZJnG)*8(6Eg`u+G{=?N8=2RW_|~ zVb-UDa&S*@jo(79Xi?|ltn{n+n!Qz13eneDW&0$4>G310mV0LPc--6tk8LHOPHBJb zYX52!L1mQ?!8?s0CnZM*lhd)|z=k4^669+q%9o>BAevZhZ!HwdYtRs<(EL)>Z&zs9 zoxstf_<;&bVstvdE|0j!x<0=$GBTbkFh@#9J3P|zTU9+un7{cYMDT%rvcmlt3$4ot z<@BdBrosr($Cv^6TwD(pqyuf8Sq(ED3+Xmb?-SMaMRy=do>nEyS<|(=LwLMiO%<5} zp-P^nnrtJ|qa_wjLpq~al#b(@D=P2JAl3>?HyM+D$74C25`^1|yU;gkJCkU5(Qcs( zr{pICdOv{ueEKBn1(xe13WK;Faa2#JL@@Hd#kgltxlpFIzKcbdlWfW~y5n^_?2^No z#oFDxj6%;oTZyG4(56~irs7rR@D8GQ7f3g$GMTWsRMVMV@zAovYlE=JSwPE3)fA2k zpb%c=PRkA4wXbxookgvWiBhK9xvWG-I5@~V6)~dQhYu*FBsgyhvhxZ2Uo7#)hi}Do ztnGR8q_44L;wB$!aP%Y|vwOspY&v!jz+qmyR`7=$DV7 z2pr<4uA?kSjbg$hM7ok-9^W_eScB-x8}KX{dKaBlVN{}$J;S3Ucy;7_#1ml`ZL59D z5e@6(iX~|yY8o}-r(Id<*l;?enF`28v%CW2y0rxF>z^EwseCUCT+YWa0G>{Z+;!GV z+M%UoQMsVV8^U7P@|_#tnU2j1R2K@{mq#IUp_6MLWKFFHGLjMmNr;$upyDf2t*l0p z?(%$B%v!j>CBd1*Hg{?#i^_JXVq`m>lC4vr9vvXZJF7GgPMR*13q(&wz}Qm~aV?5H zpy3_)i|P}0zBsSNT?{u4veQ-{NB%CVmVkk)aJHS>W1h+{NPC0d9LQ8C1owo9JRHHVRMTU8 zaofDKhUvHIE)VbhM>4crJK2Wfsw?s}SknC-Tj`kW)_zu~4V;mEc9)+_tHE2R!D@LT zYlr7j4R&?#^MfGnW%1BfR&rhIa$`)aFQ$Z9JnRxk?gbYjnl?-Z5Gb3!j~gMD0|9LunhX>)<%1f=xpP9Ro%wu)}Uq(Ch8r*V$2v6oNm7`Q*j4W78Rsio> zR~_cU8POj6aD`S}Jv2^WtzUCh`|MVh1MhiqOv{Fo`O?>*c;5 zWU+G|HQ^d)0_F|ighy>`noq6YgtSI8kHV5uSLloy@x%`RMIZoWBBKo(W4aE*mZ28X zp4c3Yaugv&^^kXon^7#yDUA*2C=riG)46t^zuKM3LXXWo%$2!F=N4t((&@P|y6&Qx zk*Ja^;a?H>QQ_`ZRp}>UCj6kU-up~Xc9qI&4xXu{EWTiFqPuLm(;Ce#b{Y^|?#DX= zgQ(NG$R1MbTth8HoW~>P;$}Tz>8OAT;|Wzs(8fnO@?+jh0Q-Y?W8Fw+MdX4dv~KD)Mvg9-!U4 zKdSENBIj7VD{LiQ#-of#vM@a6>5wmGED5LXjA_#pgRftUbmaP_#wsb4 zDbZ6#jT_W48^$HQisBW_I!gYEyWoth;~=)SZrbcVY5N+PJBp9Om!)pyHOsy>wnNZ8 zx)GfBg{vhLl*j60s+bk)oKiupaDC^h>I&ZBvB7UbcKdFO{e=DUn>*J?&#c{g;2J6R zy>m~lX*uJ;e~zWheJP2Y_KhPZvctnzm$Vma$F02PWc+s?;l!fzOZFC)b<)0vp2(q| zdxDd-X_U=iTo`S%5^QG%4NzYK_-6L^I8|r366KQV5;Ngjzc}6_Vnd1}mEBNN6-||P zDO%0Wqh~EXB1z^CaEpk?yKt?LTa;)DEzQDRn&y#>y~2 zgEG1{gQ=5DgK{LaDeNejsM|$ZL6ErN0!>RVZJlIa>}p_;xtVT0yfyC*#D6$02HZ0i zgF=s1>l{ceFn`0gL2ql7ZxJPj*xA7Nk9S)_T*C<&?G67nwV2w7ZEL96&Wsrb(x&ib zN_$+}1B}VHgo?FpDzw;U3hQo*qH98wUd4B;D$Q5S?cT`48H|mC67ed@-kiyqw&b*K zF6sL9_*(d>XXW?J(MMGLqfT;;OL^bM)O(~(@hF4yjEuA5;&_X}{VcyJUxNc%x3VF@ z>5fiVg7Qiw{GmL+22?APXyY8nrZ~-~(A5ernw1hlU9eJCoK(+KQw`7U zT@iub6x4BJhuo{Kwe$n>9fh zYr9yxJGgJ;>h6vl66HyD@;S05^j3$+7yZC+H8u=f~c$LuP<#Hz-B+^`2{XnP%1YF_^Tfj#2h5fY#D(f@VB( zw7n;z!r>*4HN>u!`!^Mt_h@%i{&z7>7xO6GX(aVRZFJNM@w5@uCJy%vzN1xk8av{x zg=nay*4>g=zBa!zLMVlk8E)50%)HfAF3nmnCn=&nYHKq}4|_>PWQ;IcM`7+(O_%kz zrmE=$AJ;}$-F8PR%IPV-DZ=vC-TbC010?vnvm`USa;`c*v=uIvfC~t{frWK%c8pe+ z&;=3&!pqfO5$*&+XBM+@L6#id*Q8sc{-r?8k4^0UC}M7`rtK&T<5QS#t_^%1CP4$> z-l}%)jOW6V=$hZ7z>;#rN|)3aWmw2@`8Vg@WZ&=MlxdtVvoy{@E8dB0=2s=;Y0P#q zQMmb=sU3gluaX64a848a(~J6sqkMhB+Ni9O5dm1vSE^Fig9H+JD^p(J*ry-yD3cVz zk0*Cs;mch~i24Frz>qZmi0qg6&wE(_rYTkP9M)gpfYx&P9IjF)PqQ_!RFr+1*Z%>| z8hoqX`K#qp+rCpa7bmfTRHB)or^LjsJf_XZouoXKM53VcqenY#A=(H=%XJw0^wO=Y z!K}xpTBvTbavCp)xom6j-ix5*4f4qO_27Xm&}TbFD^^Ug`Yr2<2O2G&XOm;})~S`| zC6(qDn=0V!>e$YNPLAgoRfs9Z$9jn2x@7H)%A&m?66y5u*(sSX+0EH%iaYn1ixn^D zg2}+D9ZqYg9zg_RyMpM=!!tI{zvQCVLc$5f_Axm*p-BrpObVDtfr2E!gsJ6(x-8$) zzn8sd*2ly6Pwbmo7hP-Of__eqB%uZv?+|gD`A2}AQ?)2`?0pz`vQ!g`Wd(OEP*t`v!bl(_Q%VL;agifT7`fM2+8 zon5*^uR%0~>2@UIAf749cdmDLH`)*pd}FoOsDy9k8Jn!Q2kr=5Bj%~pgV*JbX|#u;xfZldH59DC7C})i&x>mNDz8y| zpNY}x&bRX=?j;-ATn@Fh19GBrITWRd3%MH+GbJ=eneiSHR}ckkIEatJ+yJ;GBb(P7 zM$O6F#HS{JB@_#6)?8*K%o}-F2Y}=f+yL|19&%fU8CgTrxxLTbR|MBZh>uj8XcL`O zsHe0BZFY?op-|ZVK&9brB(=bK{h0X}IY8__Up!oPUWf8Nm@- zwxCm$l@${L`nn<7?o)68GpxJ7HD7VPis^GSR$DAWM34(F6W^mgT^a?k#}zK0uWVlo ziz2K8r*KHe&o%ViF7wSv9HH3*6I>XYSNkDCW4*o}x_M7IhgTCu-G)aveYlk{qRZo9 z*lt9T65Q!=1Pd>sX!+4`7tJrY)|O^H4aljy5F-$B>vF8E@6)&nGmed;x2B7ePtOtH zI3xp3B-W!!L`x@MuvGZ!RFYHkSS5>PUNA(G0YhRVg)&-@dYzdom?*H=E+*#o-QX|nIc5u|ooR%uhO@BrtA{Zvh`I|oU5QuMl}wCcOt zU&r|ZPxSam`vCi!dQNnbwF3)pQ2zkhUAIr|0>0O~%DkfW8-*PNrh*Np6;y+;r0MT* z3p#t|7=qclz-4;AGHDbk*;w=z{y(;757;F6f)%}(H3f%Z@ZC~QoJBM>2h#SW3T<*t z@VQkz>*ZcWuDGGkL9YqD#>UhqS0^#B+{V%6fK3n(Y<`zptJl2i|2rzqjd^9xc0Dwp z#mOzA->*b5XhqLTm<;>n%K`HcBN=LIRQw^vEK$Ya@^&0}FElzTY#L=_J8Iw`n1p1w z0xxo~J~L1Bx#8Og(ygE^*?EiWt%JL6Wv<4xTmGG6u>AB7`jlJB^=im-XtUvoHrzQs z95TX)$CpEdaze7BTJkgdFnJhF%MIM-JQX@>J}O<_7Dd6HZ=t&h)YijL4W!Btw z_jXkJk#u8Kb(jmx*3Ff8Dn3vl@)L5_5tz~J>?=oJ<>OJQSheZX-GUTV*`T-a*6s{P zSN0}&pScD$<7LOz3F-rxh;P`HdRog_6B^YfHA>s_%x}E+qmzo?ji~DZ-+8vPIll|i z48N(&|72m*=%qlq>=B=4AKV|<0lu8azlHIA>dNeY@6!++@YDAh#E-m81miv!@khNQ zZ|+>ZGSmEBbVG$4l>(1@O;QuKwur#`)H<5lHQb__yMzZ$zp3C7B}j=-hDdaCT$p?5 z!><%>gWVH`S_NXAt*T{L+2j1{Ci{DKR)Cl53Wyinj$#DeXznW42Avj;VK^t}Oluke z=~9Zg4$p%rC;VyB@NRm4em}W?bGy*Cw7T)64m-h!&!J_y1H;VK)>QJiD=@x~ZZ>=8V( zRY|t4s`lzxIPpmbkw`#KDD_kl0S63PsNIx8UWU_2@I5#4EsI*A!R4N60H6dgim`@; z>M#vk9tNFGxOsKSQhQ$y)(J?V0u6{X!$KbA5swp@HBw)ij5X(9oZZ{>1BT52l?4=U z@_@*IIN?+Pg#p2aQgZhxr~ji{Fo;T(Y3^7C);BTO8eO5u|5~4*6v$lEID&JO+&(v# z{Jsbmn`Y}6+0U^>bL$EdN9w+zX1UYJ&_lGfGV8yLc}9?tDz9bl)wPoBE}$f`TCwKs zQn@&IjaKV0wR*$8&8>AV(o40ieeB{3)&D_V0(N9_8P?Y`hpuNzk;6DP3*fu}`FHY& z6U)Yor(F3*A_}Y2p9^cW*b1&^xW%1s%A%0!C&s`}XGWgI?8E=hA{>Eb##1buA?Xbw8vOfPY z?rMeVsrM0j@{tbVr~g5!R|lX9-HXGIJpi^-%p;lj(R2~{U~XD!+H z#7ygJ&J#GO%2_nBkkR7h;pa~&#_M9;xCnW9vYnVC56s29w+-rC{#Ho!0Ok!o-uOMg zp4*paZ_6t%Nhhnvk=&ksKT)RfZNgwPcJ;7!74BblTc-osa|&^vNlTph=#J!_=L0G< z8}eBDyB^=jX)5T2!b(|U#t+7|;=5#|+CFMCG&^(2TFnHE(UZUx#|3qihNj1nMwrJm%P<=B-D&mQu4q=s zZzGv5RcH#(8xG6$=kIHBC@ogY-$xN{WaHuq&I%kWvLsHPr3QO3Z>8$d`790)8_b5) zP58G`vVfRm@8M&D=S47+t#V|M2|H^Q4^>A;6m4~EbJ_Gw3BWam!MJFlO+b8RkzUyg z#^2PuG!-pQ63DeyeuIl1j!KsTsu#<*GomuSUzktH5Pg*feF( z($38|Q83LXK<^o16?v~J3PmGceGV92%C+&iNen^enSL~0PF63{`qh1Y29Mc_4~5N) z7DQFlOb08hyp@rk&n=$djqmmb(C(n2*gNB(opS5v<=R*|El8;0LI@(^jGSfk(+e4P zIm34ac^DFh2fS2({0-xK3j3i;W@^wLSdK-jsK%qmiI=U4Nv95Q9)JKjRoWVMg~B6T z4tB2B>*;$B$w)mmSd?}tHls(VNJc%l z%C6*xuSkqBKyI<-G2}dO+Mnh2;x;&0rrJ*%K2zPK3D=85JKWl7Rw z&8wk-71tgev@#bd+b*`R%MLCRR@_Ek?9}Z|M#s-dHysF48AM*W;JLGF=EG4VLwx=z zPU-Y6O3ThzmoyD`#pXe9YOoTKZ*VRnTlJKo zq7BH1P>QdVcMUWNOq<;%L4!@d)ZVzxR&=;0DmN=L@BDe~oRR!}L zrtQY7ud$?NkWv_Yc{!tyRw7d1VYKLG8OkBv6YpEO+zM<{JIMUm$zLvQM{l!FM8MmL zhaJ2|9YKl9WT8B(<7*_>Q1*)gpt1o^N?LKGT`CPddo&;Gi&l#cx@_aQqYy;@BH?yL z2M_UCoSC|}PS`ieHjJ#p%#RraEOnYrfK}UY&tuQtcNNpZd^oYQo}D$&cin1>*oPiW z8xx#nbicdMrB6TRDfqfDE-0Yv)A+_V?wYkv8MbJcXGMlR#goBDdYIxIJzX%)IXqzm z;#D9gl_=HHqppO!9#~yq)6)9grY9w)!LT4cDFUv6!c7@DI~Ix4uDmDSzSR>n3T+xv zoezXAI5wxZ^%~|gT%^zY7e;$7mlqZ-nI@+uUvN?F7TW}z zkd$vmVG*O@5Sr8Aer?6f_Eo0WEBCRl=1sJYd@iB7e5>si_PU%liVJ}#^<%YVo|8d` zRiaw3?stEwN-2pmByTCm<^LWsD*3pLx8IYU@vkJH4!C*sn0Qs1H*wT7u@2jeN@5R0 z%iXDdVrT~P!-Aw#Ln#X79*9TALucVp2;I)n;={?as>)uL-Ugp5d3^#hTj#7r0a1Z; z&&by>U8X_YS{R^?1n@)TPy3H>TP#_c@zdC)-t~9gU1=ljzQCHp8q7F-;^3Q0e`jv) zK1MX*0(()zq&-o>vrX(iBh?(c7vn1+H>0*~6jLvC&C;K^ryE{$&Ajhwr0pw!v*nVL z?*YbCSIYL#*|A45{d$5=L6ptWkf?B?v+IHhYT{J&b`*x0X5qb?;0Kz-OmL<96yb!% zjjBX0VuQ?-mZm=vn<821K=pLn-m2fy4-}I1r!4DK;|HO{m4s@!byr(VU;dT*boNnV zyTu(6?ADGapl=LDrf$vGp0iQuDklC*C9gG4INrZ>YDDYajaUPfz}z5KwA6 z=O*)|I@G;d%Y@hiuD+wsAh)a9T~zFIVoz*Pv;i(39(yAC2lXl4=4M$pBBIzemkVYB zRdoI3F!R>0!nR@RW=KB3&pfO{PAhckD_i@I&hkNfGRAu)*(q(v+Q5Jryi&Svjtn;t z)(sS91&Qxko$a*E{WG}njpVXExWd>5S*bi>{Vuz zq|O8e^b5Ky*v4~AEU$_T9ZVd#&ctP&CaUg5F#(zrTO76RI+MMz&}LYr)1q}g#&KwD zMT4R>FeEUM*+O~aPNQkmK4bE7v=)^BLO{L0qN3Vbwrv@Dk=6SO35K>PWo_CnTUyNA z(m-6EB%&*(Qt-1Xq5PjKomq$4?4FF(tS(gExX+A0&_9aG(TfG~H7Du(dK_U6O5Xrc zF2KWqa&j3{m3&3+c4vOz=pGb+o|awbYbFv|YYW>GvqD>|6EJoL-3`%^-7i5LqmtQ0JC!GFP2?cyBC zB3PB!MbY|8EW;`=+@o(+(wKR5u9#Tv8qo=o+o? z+01?33t_G9V%K-`9Wy2+*Rf5t)W>wp4nNeyV4-6f-!jK&#}Nz0E}$#q**zhrS|f9+ z@h;Xc;T|1MS*l2s|CFXZHo-{}{hxk9;3zXn*^XY595$~d~6Ksnkj-r+;G`&fTMC#-^cR(5U6+XpnfNPF7P~wP{AnXS*9R%+hlH5#g|Niti(@oQ=UYM=a;K8kAv97*9^Zmrua3GLQAhsrvm ztF!%mYSg1n{Zav&uEuMzSwhFEcWdIAV;#b6Fw=`10NtX!u#$o~vgJC7AJ)dVS!T}m zCGyg1h#j05aO%Juvf_STYhoKjF-rxLVqbu^X>+x{x3gX=KeRkNoik;=wt`PZJ1kmW zF-=897bzPT**Lpdl~itWh2k>f=OxUKHIgKbcML!}AtQLg+?wfwf$6LKpJODdU&Y*{ z>t0+&GbkYv(xu+CvSH0y1F^x)VlhvdRlqt*7P9T7_<u#JicKDmE}7Q2CWY5 znH=f|uEKVzf>`F$s;@>k`J-le@d60zAbgz75hn^#Gz>o7vc@)mo&i3g)}%Eo+)*{$ z26SGWOIJ{=$jmrOMXb5H;FDG0IFv?jdt3Gg)@c>s#{nta>@_hOC5{Wz7I6%#pRgY5 zxZnAg0GM^Oq7CTT1Qa+cEj`RF9p{`p{Ztw*a8D!lG&g{oEuWyQ?1i@YqB_ zTl+V_$axx}xzi7EnYZ5l2|39n+ODQ^ZqtC%O&BNTA<);e!pZ>&;!I$Op4p3LFq%mp z>jLMjP%7?Y%95gpmo35q8^>hY|(M@=k2wC9C&T$|yj4t;GKA z3|@+%lvEW#{6G(tHFkK_J*#KJk5|X`)2^#I90M2nRAPSbh-IPbMW+;1m9S_}b?2~8 zQ^bpTzsa9!m4B_w=1uml!qM^udKazS?lY$1;ZSj(tS&Epf>@)56roC=WW><4Au4$f zgQV@Mc$1gb8YrgA-4rabie-g}cN7Ibx&~(xZ7ETlxTm^ch;hldd!hH}69Q3(`nV&< z@UO`N>-$4;!p0-$rjO&`(XfTJty*6ZA|Od7W_YZHHIA>E6l#eq*c~#iW$gQ9U)2fh z*s!xD&-&RKb=K|Aorm*$kBY4s7=v}-wUYs=H$rKxZ!Y+!oSI%&rxrf63>`@9bT1|H zCDkVE;5N$wZ*R_{sJY$L{PG(=eV7G>Q!yN|tp+R>Hx6qe$z@^MTH}@t_gOwOi_$*^ zCyDDbmWP#Dm%im0rBqSSmkUG_HFHSPRQhv5Wsi`Yxfk;s8WwUpTF0uh`^ac?VnXHx z6n_%55|$k5BLp9{wb)3clhUNs!W*Ln)LldzMsX}Tl7OW&Iv)83&QSQjcKO;?bfD*4 z6G4+O^~oZUre~-p6*4`kL_Ecq5gSr9WFXzbgqn4R>x0kU0HefblO}nVCl;=GM``2R zX!$nhYho>3nvW9PBPqrsgpGq)O;(>(!Ow`A=m6Sw;toCMeOIMCYW6lD9Y`eO%fXuW$3n*oSh+Vx9DQ#b);xh2Wnwqsk%|*{sU~i~DR;Mj&mc8GTdD4^;5H>PV z=_ljvv6?ih3IRoYeCjp>Vvh`@u0N!^gsW2;r}%>Rz?5- z000h9#_sGlH;^klY~sK07rww#c<$I;eI_q_;kkT$+Y5H#C&9yPv@`vK3(nX7X9*3~ zD1EK3x+deW;k@mdJEDepY`bQS8skXqbK=G!ORK(BXx){a3#Vhtz%BAm%;q+s~4Dir$@=M>& z)-|?-nD4OnRcEVYTVu+kw3Ie+`owjst^y5+h+znLd-)KGr&W8z3rFg+37wx(kB&tMP|&n|Ne_8+OHzoXxm? z5fZ7TH_nJ=*i^BRKv?UzSBp3k1__o(ewKNiL(eJMeresJ;atnp`a#c79YV|ab}OK! ze9|Lo-8NmI&-yH!VSAhR7DWo(EnnP%9~PQv34zfC9o2?Xo~yD3Yw6fbw-Uzd8_;hk z`Z=}!r3snm=B)V~wTicAs851BFQ0=lN{c)hMA5wGV+~b18I$)Nw0bzlTlpCE9iu3% zs?Sg#n=Ejha=vy<;ZGU9^KnOP9p$ME+ph%rvTw{xo$ryL-}2dUssT^_-x9mQ6bw^k zet4J`;5fNkzq{f~UjExhKZGB|P9CuB60)Sfe}RSillts^P(#Yd(a%_>TMW2PtX+e$ z{GXP6!=JqVf>PTP`Vpg=4^Fs`Fp>a4kG7iBApJ$bHk+URmwH?AiQr4OqzsYq3|&$xfD1o$|UV>p8z%Yz{cb_CIGvzM@fFv|<6pH7{jO|ouO355%9 zxo|QW9epsF(x3IbTs&LhHZ{`nGWk#b=G_nmd1x>iy6HA zf*yy@NI!*Yy_4ic=ouZb*adbx_d*tN0br%6K9xA}Qxz%j&S)^q>MPXI0`~GMbd8n$)AS8Hdf1|`un5<;QbkoJzoHWDy5g5Y@R)LE zXcdy53U}bE@RK01#PT88Yns>PH%+i!G#p#5-$$@ru;J;Ow;K_xesrhCdmE5WyYmf+ z>|1bLLcrHO*H<%FMQgSyQ}Fe5ot=V{v0vD?z5vQ@Evl}eeSbPDSkI&-O`+Cp!gp=K zX~}Mc5JMmvCXsx#rFh(3p*%D8B5S9j<40i~L36Z+ZK5eVU#H3Gq|l@*_0T<5YUA8q zi*zg3{zhcWay=d6?={H6{nT3)MdUsOtr982xTv{-6)RM?j-jsZNP~_qFQ45(&;FX1 zJGZLeBr6MkKa=p>n8zoG^RMa0{KB#TmTiP5;C^dg!0%C>UBT~(*=l%+f!MBv=5S2u zfsf||m9vv$WOa?hdcZ~e#Wu|qy74!vo@)_9BXiY@5*J1ow(`|#2Erh4Z;!>na?Bx( zjCC^VkM5z(J|p{2ICK9W%XU{DWdaJS=cxgi4mDX<7f#(%rBKvoTj!LwuTVB$$T zpVv84AgM?!Ma}w6Xx4DKOp0$!^;JG_CD@yW8_4<0=p)O-e~v0{$C&ewr61#b(?(oX zt(oM4{kG_X+Kc+FipWCrPVGc{0k;{XDqHffsWNMISS~iCjY_Xa;gg2W1(<)!UPtwX zmD^QHCuCkC2iOD48vj+tk#d8_Tzdh}GXE7sC}p;k+3eMw)%}`v^TO*4O%Gfe+Rg%| zXQu@L=mM{=lxhKyoaypfuT^mX_)wEES-Peq#qrt{yhD>;!iJ9Su;M7_K?u zssEr$QdQ?MQ-@>Sxl_EVb;ajC7iQfT(;9?N$=iHs95E?$1a;l&URccUh>z(@&P0M5mFge2 z3NLq?+KIE0iMgK1kRP!2QW&K<_j5?8XeOa0^NSI;AhsB}mOA5#W95qd6{pTq=BnC4 zu>v)7rVo{SRJf*xszaC4(pZZ~W3y1Bbh}s8#NjGQ!SX##3wUbzK7NEZZcm}G|Afw4 z+}5@ooZTMxkkZ!)1blQDVU``T~hHq6vQ1 z?%LBCgG2IO89aL6!{@4IWu)E9#$+y+ghtNWsnp|eg#J#a0cVXn;xj?_I(UO00%gAA zap)dT==R&El17Sn$#l=Smzj8$omqXI@LH*-T4CSdz#McWR0)1PLr{A_YCkmf^^`TX ztMSkDZfDSB{UbwO*B3>!vOXYmt>1$Nsn~djM2f6}Wet76i8(IRjihtfu3at;7FG!v znH%lUw@1SP7PjdL003mhMW|JoK2FGdwiZ^WKD{ZJsXRkB*fVE}$8Z(%v+DV52>@ws z3I{bk^m7s@qVO@Ni8$!@;Dvl>Y5(3Ab;`4GUH?smxaW8Nv@ech=eJeJ>Keq5-@>ySM$I*dx_+-g)FM&+S ze`cgZMO0P)lS=V#NXio!H(rJy%c5WVJT>g~=IQ=XuPK)NaWzESwjbQ!8#bi7J*Ajr zc0DYbtiEo49jr@W8l#xmERvpsW2zzK+ORi*k&g~zxl=U**@{**qt*g298z6l3BH6z z;M9vS!j-BfxR5;pd{41^IQP1o_Vp}d;?S8-eHY{A{j(!Y~$x8u-SACy##J(X?#9 zg{A3bKrDh5shrgIt$+X2+Y7o7j~?Q`_&*jRpTG4b&wFSA3CA}+qrZZvR;KL?&+~CT zh>|XQ+cO~|7#F;#nlD~WH{-zFJ%9(QKuK^DL_#SX=>U>#l=K1!g;Q{DqZ#`u>z>Ue zY?UIkl1^BAS6Sw$5yj+rZ8k~jS#$5F;XMnmxB5>>waTufW#y5t$(zH~QcwjORiIJD2gzV20g`O8T2tB><(8Jr=P+!t!ftpQ`hTiQlX-xI zuvN5yT$M@J(>h9Z`R6#Di*8bZiyDqGgYEkSo~n(MRJ48ZkT;&MKgprGI^lG|!cXo? z1ObK_&-MXmg(hwXlHE#o-(xksj2?`Ej9AL0xJknXDqu&)0G^KZq&?l8tVkij1)I!e ztZ``6hElPN{}ae6aRk?QRoXZ;R?@@u0Z$}E)x@O)tQ7Ry_3OY-C09cgIt>gr961=X ztybX$M`GnhhxO%af_|+h|N9@!8!=7CmlJs7=QrbL^LLD6Qhi*qPxXI$en#G0j+TUN zQKs+XN?G^5Km%25zPrfBntee&n?NUBjcB*u;R(ed{cVN7l2A{9cK=`GJN|ll;kWCa z%QtBK>+9ITjDP>1+@1u}Cs)S9-|vzIL-Vuy>(^Dj?H&9+Z*@TT=A0e;78yJwfWD-} z-wj6QvtYOI*y!Ku`?Y1fX6Rjr{g$LVp2!2iKU^}OdN3_+J%EGBnFPdB-P{%aF8*&6 zh-f$fC`ZMxnCl#GRJhItgqQWAHUf30)a$459=wTKxahfjs5^Cx-P#pd8|_9x(^th;u40K8I_5_O@P2)!IGIlp7^?g@#XI>24u9U;Y3p2S^gAYxr&aeY6&9IEno0zB7~f^T2jGhv!LRn8j}8(K8*7nKC=G zTlY0%wwZz0H;+CuY-D1deBTpv!Q1(@F?8D3D=xL*qLO=EJGtvw#zq*8eui7m3;7e< z;yNRF`1_w5CP07&Z%zmCp5UCbcA~d-v9*&IL=S48W6rjV#+QtHheY@?; z7Sr?swU$Vs{(}Dt{OZgzT&C?+@ByN7gtC^ydM2K|w)uTKa}$N8HBzROK;!q_Hn-LW zBN&mMFI~cO#Hv6wz!A5E0OW0;3VyJLNC;kRh&cy% z>vE-YL|hMO!zja8Dq}8#FN3FOaib{K=ynNkB?!L@!=u-+4NHJavlyS1CJiJxN`zqE zKk^85Z$$yGwk7fg53u#NKS-TfF7oMuhaHEIWRU~Cw&yy5T;;N&A%s_A-ow8jcT)wD z_9^^8>pLmNZf6t}J<`ms?beW^UH0pp9;8tO7X*Q3La0&|%} zQH0wM<4@q#P1xJHSC3(qUuJjPdqh(`j}}N?Kqrw5RO!mZwxJmll14VOXDKIq8juUZOv& zsss}x%`bf=Y{#!!A~5-_HWWwor1LXW%vrR{$IaP74`GKto@?q!L&_m z6u9gRQKle&+A$1!JMF0tAC>R6ih5@Zmdgt=^}lV{!>ah`FDi0)oIxva+`)plUr(!W zyMCH=f>bg&iz<%6MltN41ocGfV$bS zMgYFgv$Rg&A6`*39guX}rYLKjIS~B5|LsegZ22q!&4D#FWssRlv{5qleBTP}$Uec% z#4?2lROTDL;&}*NSgi5xeQLHx%cgkhD@`=!8m(3YJmF;uo&IfHgsB!ZH^acbtr zRX{fQvG7C~y1!6c$p6{vkQg9PAK)(%=knXc`Jrh+$^LB=ZqA!2B0agI>gp%U!0c#cvFzPyGiRHN%&0KG8%(v3rl@ zcm{mQx1$L9Wi2JeMTjE$evVDJ|Jxj7EH$&=QC_|c#!1snf<__QpU0MUq5-6XEaal* z8dn$XEn!7My>`&UX5({6a=CWcGi=EO}7iNVq!>e}Cyg?C(oX4v+khT@-`uNS8F$rC)# z=%6ese_Jcc?DWz*pyN~5AMR3>RJgd7fPh(*BAS|tpWJ$Eeqng2=$OX0#9hjrC;4G! zJ&aLH=nd^xS0L9yq^v9XN-}8i6|Hx{ryP5SJZi-4yB@1hRtjv?D};OCi!?BRGJ4m~ zM*P3(quX z4|GZ^^j)dM?N^BvG9x`xx_dGu^{<$DZO^z4A_7+XY)d+H4RvH`)%{MMjbF9K!wq&X z3MtQ7_(HFN6&+S$r(sUkI_(5E!}HGxK^la>@R?aCmXD7sm9QNCQST6;5pF;bd+UP! z+>qcJZyX}=h4$WC77P_LX^-dDW{1bDUosA5!VVe8Cf~ICU* zW8SJLo_mN?$BlXUhzgYTmC$LAM?5Z)I&EA@?I(2h*LV~iwbITFr;2@_T*F+%n|=tL z0lLr&v!*~sPb%Z}q}f?L{yde#th5CDwiLoDp9lC~KQOPwvyNR|-z39qe+c77U*g!yKLwQ;urtLI7n8Fn9RM zfnLX~UB02`0&}uWqF+#%H0M?CZ8VRT$;NkNM}N7Si4?jQE~$Ow&+VBD9E8WNJ~$T$ zjBAZ*764c+Be6H2Nbb4WN`7At|8?VPaI#(EoauV(_2k+vbTms+m5*olwzCmrAX?7!nc-`$v?C|{bUR(}HTdHyg$%ki zer0Y$MZtC5MUEcO&E6&1yX7_GQ!T@s3UASg8J0{ctfbN1=dgd<@lC#IyV2XuzKHD9 zdJ#gvvoZ%`Za{5{0t~%sf-1Xzrk2;Hrno(1%B}e7r|--lww>~qouq{_Y1{~|JdpVB z)M7|R%{Py|z3gt5_DZ2f6H_gxiRYu>$X}l1Ux)al&=dj5pzR3nDHyv3K4KmqK8v4> zx*9Cd4NYA}A({*t&2A5$j7Z+w+J?w7{n*1ka*&Wi4N23>UVz~eMzk%aA#MBkn0XU$P;$sm@H>zqFj@L%O2g z|EZ;E%lOrfb04-1qugG4=95>a^{wfe2mTM^kAmCgfaEU(+J!k_w?ppjqKS_G>H>WW z-qCoD4?E2G^?wC(d}uBahQH|Pv)*0Vq=eaIP z%I7S```!0~?A>5Q6EhFM%8rfJa^-nh`L@O5pTw; z61mUQ#~TRGdId~-#{>G;Z(4iN%iZ0!;!rc+*Ap44A-EroVzQQ~5c#|+f`y|X;w9@( z6M{zBN0znoj(V*5*M^`{eHN!6jkT=uORYFc(@xJfdg zfVfEmw2LtEWJ{}@iq2HBEMg;u>t&otdq)T`ncJZ+yd%f{`(d{Wi(6H-mcPBTw0aOz zijV%1wM4&4ZzKgV6}NOl04gj5!Oa#@3)V?lT7Gs}8XqZ}hlZ4$7Ot3smT}&_>~!s+ zgKh^P@}?LZXTISd)MM4fi|*zEGNk1PR=nVQt;%=)d*pW5g>N{Kp#6PWu+1P66GrE_ z6$tILvG_o#pldL=DSxvwouYWgqZkNwjH56veLn{;?8|TkSy3Og+U&#j9pT$Qbp3_o zTld%Rkf^f6Q~rbhXmTJ~-hp8&ZPAopSnt~cBl8C?<{8EP7-3TUfM&R&xGhz=K_$U~ za`#_Q>v792^$yvjA`)yktSXCfdr>_KiP^TNuvSis!ztI^`ddjk47p;L$;@l>EYx<4 z`O&Aaovo^-lEh0Gq-Xw?-;!M-kFc9;DbjIH6yC2-v15FF*+}BL5>hB)$yxtOkRw@B z>x*{w)Ox6QGm}IJmWTh{vJ?f{QK?paLgq~ep|tj4$n&nxuxz`~=ijrJWYRksVt6Sk zqRxyklP(gKy3|tTO2Z$Kgc-Mer?rYXBdK|K_F4w`)pVOqy(stTM|V2!0C!#fymS}>6vkVj%>SG}?FT>c_WqCH?UCLu0WJxO7_`T! zzfhG#MO{e8vsx40LhTc)ApN@Fxa0Yg$ru8ybJ5>taDji|lwDyXOnBH$)GdwDjH~fg z;CChTkgt39!}w4Tyw#bR)ptK1tG=?WRWD8wX5(0b?@&(+9#7d~OjlyGULBv%9xN@x zXD!c&VwWpmI1L)s&tyHhhZ8F7T1_Ds>XsKhbu*UOpA90XG>G45DO>suWEnoGbwApsn zm8i=iw-K^=a}-8rsxmI(1;$(1sn@y*Y)^twFB&hYlN|`~^Q4 ztJOO7#EgZs0mL`v~r=_>Z%7#>nD4Nj|px&YpE-VIwAo@S73@MCwPs&^3I+Mnwd9~4t$rAGAQNxrQEz>HYOhW^>tQOQ4T(T_{ajp$=i~gFs%Ck=7mTN!-}&GG z2;T5cyVW=Z*H-!{;XEXJjP8VlL#q3QO?|a%)9UssD zmKDijJLb-vC$ia>J9~F>;g}Tjp=b-}AFy>^)Wp9<_Yt?6pD$a&{9~U_sW&XmtzyTD z{n1s2T?>0n{joU6x>mdcm}`3frp(f~ISlsc7rkxy0J`HN+2i~?g=MvAsp`y-hr=zY z@k-3qNezQC)PN0U7PoW8F^Y|{x`SvNP}qB?e2uQ+VbpR)OtH3It$g*i9rhB=1qU`n ztaY!h;?)*pfORh9Vw$o)$n%}754x-9zdX(b%@-ec0F+QYV6FeVynHXr@V%6}APT+( z{84eiEP+gi&$^x0C=5kH53z4SkqUZs#24d8WG za;?Op*xsV78WeRju7fD<>op(O z$LIazok#x5VtIsi=B0rj^a(2l@xM6#Z-4OCAirha$yyNzY-Q2PeD9>$g#Y9dgjKj3}BZ@PlK` zO_Kk?w)kS}hXTmVq9Uw`!Xf^PkgAi`iQ@muJzMYeAHE{7 z)^Bh}MSL=QB7Y?RZtVb@!Hm{if>9p6-zaot9mSvGj%fWepgXMIrJk2)71I%t3M@ol zhucm#aZE^kX=La^og-z;nr#BZ8qeO+cMWb{hKx3drC)Es#7l#@$}Pfk28#lKe& z498ELkXa`pHzUI)Di7snV^*AIm-Fe-_##fc8eIx++`d`&aVGBv>dC(E|Jvp1;8|{s zt#Mq19_n`B9XzSMS1&>86t5^(3F4Q>^7cAchh+52Gi>4Pp}puga_J*##327m57B7U zH>prav~l?&`7-}w0!rcirbBd8&&js_Iz7!G+rHQVSN@a)_%7WFuU63P`TN~LjP7c; z!Tph&0N>1feR4Jl+3&!c78J0Rf4Lq8kJzT!{%GU(_q(zh<>DT*>4)j@{|y!S=)YFP z!ifV*g~0UT0U!ANEG-hT9}*6QG-2>%*x(t2G(X?CjKM}Svik-L*cu0BTbB;b8bMsz znIv#;h5k40yT;e-6Y-a&S(q5=Ra425v@aN^%cPm2ygysYsdl*xJur&z`<(vjcn-r0 z4Y3GxI8#=HFfIQU9cb^P9dd1g`|w-k)Pl8v@cOz9=ICR%C&w<@sY|KXJ=0PND{$&q z=e=6~AB|b5q=>nk4kTQOcxMr_P*kkB74t1qb$)u zy|A!n5oxM8alMT2;qc>W27mY$;=Um}kWab1=k6JeHMI*hjfG%dh2w4U`bl!nJb+qD z0MBAGJmx-yO@b3|zp0^~!cdLzpZk;-VJDh;3oSZ8eI2|~>51j__Om%b1N@NtR6>ki zXZ^B=ai-VnSm>|tE;`2pRb3k-TQoJaTCMSy%Nez7dP^#JH8x&hDphS@aXX0}eq{EH zMlFmE=Jx&mif00VS*kp2<@^J?{$N`tR}mWinhX%Zf70Y)?yqDSmn(`!c)%-JYOA$2$ zuK&AAWDfDzZcLE{kg;&qiOJN-S?WSLX2J1(y|a?m4{CinV~*&UpseMc+pm?_;c_+J zlB8{V-@kUjj&0Ko2(pw1-8OJ0g|1w|42ha#7*Dz9u~^USCPf_ULl{yZK4b|APcc6KUMk z!u~pRZl7vY{Yw(Ogt_5RF*u97C$jRiPYU6CRiRhs* z6eFe%O=}7{%A@xow=EL-CfpMj14Ae+e=ZUl5zEcoPP2Bys-;^Qbbq%uAuh(Wt9|IV z@G5dph$+=_0R7!S{=rzUBy$+@Qs}tyWgj-m;vlt8@{l>kK?cy3fYNOgG<%5j8@06& zMnvbP^L~k^3isVl;$&Uh>|ElUY;2ghNc>!NH})I;10RbTa-33O@Qu2z)Iw~Jpxy1( zJcLEPjc(IfwW%N8pX>M%t@yw1l#{R>wcoO!0EH`bmZ^e*Pjy+)DHP@o3QZntKUb3! zpZo(ud+}p2IQJQ*N|&V+hU{JzVJXLhXbZ_axX^+teDA}aJ|=ls-qjV9Ku}03^~76J z1{q7g8rp|*;KiK?aM8rleh5hA@Y;nF*`PbKroRP2>oZ_7%~vy4*!PQj){th0#j8A1 z(CUC07oN-@ISk!^71rhrRLmus&ra0=&l9gLP>clO+z6o5?327uc3&YMVLw+4J$mSS z${j!%r`iPp&Z6iOSM>#o$*%Ix=lQsN?V@^e9Tvd z)zk(>BykFykJHK_nwh0txwM@8BRg(7@hnqbx02{|=JRnEw{BoSk@9zTPH&8P!FQL07c zT$<5<>sYHa+~^%k>_FQYN}>8$?^<=bqZbw%(g?(YERsjZLn_V+@0!xp?(Zmtdk4ZDCAjXAm0DJBp5R)>%a<#VL)x_6^XJl zRWprvwWLOMYi^l-)jElkZ;A^4Dp`njQ?yqwPjVd(6^I?w#u9#0=fLcjm%59HeGCa5 z?j7SL@}V`pX$ZpJR|z3^b+0aSoTehYi6Pw%aegCPvYfvOvxxktOaHu)myxFjW!~Q1 zXV?CsUg?N%U$R#0F-84f@7+*Fau+Rq#EQp>jVzWFn$!|;yeJvTp@+)nCE>Z(73xy@ zV^fMSXiz2z-`UdEv!u-Z5_&#fit)=suAo=3Gt{m#y(W=zwR?^SP59`ug@_9|AjL28 zVhL?`6YzcAB~-NQV*AM+Dx0LgGE%eDT9EiYu)E!b@xDu1%R$suzP<|fT3vl^^VwLj z1;~bqa6XV-rZ>%r@$gI>88Myuh>}0+^T!=`-!*zFW94oF?RBF-F<*`YwOZnu#CiQK z`ZQU${a|S3^x2`_wY-CAcV4aa@(C8){G_zhJZLzU1M)rS0k|kHa63J{Tl(EGIb*W! zXA+fbs=-Z%;XjlU*O^XjS}+!S6TsCkt-SFXcPH;GIeXjk#oBKyB>st+DtT9xAGy2* zBt}O|!$8c@73SjaxEXqO7}2|7aNI}6L8w=~3aQ-@A%2mh37&52&Aob}#iP12#8luO z7u{j-SO3q&giFhL*1#FIHQfWu#*ebJnDeN7yDR+_B{f zt|YT2nR9Mzv>No&M2#Q-ry#LToIQz|ipZ6x3meHeSY4PDh@_92RR~(h9Ajp-}4ugWDI(P9o*vQ8>bqY1MzK^j`cNWa|ASK4h#E$o8L%{ove zDxfTWN0pr;8ZOG|reJAr1dCYA1(i)7w*8}2&i`+O0*V`7-rc1z^N+0Rpdvo|=KqY} zhe=cOSxQDJ2Rb;EWV@wG|Ei z9+gwM06FUJ@9p8@Eo}rhQ>#6z0!+b&X4I@JT;b=im3jtC`bE5%jsw9IHkR8p$`H4H z9xr)a@M~-={pUmv0)4kPh|_LNJYT#mpV!fyt$P*3_&pw6FTT*+9{Lch(2TCeTCsRR zc$UVa5Jm4H@wtxL1GdlA{cS8nIM9qLbzg?+$X@-b*8YuUY>^!mn8;X%1xGxo782?JqJWy&e@tisT;-Xt5cvhspKYO3G>=H>$K1__tRWo_Fd?h&TjQNr4M z=F!M=;^}8O*aq>PHKPaP)^v!X37aG!3yyvB<<4yW-r+!CX`fTmzH)xwv!;wWHdk+Y zb*n`QLpzvmzH)s z1j>9`#o|Woa^K9~*zQ(b6b*J$axtbL6nS8C6ZcW!N#}s#zCL*ymcQudKpwYXHCqi52>5zrtB|PDFo%u(TRg9keqT| z^5Ip!T40*+|MSt^t0(Bg_I^THx^ zdeRi4@92jRjC%slQBVZ+aNCTM$L^xO2|>X}2cOud`ZLy-Y1;<*=$QDK8q?d=#fO7=tnr_PVo$Gv;Q)+YGmu@bbbEo>llTD8Kt zj-j_^w^-#h+a$rVH2XIf{95&hS$X#eSDpg1J9OPJRNihECRR|GJw!T+?qwbyP{VA$ zg5W+N#otTl8~nt#BOK$Y*ME|N%GRP7px=Wo8gHkvKA1au7;wj)+bF#>oZ*q^qwvJT zy8^wOp+TN{{-g^3&Icfnwb>+hGa%qF3|nE?_p;TXO1YvV4<$#g_*!%ee3w@m!;p>G zFsP;y=GrwBnr}2^DNFJH?>v4>SKi|IMYYHKcZax}h)X>6NOgpGUNE-UAs+^RCT7!A zD30FuPdUjd8c1f3V5heP& z@_w|WaOrH_I{RV$Yx|9p3lk1aSQwm>NJ0g-#bUO_WaH?RY#SvUL?jDHE-;`EHmEG@J=z;d{9y4YCN`cSMmT&K(fCj z9r#K72F+4v`aE99sz|r5Rckefx2StG{OUQw^U8OpMpKlEIYsf7a0=O<$gTTgz(R%RW?Wo6AAH$>5N^XPm_xmO}-Dv%8y4L}cAGg(t zt%a9sYWl0s@WW#Kgbrbkk8km z{g*YvCll%i_p)58ue9TMjf9or1&_}`8L(9LCvK*0OcsI|V6`5LoG33ZKRl21KgkU( z%xJ+W#7Q^_K5$L(Vh6(uZ3sxQGV8a_dn@SGA~A^n9fqMmgJu3+(B9#?7SX&IvBm_r zdWe#$w-m^ckO#a7dqiosiTi|rVS#*G0x=1fMyTxF+?Vei)YGh_agrpg!l2nsN-Js| z1WcLUqJBG7FgfLMuSl~ZU3&=`o9@ZF;kY$|?q^4;i;JOp1UWdUl;G@GYIT@L*jOYt z`A1%RPt`qSF?7!l{Ej5Jp1*J=6`XJc(_{cG0nwyp<-Lc9m(Be%m{= z=tD|XH@z=Ije4hEa7%u8V#768mL6`c8D2J;X;oVUt@Y-?0ULRXkq{nI^-GCU%X$v$ zl=41Qf4W^3Z!%yhu=!{DF&3B?QOPz0KHEorUS2n8s~*d{?{<`6Y$U~;*2grA3}(dQ zb-ATmXpQqBY3z7_bmwFPxQUc<_G=XtR6mE^>VGmMl|7+ce?NeU^4`YE+-@~UzB(9a~5~HIc)q+)O zkLGW*?f5WWUSW|i+xzdoLav!^nBiM82eZ^(%JsRNwDfus;o7_4%0>4Bi$=wY>mDH|`}8YG4u?Sr%`1L_ThShhDxq z_yS9wV$LRSi<#$_aEv?CdTxP2W+&+Nsk=R{+#N{WFu`r~(k-JaEY7o9IiZoX9S}98 zR7r)2KRL+!a+u<@c9(8V1aII<7i6_UgINaC7OHH(m+z%>S!sApCWEAczw`2TfG&1s zXMN|jUh)p7qWL4SXg5D=oHwS&Vx{-cQO@{H-!M~;^9>Gpcd-~|2v;Bbej{Ns=Z1j$ zD3|Td{A-irS8oZ5Kju%^7L{wpMfv4l8+GQy!9}HY%E0j}$^v*l{=i`Pqqt;+|DW80 zFQT>gYYgc(e=lHs%>74k*8g9Ru>8f=9GEptN zj*mVLY3PV+(oxC_rMHV;yuYqk&$P8~3OHt5n8ZuGFq?*O^-ZyN zzwvWp3;(77;zb{(_9qC|3UU}N zm!WEx;FwJ&aIaPFXnuM?Ni#~2$Ef2h{)%dR&y_Esw{(*;z3re1DG86KZxg##_zy7i zTHHW^U9J4+OMAnUq2gGbJ|$BJ-ab&Bhlx%4-RVAhc2snWN9xsY!udN@B2-jhWdS18 ziRW`y4W)W3RWK_ZWrT(VbdB9`X_4Et9rXRa^zgXt&1`8zt*(Jjy*hbb?~gHQaOT;F zc3VdWe)=!O_V>LVYlO}w{33D6L)3yEn4tn_8LzG4Xc*hY54m#v zTnD4|l>@sfXBvg1ZpZI7%Mu`ejn8!-kG(-!(0_qR^9g}xntMweqa^ZvfvY9t|F1lH zo}L#1`S-Cq;~uzTH+~$NTnsX}$aj=O6sgPHtg7ttqhGVJa(sKPC|#wx{-EO5ms?Bm z-|9FzYhjTx0MBdcL08#xNA-)V)4{n61eL^UcZL!tdNIc>e+J8KHs|N>s1l-RLfg0yQ zoZ0}jDzXdes;#^Bumz+l5u3uSBfy@g;z`_5ACTGQMUuAQlTtsZpekN7Bjolotom1p z7)nK@u<`ktI~QRcQ}Wc}Ws$gn!y}a(@6mdR>}{P5=eiMN1YD2my>{$&rVSplIK0{b zyx~&{J)E)Ek>Q8I*CVg8!po6t(wa7xL0(m>Jq-O*0_tCAjN_u3{SR4(|HXyH6Dufu zwRzE2pCvJbzHXg)4JN1W+U$U!@cELNQia9u)-s9A(6!(84_HAIU}Q1DmOgtzjH(yY zUt-a%5Yh_NWarY)CaLK-%Z+C7Mie&cKIw`lwzg*XGpCiJ`2=l&p$(@iRK@EA*JR4+ z7jnr8>bqPzuuvsNZznE(3=bFrX7s^V%T1a`US9f&bLhL3x%-h6V@_Rp$n&(?%vBzB{@MoXU> z8c8>e?&~!I`31kK8=M4*>Q%&4Ta~L9L4RHsP8m?A?GyDK6$MbysqU<_?<%x;?SN zRIVO)D;p3R95%PRI5eXbYjn>+`m0#JU(dhV!ld8X=CMo1+UIv+^~j5a`pv!IfBwZ- ztijo89|dyl3QY8%A#AMEn`T3VDQiFAU}Lo;LCOT7HnyANVvt>8NU<_#mZsLB67F^Bd%PaE{(yDSawsaHa3V3L zaJ5A#^Er5eK1S-Wn|_F`00}~Rt~^ys3oaB?u1OXbJ>Rpn5mhSo>uR<-U8gQ+NRsQS z;_V3cIq@wB1kOVYhB|BZc|#_oxxQ#)MC&`!-nICmw`EL+r?|4J&9Hp^ZML{nmFz zq>Q#&DeWzwKC0zl9LyCx4{W*NGgZ|=EQ0KpXV9l$Qo=S-!yZj; z+Wf4=jtj7iW{|2CH^L%EHH>0LI8%bqWEN89S9c+wU&y}8agB!vJ5B?|Eol9(Twjr@ zPPQj)`*1sMNT7M^==s3AELZ(6Upf?>VHdsp@ob}Tah`ErCG>!Imj|<~;S5`+sy7Sn z=Jt_}A=vFYcU?qLTT;n-ntxicHK<=g!^HQ97b-v-ZMxNPV=T8b$whFse5Gh!Um5{v zu`pbK|HAxVGYu_>yCUPo$HkQ-r)=U()%X$k!H0_3x7m!(*&-{#?aVxKGudX?N=YA- zu`qtZA-I;@=~|lR&!qubmFY564*@q~Do?WV!NJs0b5UOUQbd@nYS=p5JsQhah}a2N z)clT-CCUO94c7&NlP|iEwHe_SWLB-LvJIxVtbU1o&P1Knr|Q;rryLxkT5HWg&1dEG zh{x%&&?M;@$M(vo8&&CKd+mpunCR|r+)1(btX=E(Sgb!hiipFXod}ik>8>>&5O~I7VYT zRd`YM@hcb_bKsbJh>A$d&?N+EKfbD|QKi9uSuRG!M+qS(@oZn-Bqz}yPFiOKn{L-u zN6iH{9FtQIGS`^_^I&1vfMyz4fT))xxCgcn|YUc z2wjY}S9#4)vgoe0skpm5M?q29E#cPjS`w zc2rrpcYh#XJOk7@!&v`qS$x-A=Wr_aAS^-9Y(FN7LH73#ndZAKqA&0T0G_Kh*wZW) zV1da}rHSws32*!s=#a0=wxs)cJgZ3%FYjdv_h~8(?1XjZYJ4q(LveMJ!`Y|NCsGlx zQzX+645P=m@Q84EgO6ph$HdUeQohfOjwAm|mPFL@_HX<+FJ4M!-d!JaX$-%Sw74+M zLseBU9%i{nB0v3%sHZx(zr;zuhs7msKf2PD`-njuv1dfs;7%2LKJ;Lxpq^yww%Tz3 zzQyFf^)=1<+VZ0$ugF)C__ig**muTU+#qO4UcNo{d-zj9MG)Hpp(P>jA1hm5(KjzH z$uQ>m$uG2oEC>L@r|8pK#gzljXY=nS?xmj!o(X-rv%qeOj7cM93T9Z{wRaHTU`=kk z7n-4JJ5924v;DNW+fo(QMj21buXt)L-W#-MS~uppu3P>Fvaf}B%E}ig zw;LUz{Wy(km{r)&=J=1#GUn@zdgieeCp{%wKFJo0-k;4Cx)Wu~T#u=$yME4AHtpKb zaWg38%vvx0b@{NjrEqi5V_n%SuXKE!ZArbWe-%@Z@TX?+y*S2O7V2&)3Bc_2#Ixd* z$fUz2cDe)nB#hp?C{~rn2`w0^UG`#IIhZZj>PiCbr!6d87N3RYWJa1FGdu$lZPUUr zbaW>=#u<**DV`@2yd<(E`h3krHA?VXc(aRufEyg%$g+FDOiGc;S91qaqiqh?h*|`C zr^<5KwwRtH^4lD02DIAuU|NEKkYM? zgwt}KVfpmhQ(HTYb%!QTXniYR9)BRUGg)Rg=$tzCsSK*q=TbF=l4{1RB2_l& zQ8Q>V>_>Xa%=abCH`c2#S~9hlIhncEBzFdxH^$n@RIlBsBpcaxDe5S@Z-ATmSA_!b zkX(ESc0m6eSY^}?surMvFAp(gQ}U0<^Xqy8%DG}1=tRHUnG?p=`~-o*2zw?y6m30$ z@^w+f;E0gF52OjkJF$L#`gbBW9zQTnde5JoG6;h$hFX^#%wJf~XbWd%?5M!}E#tb> z_7+?9xboM0f{a#_B-ozuEo1N;58OL1J2-bx?8Ze z{M4f0?agXP@203OY`7L1;h0+4S%nU?z&^8b5~o-lnfGa1Lk9#6hB@{N9} z`LW`miwAmkn!97d)iU^z)2WfG5S>aIt_B(?ED_|0qPY zCInHnJA-DFwI?`kQAXL*;-;Rltj8cIZOj}0HjdyJ9L87fka%W zIxoZdEL2DHz_748`SbI*J`aeT)E>Wi`e;W%9IyhMd%K(ptQzR^*gJ&*GMPH0%*xH(?Z}IJW zTgbTR47=)vWF6fY3qzLCV+6@UEhy`h`tcs+NNbT+#CyyzZ!h(NV&3Kq+~ z6pBox!T&omBhW3s?XO9mi2BM_;0!!t#lR57Wn6_t51vvSD==^ky>v&!OpuW_GPy&L zG`OOD-KCC9+=cZNX-R?B&t+uG%C_&KOdzq_2Q{GE#H5c}pvol=^5|Jrp&a@P3dcwJlJieTkyTIfmr3F2&{ zsF8gF8V*|-t?GdClJOO$(FP*eoHBZ`Mu$JHp4ne);)%ca@t=IO7Ea*mF20}>OHw-S z1m)f`gapq8w;H;`6v#^UncC28rDs>QiZaaHc}~xl>VFw(S4{i=-m>kBhVwkr+TrSj zuRGD~id{RB1NM(&tJTNuYm-w3e#;u$)4`u$lx%W?X(&qok)ceJZZ z(91XTsW=fQjuzepbccI66y6sE<0xYK`2oHZ87@8p4ct3ShD>6Ug*>cQHt)iW50Gkb zzPx)vLzzuWBEBXty9)0cO<+S9C z{j+Wmp5(|b%I^zn)RZo|hBhKmffS)1v6Z1XSl+Z?XPA6Z< z?^4?6D;wbT=x;k(8cofmCR<|T?aSaRQyp&koVjxRt1PP?NX2Es4D;!J(R~g|?;5MRbmQ|T5Av<;Hq@0`3$>9Pr9EsCY@UzvNHBJ!O|KT65=m$_u= z`AI$`B4hi6BgodtO34bqh=zE=o-#?O;t#=mi81*wC>qCdH0d-{A#unhIiOk$R<9wxfazTn z4GW2#IDm%^6Y5$7UH5Xit{R$~Q76+Az5UIe;ji}LDFVJoye^MwiZlGQwF*se8`NYU zvb}bdN7c7MtxnRh6QQUy?roh1qYe@U?L+--yW+9(R{UplV(}u!z}5A-;bR=TnZUH% z1?%16?{0YdWm=0*u6&Ks5=18GRR%Uvp5liu)nu)ZP3nKh^{=X+tA6XCJMI086d=KX z?Hw2&JDZh>CG09~x;akpQ0~7IOCEQ#CEVilZE<;S(@vH>Y!$2<%%WMzC!y)&)@&#R zntt{}qH0Q&ZbZ1uwj@sCG9bT1l}?T2dCfp)4@4PLB`?DQ2(i`#D(Ws$l$vovw^n;G zTKHb;uHPrrcFSH>Sg+k>e$VuwS+P z^~1+2aJVQ7SFLnP_G|NMR6mI(2sWWei*3wW$-eq%YE4)XIEu}MhgakHl=WJzVTT0MYr`+xRMHVv*Ru!H_e+sC0hF(f_%urc0^NLbsJxz3Og~f>W zV&u{GY>mL9)Bm89(dmT@##~=sER(?#FhbSv#ax7jh1s1==}ar9-`6AsUoVPI>a0&m4Ig1W8gT zIuuTQ+lOayTvRM7z zLpV_9slf9WTpPTej+d>iFVmG=;Ol4Fq-#;CkNAKp&l5-Ph#r*|sO1=yBO(o8BPAMU zN!5Co^15uN{V|Vl>3Q9gj)zLq@|H5RnPH%=vsHM?U;A$+gpXwJ(rl|S@b0es%~z;h zYo-PT*C&2T$Xm(m=EIbv4U-jKbZ7crRws?Z#ZubMk={xJD&EunIoG-{r(FLSANWjl zFHrz7amRzvCjO;&Y(eEL@(8@E-J^rQz7i>Bah7HtSsrr)VRiXlCg=#8%k^GMZW(Z2 z2-`QRbXV>?_5)Xx>Xd?EA}nh5AGisl9Kr>{!B^h+Ymm3J(lftHSsruF_Y?=3U#3euVFG{?1(4f=n@C%E(#R?-ok#`l_nTCbP|= zZ!cv_N$pJ}`;r-US=9bVRl`nX>{?B05TG^kVEnRKnP-I!=-xfEjW1Zf1_oF&pP?b7 zKRe|p5O<%qPW*4)y>4J1;KF5Cyf5jofkX^ilS0y859~bt@}lm^tKiz+>%faUlFHp zib$TZs++;St1Rkym`g?bIX;~WvIk?2Mje>9pO0B}vKqQM(_V4M{q)3#j|Y+NBd007UoOs_RnwnG zpsl$_IHf5hNj^82?p$gxq_eQFkJ*%dlwnu7Pnpqloy_83ZdPI;QR*X+c~J>>KOttp z_Cp~Hx}26W#sc@vXbWn(WK8EB_XR%r+*^8(NJN|taGtxx2~*9n9#L<*fMK?$%b(p! zgg&ol?i1ul{s=VC*&PfElyz#&tSg$%LcI63qoT9!N}@pIL_6?l-_uTNcd`7V%Vo@r zVRK*&yDnr)unK(nL2<-bt+`|7rfkls4Mh^NvfaiNx3apklTY5o5idZHRqvLH#`zj7 zRF;#X!6E*c0?EEf6$8NZWi$kQpIXiqR=f^D&}JZ9z7~?qj=q+PZ3cF+S;&Y-qQUO< zJKQkS;TtX(ehBOOD#K9tviIW9aW)y(fpzSp45*_gTC{p#(O^~TylV#Td&>?&8M4)# z>rtG;)N{xzc=4U$=BoPQZi)#h<+$4rq*PYCnDEWbQzm^56t1>qnrVj4;UDAE^6q^s z7U9^aj1i7_3dt%>eCCB9*I@7&a<|{w@WOi`4{#7Ia{fiL(txb((L^WKEA1wRowG&r zD=gBM1M5xZ*wEq~J@4Ze>C^Y5%Mv-gWd5~;x)dGb^8}ZnN?Rhl&INfni%h??-3W1=AxJ z;5aQvU}HZBw(|swK3dSiDnO%VT<%KFchw81R}g|6V4)8|Zp( z|L9*rx@gO2P^2ZzU+G%7>Ee1znFahvbdZzdc6xp}%58OFOwW=R>YPn4KN)^1zrMk{69bibraTK}jN0Pbao(XCk_n znUyEFd3-`8Na_R9c6ShxeL5K}vx=H+#m7_xyLR7ekNafQ1-Ne$_Uq%K5qSLNK?bi_ z5i~FTU5y^PfyXV&0^&*4TjR4JLAH`eo?aP#ijUbl90w~_)AO3ud=+$-j|G6xbo!#7 z{(NpB-Kq;k=a?^kP6b5;Gxh`&_q{)yXqc8NImTTEVFT-7VU=BIM4%(|CpW%7GH%Fa zEHT_6F~%?_Lu*de>f|zIxHGXp6)6vh+Qk9KV0Jr3Qi?z zz{^ULFHTzhGaU^<3h>f6S4h{?d*^=*O8&(~FgNb6EcQp~p3Fx<|1XTSOuumj`Yddt zj|m*_RFVX*({BgUM$Tl@YfS08hMCdAHk-72)fDHJz|c<4(0an;UfQ`%GUR*+j7HC# z#t>gR5E)cUI6L|)H5gXDts@v0Wu9d8+p+A&mTrEi>wa+`$92WT6Bak7Bc{z8W)7rf zFO^olF#ApWmQq=s(fDFem0b^lfBW%&Byx?p z5c_(P+o@=zJlh08Y|UI2^j`yK*_JU4;F*O!+2@`s>wneyMlr0vCCV`po(m%AI2WEY zp_hcv9T2BX^vd7Fl}Fr*(F*YiSjC5ZCE|UoH_}L10kuoC+{=au3GeLi-EE3d!@|P% zPvBa8swTTYByQ>v5lbkv$<3geX;rt(bG*36zAs~z3%oH_G&9y$-TWbP+vy0M&m()I z*}L?KYl-&QWxO=$=`G(oQ|3CL(j!Sth^sC299P zJLw`Zb*sSi)+Q|p8Ep=hBinRo*~#s-MN?nQW{|SO3reQ4{Bf=9Ci!Vp24A%7mG9zA zD+UU8o|0md(+CHP$~o;*7`;49nyuRU9mA?2l~t6wUAF9yhbBY+Tv!@k*mq#sa#@aR61#uFE zl<&h^s8MF#97s~9VqVSHj5jRxYbK7O51hh$&h0hKX|ywNl=p8-D^5=N{0p1-sxEC zAuhqPaxk=+s?wdVZd+SgR&*TzS`ZeS1|VK$F|b~q)!}|6x1*CCwf9fthVXP9uU_VG zR|Rd-+f*mO3Y~an@KJ9XY@=~8Y(_X*CX@s9bn}TEhmV21dX62xQQn5#f3WQk&-1Y8 zh`H+y#DybcoHy|Nwl~D7f8g@pgNI2bstQJ~GyE=}W~B(H=8?-nVRV92B<~b&@jz3M zjj%ULk7IWUxW;BNYK($jUfTCMC(kYpj)88ioV(GJhtD8$J!Big4l*z58*HFxL1dhd z=>m@T_iOUv(zdE#tTpvJQ&g9&UzR0sbsD7~@#eTVhV+E@;RcLmAVkG=UKuCson7dr z9&E9_Sa}5&D!jY$;0EVa5#$z}`|8wi!l1c>-dYcltl+Asca{xPQ*8|KeDBR)oA!9P zjq1e$ThV^Q*=0H<%8sc>)AKvh_4=>J+*~LiPL~Uup61G?HkGdDLOEfkskTGrYwq_U zceFfu7T!g4yE*mRhv+z3uT{t-0N(Ch^_6;x-7M)~+T_VyHH-!H!nOUcf)FfzIQB`0 zyV&Ay-8QLP1+G#F85cpVK1kFjuZ1sxV99(PpM1K@U5{GQ=ib!j=0y^jR%S8dWTyCU za~N1CyRWENwvY)^lwpAST4zR9=QuN>q%nB5K3WG0lCw=jM$qHIoP;iAYw;_A`t`@Y z4?pz{{~#q>atv!Cvlm4AslBdOzPVUlLJt;o9{D;<@j#KuS2~wLZu3Xu&FG>J6-3NZ}M`b>Q@!-wysUMVrifHEkV(nDSr|Q zYabTYNaWu7E1Ka!&_&|6ST!}17H=-dM{i=AgKp$byfZRqQOfn!TX)p6UwmCdC$S~+ z&$I)?`p6@6_C!+CD(pbT=&RZK*}PnAWKX79C&cq8$z^U~4j!y7`0{lVi4Y!HZkYr2 z`_zdchX+pR%;6U>IOLw-IDDt}A3+iKyO7psJj;6k?Dd321lj}a>fwS6PqBxG3N5qd zLgNbX1fn>gs0~8Kp&w)v2s48BSKR-Ay)Cu=ZG(RtwEHh81=zolkzb!;aj`cl0fS3T z*~^&%L4law3)$k4t_zrwSM8%>LpShMKa=koTH=M|r+SF@ND;3j z9hl|iUsCfJ*`FIvPgxgBeam42tY6zzkz#qdw3mZ$Py9R)sVjqbEkx8GRm)qW=qJt_+3W8@IfP$$1SNX2*&W-?#Ynm#@IVN{7#gmVoiZg-8S(U;gS-XVrY?kA}7 z(&?r<7;8J?`=lX2t+I{ghgjiOhP*&|EXr8@D`JLa)s*p1d|s)6X>`>SQF6i|gY>w1 z{E#V7*ZjV=xM<42X4maEM2fjDif2r+pW)vxyZOpYs#B7 zA63?LiX$9+p6_9ke6oV)3ZTN?U*CGe@XaZ5v9)8#cJ;?s=D=;C!dTaC;;RBb##c(M zz7_CxMg~067JjZsN#04^#G)GVQ!t0F#rcZ zQnX&=tYO%CC{_sA-fNaT8NAZv?lfYzbOH*gdRzaF&O)qxKoUWA?~?77wWVks7fw_U zzr6A^&p!OiuPLz}Mu|BrH}xC$EF%tVGCWs#W8sXJ{oy`CFP44yif+D?+pc;ZMCeOg?IgUtOx>%}6+k5{5%eUxe)HFyTXUpG;pHtk z-oM@g{^`sOqRH}f>#0Y-6MI{0N!@@W5s;$FqoZ=Jnq@a`I3oUFQzhBXbW zDVt<9xf3j-AH45s#k(BAGG;Ya2&yfDLoNSf zZxAtQA~yB#p$FFm*oqfyr@bQnhFA?Mx^r_w*J}d9DmP%qwO$Kci>^d@%sHtAe@5Xu z-;QsW&PMq?P(Et(^+3X5hcPjd>6LBuxG9(!bk&_#iJYydQ#G7sG`SAO+X?o^3#%*f zI^i#zkfs+_|J&R0xI13i<1TsDp;qPX*0&rwP?)q_I2!0DSM7ryW(9*}^91=r zm73k{(&nXM>Br-e!xvJ7IQ9<>^}6j`Nt2U^8lvZ{K&S1Z!(2Z-$5g~j!}2FDFS4-q zvgsHzxSBSDYi$IuvB-EY35x3)?I%$BOcF?-l$}{9Ef=givjgJYW}FJhu$OG6T2^WA zhG{5rvc3FC(dnHX^&x8i#BSA*oQR+M-U~LGSEmhrA0N0Yo2(?^)4?CW@z5KUvm+}akUn2|di;~n&*_j298 z?*>=j<{ezTt#$M9#|LlX_GUw7a^q6a>Ut2l963+VoA1lfJlPa8MMvys`Y3QU{{AF? zJmA=ngduR^ZUWD{pe=610XyFSF@Be@f{(rBhV87amIe=)+UbjP%YJK|oaBpfc;)I; zz-Z3MsSSFakIjy1i=zGsIPf$MX>fq7NLr-|iB2Jmomuax?}&m&PoT?#pOGP=D@w8= z(`@MlQEpzx5G)RpHXHl~RldYCiDkl4p;Xn~LL(~YiR`BR1wNmlr#3$JgJ?zmJNb-2 z@A=K(0YrA|8p@c5n=SNzWR8`h11~_Hvr@>?g|L=Vr3&P;XBBzRA7-yI&;`5e@=7g> zxa#6V!Nd#Eu(%~fsJ_|DHjKuVGIagmJXd>&QbjI^I1SIHKh9^q=0aKL)`cS)5GwZ_ zBy{l2ay^`u9w7)q9{N=toB$7jx-PqXW#uGCkQG$+<1pQK-ppwnMN@2^vI+@)hW zVbBNEwgI%6{BRECUT4amd^@3@5rIqoKGm}!Pwpd-P(G*;bv`x4vC}yoN4S^;)$rmP zM&6woX|uhqvKNuJr*}mi`pd2~GIH;{$XkcCCW#MQw#pl8(!iCIkB1 z)baedyXm-`Bn58(g}}{q?T3!l?qu0D>t8xQUHMX242E3K<4&a{zd4e%NO#s9FRn*U z7@cdhRS4IZGw~B?S^`BvIRf!?1?nq~y~lnf*6JS4^a<8<3jXsNuRrg8>6L%!bTIx| zR3roBf$s3kYJ36|)r6IVb77nmzqp4M*g&`kY%(#Z(K1z#VOH?ywcrrY^%V^Ehjh%P z=J!T;2l%VJFBpn8+aWQxHlz8AlS#6Omkum{c~nI*6x>LZ;Od(K5-lIvAcSd@q-AkAr9_nx<1p97Qf!TMaS|JbnTdaT?cqU z8dNJr)FykG{8^pq1b?%LtJ9lx=Y6kCKbleo4pUL=9J@v027|;()3nhAC5z6YVy=>7 zgN2#BX8tHa{mr@iKt+9uLYGh1GMR zD3kg*yyGbv8b0ObEgI!G(cPb2P**l*#iaS1ks`}~a=2VE9k#e+ES5gLMsWUyO^3qM z1_>pn3-gP~;Su#A(|mD|U=@PfOl$7Ro0Ew8ZdMP4s)ajfPWV%0z63Xfk>&A^V>WGd z9M9^v1N~a`usz*4@!4KRIM2)kU)`%9zf^F%<%DbrZ-Y`LN-oPAhEt{6lSzhnBz~3F zhwpb%at39Fp{voZ>xF<0JRBu+$1F22voBa8G)E^u%MDli+|Xkt=0+?kJw9_>4tNcr z!v0K4!Nl6usG_pAS)p+BHVlM*&Z0K0Jn=9nqV*EW&ARPq4-}l<Y04v%$D&FMHn$gO-g=87QyQzbcaxQhQDXtK@9 z7o$$R{S<}f3;Y58Fe% z-j~5)31-v&iNM=tRJ>@v=9QAj(r2tzU!7#}X3yL4^X$67+TQXKLZv1j zXfT>pSH=y-xUni9wpGg4~!R!oJ_M~2VaiKFcRq5u z^1b4&uLJzjHt#?${|LuotCKnTME$1ANb&oOM~VKeBQk5Si~yoDKJ)SH&K5Hctm1fK ztd;Z1*yUv>vy(g zWg^>k4I~0RdGJb}iMgO<^&vt83$=q-X|RiX#^SXX&2n--$3?ZSJxJ=wZFK=mH#o@TQ7Qo9^?$0jYU^4%1azK_+W zgE~8|eZd7qS8R#cp2H%V&*w zf2b*r+?}H%Hyjr5T{l4j)>}&+oLj}Xplt%MR`o~6A0rtbA3)oDd#$qJj{OJSQi%@l zaV}|%k$;VcCZolV(mjP|YIf1edwMKxr{<&9O76yM%d;d;+eItb zY=!P!J8>ea1EZGVHGnqdMTPfw8$9CgBws2&hwu23_neCyV9OTqzF;vH_avSb`mxNk zWj(dYJQCmg20fS0Ebp<%%9?zio5&sps|D`|6&JGYW(P^n!58tazB};oTVcS^()tc-Ai03CM@eVI z8CSiQb8j}58}8O5)mi)`_PsvmtJ};XoTZM-oOsQ9C~#yc-Fe7N&32Jzl!Tbr!$Mxt z=4mZT8`EvHrs8XFZiS6{etz|#1(Nz~ffRjzzs#`q=ZsPZOU3f-rq1;brY7r-$nKLo zSG$i%6vOHuj zOBrmh!tHjc!YDe-t)V+dMGs98)>{0@yeZl4*?RYi1nS6DJu*cI?asVKxBzgccFhrN z4b=l`M2x%vXc!)m#_USuj7*Qq$YH^Zhh(%UzBY}lV_5QB z7|Ef54lQ?Pq)j9BQIb?WkNY_Dd07JM|Rw!9OJU#ExM&ur&OAzOR- zo%XbKRTIvk_<4=2b=Y!_en z>H2JJ&K{Iw>p41jmx&c`w!4Jtr}r zAZMhmv2M~p%3GU+qm1C_YKWnxUb+zcI+PV$sFdUN-~HtYg_|yY;XM@!8UcF03+<@B z(j}r)cp*WUrmbyedh>-g4!$#=gQQ>B3~JqBhFMwZ(o_}CwlDcf@e0{Gwev<6<3lCe z25*HCaBBO?T*=#5svxz%l2)Sr0=&Bdxx9NEU*xo#Qa5H<8yX*3^I&CjOV}qBu4i3G z$At^#2=#C%(f+Wr)Plb7Se=b~svctMOQucT?bQ`=l3g5tB2S9ADze1^A-PO9W62s z=SK`4O-2YW)P1Y{3Fb_~>)q@>KR)J@)ejJlFLvfzxz!-uycG+w#3$wLl@V)nkB|PX=2{F|XRx|a=lV-4DI-k=!BStBXM#nyf6`hcL_L~)N@n)S z8?C`kbW<53x>%{>J!XZT9;8<`X1BwNM=MfgP789YRn3_*@|DA1a<-%R3qw9Hi272R zg82Eq6<`>=&kr)#5ZvT*vZh0@Rke9J)_C7Dk6X|6tgQQs{B@$@#&wNr^T1%I#nJ3Q z=ky_RSeOz*m?2BQ+*!{@*F9es?Bbf&uPiI8B`5TvOmBKArp#qMB!dJpjubcu3E@1h zaT>EnYR-D^eQtMpql1+Qc!^a8v>R@AlbP*mpIH{g+J{J1Ls#(7Y>tT`!WWb@K%^%I zCs88Fs!i`y&L+eWKPbr#!J~Qcd!4d6HE}e?Bd&OCOO1jqtLFP!3avT$Jr~0i74_v@ zmD)n}B4+FYz8mt|H(x-!QT|p%9ELlX=!uIT%tp7sRb7&U3I~By35cwnRys6Nc&qGz z=HgY{YbPzFe0M*PK7L*+x4ldZ=5me50ly6fD)Fyh(KzwFMeT<+)t5AbG^xzh$(vCY zT#Gxc!BVgqYvC__{yhll*U-YHts``;E?EU)Zd>J(ETs2_EoIa09!NLQyJ=;x|G7Ja zww5~&bq+yMjeMIm+8m|`HcJHzB=;|&p2U}owZ0vf0>o&kZv4o}vKyYS~?A{r`A<1)=;>NM2ytxXK@XogLwYd2bZhU7aND1@xvzDz$_NX4t)9|IldIs zM>2m&r^@!pdK5kTe84wjr5WgHJzd1HuY7$IRwEbWfD`UXQb-yBcS&azNODC}jhOKJ zQSo)=?FDH^n#`~9Yv~acC@_4awwsK(PX+plHqF4SCYcM>ZO{a~z>GEo>~cs^oM6j6 z?`zu^{#F2FNd~km5}CGP*@XVoz4RhgK;FO=PpuhK?lN^3@h=RZtQ=SK+AS>NRnwkMjrSJuTRL6?f_i3~#6~>2|V;Cn@@4h{S#5F2{`NTzk zW$b~Ql&?)QyZ35KzPrNmgQGvOhl}NHw3nt|6<9u?)IU38&s}JqRC#+0mWh$Z$#(4L z?)ca7U^WKx1?1z+@wCM_UbwST(U<5GX~*RrSK0Wr#(RFt)9Q4eCch6~@9U8-28D&} znWycNbMI-YG}8)f5O@d%m_~&wmRo#vWaF!IYdvhbx`j)~rwap*57w7c>&y6A3p6Bm zbvVWFWRs1HajI{c(^WL7%Cjc}N!7}ZBUZ%FLq83e>7?w2|a_*4|1gG~p%eFKAu1ta+x?mkHaLHh7VWiZ;qB{%Ce64fw;-!{l+^TQ;G` zx4_2ngIQNNfOKA0s)wXRM%V%9U!1>D?8`$Kyc@1r7Wy}Oh5WD4U8-Rm+|S#)aF*0wgg{&`QqRPCdI@Wa(xJc!-m2*|2xs;-35(OJvh?t$ zAKM+tDJ*%#}TZKH?uTgE$2%*8s!G@f{!hV_u5HD zEp)6_?fEMcj%rmC?a3lC#AHX4^GD zUgq*U1_xC}ZCw+3Rab_1C@Ml3U)O-ZYi@zjp_rJ0JY8Spr@cL*SK*&DH1_M)NcOoJ zV7e|0UkNUmnRVZ}If(x{4*U=;RjSF~E}}a=2Z1XZ@rekg{W_zMNH&;@k*cAT#u4`D z!#pD6@kp@q`uf&ZH)v*C{j_(#VpUx$0CS14fI0<3upz&jS1K4u)h#UC@WIPvBn@tj zI!<19KGFPj3D&#{hzTHVuYqQaFf|PbUe@iB9Qkl7WC;#5Or%PQzS#&orevvRD+=5J zAiQiMayQwd%X*1zP=ebd3i5mj7Giy_!koPWNcF)%{JwHIZ-?8{(s!gyqK_}-%ZJl) zv7heNO*#oKvj*9(%hLt=F}yg4>U-T-hn9J54cWZ-&%d?ykjud2dh6m_JqkNw&F5wi z#gtF?YVJcwdo2e*m_Wqc6``r?Pq=a*x0jLAepREvJ5jW zTcWhoRopoaBSa`jzDLz^4NtWtcj~>_^?V=LmP}@2WhV9mb_(a*Ia3eM1n}8;!{cyp zeD_TLu`F8Sb{%S%sC-)*>>xX6t~J|WBVM}Ot;RC)Cl4N-?sVi*&gK^Eoj-Fn!$SsF z=j5G?{>K<%L&+eq6u8RJZNZ437##*FKhTOdVyKI)_7{!z%=cLD89rKANCW0CVp+@u z-zE0*);@m>fxej^P!+Y~x?WqByPa?P+fG>(>&U!@Dl1}f{X43}L~*b^l?7{@JP4O8 zg!J7hkZiJwWPO}fd>ZXPbxWjYfph>JlyWw9TRT1c_{wzVMXfp#e-Dru7WT;;S6Db)0B)=;~;OSO?*<7uapYKD^0b?o_P^0Edn2i z^!|N#Is4z$kkPnimkVQ;tVAbq_Oo0n$r7a&RvhuQDo&B+mPi}4>)E({^Q7pCDKP_2 zc}_t(#OrDForbdGm33-4-qkC|jctd%J1SqO)g1$*Blvm&F54oo{Ebg5!uGEAw~fQoRJRoGPZFUF}qp+`8T}04co_Z7QnO zTe)Ie>`}vsEw~~V&ZO{3*Pu5cT;p@di!WCirY5M^ne>s}mv8nRL;{lu%B2vJvSi_} z!`d;DyB{H*=HjJ61#;MvShucovX0O-mDDb zF_-DK4nkJ0rB!2*L;`M^mxt@wf#DnZDABeM7#nokx^{iIo+jox(bbV#6`O#Z);J-< z@P_MS=0v5u@j?A(%5C_fSu}0_oh0vyf$PA25xxsF{obkcVa(2X;FHka2T1H~@-zH$ zzOXGG&tQ?+F|spfukba%_k0PUvShCLraenpRCNVTvrf!x>QwFMV0`(A;3X24Cvmc| z{12e8t0hM{F;zZB{+Q}h5i03OF5=&~pv6SY=TQHKbBHo3ab|O6;8E>ok(>avr_&3H zC|0V(Q=k_!Sq(YfUDWZQ9tC*qY0(tKd!HTJs<-(Qac**8X8S?Euyig$MTMQc%3sys9>Dq`B%rThAe+VM$U6?73he zT#4DK{s?YXA`o5<-1AyThL@X~@v2YS=_!(F;H9sHs~bfLK$rv;o;xx`VO*-IPWZG5 zU{(Sc3c9C=7$%m+9Xz1&x7#c~q#pNJamXdu_}$u`C{>C1b2_V9`b2Xw z3~O^oIj58nP(Lei5Fg2tgbQUD>((;1;uigOv@T<&1WEJzxs+*(fKN)GNJN?RKfhFW zejQPrKG~lL%2=MN!(v>9LYv=8E1F|B71yDplBQ15HIB`pXy|(4V*fFi!!f0z#bk?O z`_4DPgP-`A#4l$LKfyDypjhcv@492J`1r2^I_xv>dsmfI95@i9 zt+WkTfzCw$BI%>Bz=W>C&f!Ud#a&`llk9HBJ-usYt=v@MqN^|G>@6GPR3j&Jds4_v zcDU1JL)|_LoJGbVNHOE|0-^OmQi!8)}E+mP|&Q1|kO=h|Clr}GtsVuZJ@kZszA~GVXa+!5J zrM{HK2Xe)I<#2ku&M}{kel1#~)nl+OI_KQj27htiz2eFBtr9fGIxxgx_A>0-<7O*5 zb)sHd)}zXPtG>})b~!1}&!#zGo@~1@xcdKPAOv^%el+p-mW~;maP2)`FDAYk%cT~B za-|cODb-II+Bm1+3k!SIX2?rFH9z#TSr78Rk}#!Dk{dn$jY}r4Jtg(S*|s(itkxmQ6q3M3k*|ej+sm3_d%N}!Ys&@> z2sslSli#PHSo@-C|Mdc;P3xo&^MBDWUIhl==M&k)1c37FvnDX;bfWkW;&&oKuf>O1%%#n1iNmmD5E*0vbB(K-|Z z+t6x(HMKc?PLr&9r$@Xkc6MX*<~pStb~f{3do}?y9VEYDV4K*$CXxn8Te3@rb8_#K zIYEBmwr@R3E6;$>s!fnRp?9sq~$_kRLG+YZ_X zI|8v+QrOJYimdT#AjGr#j3nLjJ#h_ccOg)4{>(c`**iDxi9kcB+TMOcFwH7cd8IVI8^5AS2qoy-4)8p*ObY_6 zmqMH4K<~lKY-ZS#uZAOBf3_tGrp`7ChbTc28pWCVb$&6LHeL&qX%$a#vSa>^G}X3G zGZ60`&4cfv(Bqt*Z=N;s_3t2g&9A!<%79km^dq|a0O#zhDS~cOErli%(Z06Wrg4iq zcjhalu4z8nmR;1Cwbx`azMWiDNXl#Ee(#ImPX=&!*7U_@4jtlTFIM;Z+>V?V7UAx8 z?&+bV=eaz3ce7;-4A+QX@s{p=vaR3}!Ya)~^Vp0_4L?KBVW=9Gm(1EIC_^*$Iey&Ti=X=vjpXx+Bp~Af9Pj#o{>drZzG z2+A08s`^WdxUT_tSJoGXs&34i0ti$*usc*@0b%Ya9ayWV+~x}`yzW%6HMrgb3FcVY zDOgFymeOr1OLgisI_;>Xfw~}@ZecQyuVm5HDNEGss;xqqI$5W$()ztd^VY@uPG8XS zz<1Z^!2##xCgkL~BJY#c=VSyDI&Z2w$%TKSHtb@`y)Z066_Ma}J7=QIKcvcJ#Rebl zj8ni^58Q^7N1;eYRh=^n`Ps6yyX}L(&3+8U4wJ@zpEM>NvdK%fiL6#o*;*+7g$Ub z-jBV`Z}S{J636dgu|j;mIAQ$XlnY{e*#W#~e452jnA}Zk{G(>dx5XtTO zWHr!og{(4_n$jJg48@nmM+%#4xL z*pZuXqlb0Q82*E2V=uRs43A1W7mci6aHb^Q8zk6SYwH%9XEb{O?kcZG(aYS5W%T)y z@ZE;lJhgKkO%y@Usn&i6x4>!ruW3cd|*`*mSo9Cet?}F|7zI&~nI`l z%gaXlR_ieT2wsN*dp`=bc$im7)_duEv@%HKuxNC`4IOj%*iMT^C5MAK>R*jfhIwAO zp>cQ@B`NZ7*i}hmL*uI4Vi7lJ(Ep8WQ4os$HM#t|V`_f<*;Vt4zGvcBPNt-zNTzg| zc;9@Iq>-y<>g=uhQQi{X7>9Qx4DZ}g^1Iz2%KIXCCaeJ8nf*(+N58zS*~4M9^zKuX zw~?dD8u_9!1J`Qaw$W?#*W7C&glTrGdTC5XXVf#R7wq1>GDOa_`W%!ASHDi$awbja zQKdn%p!qMhPG(JJ_n{lgFA5BqOwHA+LEV*ggKb?iYTVsBFFZ(T`&IfZXtu#-w?#4S zr|_j?^iJVqcpIBpimeyksTp~VtOT8^lj37uZ?iPEc9|vCwb6n#=!@WP!7hm<2 z1^|{-ro~k?bn~vWorq~rSrV@7OJ(M0G7o7eI^rC)>A|nqD_j9MW}7cG&y5S4IJe2} z`!xE8r*y`MfGkQpMh~Ygv8>+RG{Y=!4(Ds14&95Xhl|~E%Wd51z8p?(a8lhl)mQFV z?6gv~W^Nf{Yw7-(L!2l%#6AgEIy`1u@CpSw<}Z@gq$UK7_}p z&4qr)xU5v{xlrW|2vQdSj@O}s&!b>z0O;Ioq*=!8zSa8Y+nsGlPPl0khXc8&B~~E{ zL6~PAcI04o!V_|r)@gH+o8lBvYLW4JO58&;>H52A%x+ zzGS`!X}#C)g@GP)|NH4_w-^Xgzle|D+QQqRuh)ZFSP$d6@a zy<-MiJ}Er3j~Cw_ad)YJ(*csM&^a77=bd}}4Gk3#`@?_+M)XFKJG!OEI)BP@HlS^> zq2R1Fsu-Lgu}>XQs%j`}Aml z%*U-%zR;{@@;~*1`%HG~r%XsuDwspz9u7-y@@>V~AC`J?;l%ImR=#~nIKjYsEI!EP z{m{&eIJ_}v1NoC|v?+Y=xo3Hat$ROC_^o)uFJ$v{2jLm&BsH7DZ2Sgb?{B74aMUtO zWC|f(51Ix{88bU1^qBd*uOq~&Yy0Wp_kk_M-M>n{`&kMxgI1{m2?cV2#5OtvX^Py4LZyEg#%i&fg+zxdn*PdL}m zvHV{|zRB}v3{(Y%$o;ZJpSEq{+fR4Erq9PF`vm{3qw9Q5sM(~NzCBRWwJrb3&!tFk zzV>oG2_J}DzbY42COqf15Z@9YbWl`gh>wHbN{I;izfdZzr9kjdnlnA+qL05m} z_wRww*e%jhp@hVlXs<{(87}V@8^;2>M0kv)lBsFpdjpU7v*@1K zZ=RArRsF-!^|A6NH9q%vj=tF}9r`SG$t|qM3KqGw4jtMZ6@fHJ_@zH{3>Gt^1~r`g z5fx8Gr;Jj<|D^pc*-h#ksb4AK>~-OvwjH;4>Uc#q&@G)Y;mATdvRYI&57NQ8S$E0T zELN?G(EcB~(w$^iUa1d^+LT2bd!D1o@am+_vuxSwG+Pl?LTxoBv!^3N`}0J;e*F(B z+130Ef(Os$f45=eZ$h_v(&7ZJ+vl>KGa_j5q>&(sv`w2 zR}Uj=&Hn2=K8#?n5mx)^XN-YiRd0P#&G^ky14xKEz+BBU0vpti7L6L5Cwew{43!-e zog&%>2E)#Ur@9u3ZSQhOV>;RwJ4@%4l6QUMX|57giFX`W(StDqUonLas|wbE0U(6g z;OD%|%}TdjZ2NLoehquM9EbXY??L)|V2GISnuTDaKtE}CfjAZ=CvzCn5r-hJL& zf})3dr+c0mO|@5WX$2FbmUKUJk%F;z=67*k5X<|6*d{n;@A2*w|F*M?Thnif9{0AFILpPa}7-?k_FWtC?09u)uv6DMu{NaKswpHj2$ zIAa6tjnylR+Yx*Pn@<_~w-jRPQ|$LQKZ`H9EVr;#iY+>UG2?=SocU;=(Svbwx7kT>XzFT7Iq5aDlr~ zJng#{zVbpK`0sG_f6({jNw(Z~_DSfYdwsU^tlNRXM(;H4e}J8V+1-l0TKD=>z|`(3 zuLgOduQ)0(F|EIs-nqz(%2PIT!St_mtdwhY#o}WEneFwwv08Tq&dGE-Qq+NPXSIdiv~1z4m%YPqNR>1=)*C5xfHIIInLHlA z;YH{BvUTDLF`x6On6S0ZHL2wj(1AyS=QaQuu6~Ij>`UG>4JC1O>I z%b&r0@Fqr8#z(ff%Yoa>%%CLf)#ynw7#^EhGuz1Z%+1M6B2;A+l8HETw;e4kYs9O= z9z#UoHu2UOl^Q`-D=qb!w46tM5YIv<4z=Nmw~;a6{uVthuzUja88gr4O!)>pZkblZ zwGb$u#8L)*CRhV$_)h%hp2TGrXPM92RDQWIKilOmy7gB!M_|t41~=VrKUe%>;!zZ& z^I@O*mM)A{iSreGQ_kA1ulSmyJ7~8J)>gkhIPvFNQT915sC_y@!7O*Y%@}zok_^> z!k*n8wXWT-{leWg7dNZ$3#?9mUXMP^-gZ6uZ5QqpF*PaCa}wkC6E@Yp|7-f=^*;)J z^>f?Tu_G(*9k0_=!vOxd}kIFkJ|C|F=jtp)p(P4!$0L~K*ANG`uL(x^ddR4YF=TaIR5{hP@|da=jAP~L${<(r)I^D z=dDE$va@mtgsi7m?SH62uwO7L7^}0aI4a>M^vzjODIMs;{%O1ZH#}#BY5BYZ8*=r1t?oEb$IMCSd zmucopTUXEeucw8rpZ7_;Mf|eAE@(0czl4C9p_~0%FaBo)JNHd23bsiiR+-Nz*d;rk zEnWxB00;B(%}+NLMEP?4O;ttjC)g<%q}8N#*lSB%Su37+anwe6?N4#^8Tew)zljr` zTUX96{+3qY){gN%IK64Ozh21+YG0`BU+M$VwI_pYUkBR;fpR2!oj)ws&XG7S%2;?& z(C}IvtmBzx6n0Yg2YYnA-0+EdytX(xYwmls9uoZoNDZsmiBCF97+)fU1}$spo$XR> z1*rC1c5j;R+Ly^(kur{=uZ!9j)7=v^bpv0EbZze9O<8}NmwxlnqPRSmRySshN4neZ zVEbS1mn&Ovsb;itTtVzQ5Yv%AIY~9Z6HvclO(M#i>x4P{id>auB}Hr&-O8yQKSI z?oA5Dg+?(oUa|AyTYff)W$RdmdWO8J%%G(Vw{RJYDR4_Dn%|dKFMo}Z41lxM;(`g} zB|hEKO=TUUzakT|(c8ZAvXV|0VfP~7tGuQUbXH)6n#5`9d;emOxqwp%&;E*r|Aj$1 zkaA)E6$GE;Z{DEaSgrZJ*P0pV;ueAz*CboKbpP+x|A5KTc(PY6Dz`5;nT3NH+}1j7 z#^59w*?EEm*cuFGyRPGZZsV6RKOMFD*E`D?%P08}{?osMdyA%nvFoN#Ej>Q*Q}&Y- zoVmErqF`c)Jl3f_34PD!&SfosrRYHEjC<`Vl<3%Y^5R0M{0aMeg*#TpWGHAzYu zW&G7rz%q#nSbH9;BevP(^9O-rz!JBY1B@}oyMw*~&Lf)U`b=4pkFS-vzthi~;(@!bw3&rRI z)x0HQ@=TU>$!M#G!#P|>XiMmhC7&wZUEZCzjsUglg6dUSq-q9H#(({iLp9jtzEq^XT|0Ng}JOi;xoy zi7QgiBVTUsLP>N*j6F(f7&iBoK&zXB_XyJ&_G()6VpclahX@<5_AHWBUaP9dEV+8V zg3D2VwIEZtbnt@cGD)n3`iN>H74vNw43}$M8eBmGBhvY$l=P~~9-9u?EvD{lC#kB4 zmKwoauJq^;6GHW3tW(PlL*Cg~1elNfvSJmUG;_O8mP)kyFlXP{M#C(LW>m9uZEDeW ztPlqj?gfavGJ(1_QHbj7UihgVcf_hP)uZXlsN<~hm$LqP2`6rv5f)+6r)9Bik+8fL zi3=o%3Bb~nPEZS%(-et0UWa}Y6KroG)yEla%7p+z=^TUuqqc9AE3^iLC4#|(Sjvv) zzjgU#y5nO{LMMO%m^mP0^xh1R$SBIT)7|`({Q(E%VX*WNmrJB#3fM4+LZs6F#F^pEW*M7a&HZ`86j@G8F zwelDkSV$4%&U3O%T?th%&6nBgbF~fA(#Y4QuH=$fJ4Xddixu0p6@>?&yYUx`JzYJr zsZ|c=3rp_W+fR1Ms%29+&xV%W-|@1rIny`Dn)uj< z=Z@o#*lwqFj0-m~>8;Yz;mQwlARN_lEy=h4&u?2ybLy8eEdz8w&B<72tqu5no{KJ6 zB<^ZzB_uXqbOMrxL|25~$1)6r(4G2{nc zoYLonBuX%r4ERI$lGSX}+1i6OGu^h_cpQK#=jwc?Ka~{^FOVsyN^Nil=2M_ONdf!V z`EyImm&$8dDshchCZD(r%Kd+;g;Z(tEq8<*RBOzMorkTQ5(}K0ofHkx)uC9sPnx+7 zIzs$){RO@yla6NBD0|}lR>k#xca9o8+a8a$G1_aVhl|zO^Rt*e$&tFc=m>~NYeTL* z(sIMRj%5S0o5w~Q6-*d0g`KR=D{^8MND8oBX*&uIiQ81zgdrO zXlIR))ud!*Rd5Kvgh)&MsVJd}(Xuhk!D>{*V&_!#laytj-sL^rh6DZ#q%}{DJ^^d3 z8&D(O&?Z*vni!QDld3vVD=f)4=LSO^nFK~lDBRQW%N-QsszW9tn9a58Su@? zVzTbPf0XEf9c}!#KGEHE1_$9^(xb#{ z*6tSI&=Qrt79Bk)@fF*whiyGLhsI4iqXlBGq_3b|bpjiSNyyC*n`;1zj$r-R0LORV z(*MnyHYqztt!5NDp~$=7(K1Ut7^0h#Ps0BWyng_LAj`Z<59BB}_+rp2KmIz_1f7RZ z3lVoTtcN3m5%fO>lK`y-jo*79WsQd)OLPXowI?_7n9#Ca&lqVY%~iY*E7YKxv$fzcu|8Zlm?2Anwttlx_oY z3d&VIwNx6iV~$WEl6akow1vU<=3C-#dS;cLGrB1rN{Yom@-uz<$riWpc}sEU8llQo~8%2pk^-L?3j;I`#d;zpi1+{!Wa)66nOy)psZr4|Y9h&K>@ zZ!;LR7{A(yN8H#cTtZNdea8wW&85iJby7$UsQ2`FkE9!`MsBmd1c~m<)44_p)P(XN z$k0fizaU*A=`7=MtP;dI{6X5#RP@c-foU(oVmb^O{pr_Vs@zJPGpx3}$HL|7e^CSI zYw_kybJA66kpgoZShQ=A0~8?q`YXpsBGE+Qf#BGS;^wqie+uK~NAP>S4U-XZ=n6V0 z>-`q>I8S%>9?84zqzMwoH5>{T9}Hd+2S$LDFV#&Li*t4n9@hQpO(Y6Os0B|Fo>i`X zgs}_r-NNvG9ro(PHLr`#I?NMF`AW{9Sb)BI=n9slaH;Gb!^}sx^v3s<2;FsCT22-* z0#-IVY|#f80c*S%!(lO0zK57V%By2iuCDEk!79TR=5vUkm1WFWnHbv3+#PgVYpD*O3zx2Vs&cDYVqK_`Q&VQBW@&vt^ocV@Q?))--bqTo z-FGJ1pMj6(cQcwy{N@}g+yyDBVF9w-Uyt(Kp7?59!_8w=s<2Zs&`VBkn)XG`#swlP%}*za7%LnHt8m}PFt=B{BUHlLe-8Sc?ZfKEf4AX zQ4hW#rlN^y?|v}q@S6@YQFu_QQ~X=&Zk9wXz0`9Cx6v=6>#8AjTl>*%W1yEXdtpSw z{MX?RTf6)TP#0pwAqw{hretRVa79=ndF5ORAKwVDLw3ECjXDlzno15)PpGVQztH4zS` zuu3O(af*s;XNPpDo|Gq>d^Dx}H_#!g8X<4L1NT97kFJBsb8v*+J6!-;%@5uQs99KN zIxmKnD@muYHiZnhr&jTURG3UE*i6TNk!jH`Vq8-Z8d#FmB@}FZUUO+;G1UTXkM4U5 zb5!M65lde?r#|1c^puh?iSgXb$5*mJ(x_yA$ET|flgCgPG@zodn>|IAxahska=ntn z0{1m>wydy^2auPbzN=r;H1b89R z;OhSFNewuX{mV+19Ex=f%*hv|=W5_f{WrW9&U`fM68dCUOQ>1%Y_ZQP9@r=e0o#-S3!rqg-hvz}n!}3)zPi~eAoCux$eaAc) zl?+RBDgk8sJ@+l*XRlRS+Kc-bYM4D-%~++$=^k_GQ2y>TJqZID+B+v;kg~FrXipiL z8<8DKXcaR$zR!+Erk<^2964NCDHg3WpU6?ofV%@v8xREn$aaaDZ4nk_5t~$3sMAzv zgFb9agpIzUq3nxE$N~S}o-^-&vhgp#6Z4?Y7niTOxkInK%VJ~rj)niP;KTT^Bt!

ep$T5bjqY~Oj`O0HsfHK{~m!Dp#^BXZ>>#K^70G*=yB~&}9~eqPM7O}`S>JJcI>(hwQ`P!1 ztD*scHB&~P+HJ*J60XDbW;63q8o{Mq-V(x~RbI>+=v-dSb|?3}1Ra@E{uQhX)wBs= zE6iS)yh9oQp#Hl&*g)Ao)^?rEM)?+SZ1rbtmSeAdtiTmuY|3{0G0srxTOHJ)J=Z*^+ z^5Rwlc^jixB#)ivi5%??;(fotvhn#ayl(j&6!onLgbM;_U-s_+DXtVo@~AJa`7Xf4 zm!U1}*V!k+-ea`>$(}}oGAUfnmbq7hcTxzUZ+%=vm-!xDZ8I_hH@S}lBMOORU}UPe zmO#0!y|!I0zfr=U%ApL_bgHh!3IbYd7e+h=scosg%Xb~uJE=9%ig@=a+$_fA-H=5t z;#{L@-B4>V%tpq|Cwgu&`A54m(Tcz4`YpJB&0o8uuHs#BR@^3uuLpHLTbH=B_$GwI{E*_QrMMFgjZ4@D=G@G2+pw^Zvp9`0ejc z$gUuXA>x$m8n+j;5ED)x$wxxAdsA9Y&j^uxXLJ~ycw6fZcLNZO$Wv{8BV}sKy>WCb zQRe2SGL6_5D83MSM!g@FH(3fHU�<2%+G;bt&M9J=a$cYF^Is zkXP*o;P?!kS8|L`{dX}CS5pc899z!z9%BEdm-e>_Bh1hHKi+K1&lJ7aE#h?=)NP#D zrb688Z&M()t#7qF!z5PZ+)gXb#M79$&1F3ko=gxNL~xc(go&UL}De!^BG3RcOD#}Xrb8hM-wvBPD{OpR9d z`xN@^_QL1|c0*6#%MXUKR2HW}I*D6mh849c-t#&za&^R+3%=AsBfS#9o-wUyrUX_; z@3CAnDl+6DW{N!Ap`(V!OGW(T)$8SvC$;MxCEjJjk9@(Dpr{zF zLz2pK`oyWYud?)AsN?)^8D<2eYqf$;C@#Ul@_i0rYX62LL3%Edc` zt=a9^lgaHbKbrSK9O$7vt_rn$mA-Slxg<$o5-pl}4Oh(z*>pFMH8P*!8m>v@Y`Zo=Wj zwOaUIOfgq?pR!>CMP9S@_7a?OAP6y=FHRnrnMJnO7&{w&obSUEwd<*Hguyl*#eVfH z=oZVEQe7~$?vJCPuuF6>rWx3*+B`n0YLP`PQzx|O`8@HQf)j=$`gMLQrq*|kxUaku zjYCqVos!5ul)%~>@p*yoTc2y)E}?v9>{Zj#R_*Q=rjmd1J2Dv;~FM9B{h@|c==)H zaRIyFPY?ZUA5-;3%LH@lW^q)fbTTm!;BK1Ok-;5~Fod{28w|q#?&7s4C?SY29#HKS zM6gX8Clf-K`vF^7&fIpjNBYZkE?_e=XxqQ4S5};Yy%UBMo2`<=+oR3K+Goz!!YcJn zOmEK^S{;WJ*!bXz%d#x|dLXy(;VJ8++N-vv-L6#e@Yb zz72f7I9k(ZHOcoz7IV%pYHdl5_$F&^3zr^8d0mN_>AB{9GL7QEI(#o;v0tvY-A9iq z`HZeo`;xH?uEjb$Ky8nz#PM~oduhw^V=s`HEl1xRtR-%4=Kh4>8#?EcOu_#D@y}%|Cy}wyaW@C-3!)QY05w3$ zzr%-#14~_=2(6?$r6#x;R@BoGZO`Uk)HYNaH;WdGI+|r=8U5lh=URMgu3Hl&J(v4+I?l`N> zu~&cg(Wz=NbE3f42?G`=iHMpTMETAvIX5_a|Bsc`NrIIOW@U{Dmx^&xqH0#sorpeZ z{xX?QkfVV%1oB*e3n^g*ZB>N`8is}+1Gsfm+0cR*)|LMZbGbr_E<|cbSe&N8 zN!JV|JG?38y}DkT5tF5wd7L0$cI3<#D`S-O2l;xT_sYv|<+FUhxp80HB_u=P_-A$K zeVXIx*6&!+9hDv1?;nVwo9DvvRZkVLxZ$_A+cB9D^Cc6*R=IYGYCR+|ov^vWOa)~f zaPvQ9j67l*ix+L0C9N3A|A>=NXy^u}w7w5jYHHZcl>0Pz|&=p24vfSTlz zqEky2x>eiG?Dj^E%N6}eOzzlvVS19nIQ&P9-xbcWv67y@Kp%`>gW+mHD*D!)3GvKp zjj=$bWQ%^Ghp=P9hd+}x`a~tk3=pmGDBXj_2UfK&I(VG&4}^*S;#(eq9rpIvV$&NZhb=BQgS6AJVK{}JxhbR!K z71fb*5IrNV+~@-rwzdppVYoA)7Uw*x<`=7@G0wckX4`m z`3Ydy{2oY{^U=`Rw{w*RxvKgyj`EIL7I9Qzgsz5*Pi_|lZf1^&B}(dPN-eSbIMkmj zTt-IjC1G|Lb~Dd23jG-`OrQ(RYNC%|PKdI;`u`5(YwyyJsAtq;!yo`n?8-9M+9p~I z%8?|pY88v;e}BhVA&SLp>QEoM_E0c&Qqyby@x1Sw`O> zYgCB{fr5Bl?cWPeZ zO}QN9&D+0u^uk>*_hxz8jz@Q0>zy{!FLnUzVp-8wGi41s=fpN>XP2G->neP3d6Y0m zUVdq|2-VN);xV*u>e@ZL!&ud*C1SiDA6070;o9qif6z`J5$RXVD^C4xoxMv%IHvcJ6MQ3-nfd`K4}9-G`@6`2o7QZRY?>r60M zvC2$+%>P**HA+XDeVJ}nfUd5J1F#ctK@Y+TBc${+ogE2*twT8+95!7jKzN%CSBR4l z)DZ^5@rmGco1HZvKEvmcgr-NSn;>0@Hm^PAiL?*atWTfi`hDh2XSH3_{)sHfMpAi& zVN%RU*0+NC$T-a{?f}kujd@wxp^v;s_Aty-DY-JFES`PI7={OL+f`fcQV<{~Th4f* z)b3osny^P(-kCveGPzY5w_G|TN0X4JbKSdP>u<~+TXZ@?=b=-CR=jEMK(@g{MXr~3 zwspN^~XGERIxiCAQojf}4sv#y85W2uHM={PELtDhMl+2Q~$bn5KxoypWEH9A5N2?+|$ z0cl#9Dd)?VNWILra|tCs>Tw>_(LWNz)t!n4()Hs_pMlz?!SS0%q|<(K3kRlZq==63 z{3a_#KLpCk;+I?@(1F7zdw(xJiq8=gtWp5KJiK{Py@{t%HM~Rw%bw45inoN@EW=XGBu(kSPF4OYR>BMK z*5J;kUkPbx1+H3t)Nq;G_!3e5z~tU)7f&;}@-H*Ihg!GZ-lCC3?l)yFmyWTaF-=#- ze#oiywYvPj7_E>{-}8ym_-H4+(8?{d0PJdkM?GHwdm?Hieo5?uaGXDp_EraZ7Eu=b zx@8dmKE>f9;u(v?Q5lryhk>s}3sQ*@6ECCI;L7SnFWXrQqtR;9O4?-**`^JOjNb zZ(P}%pYjq!?BQ8i1O>THWL-vABx04g2q)b=x>>H#u!`};5Vi)Y*Aq>WUd>AF&kraU z7{e7xGD8lVCP=!$?6*V)|0pS@8>(yRN}j5V29cZ8D>kn7JZb)I9#5+ziUP5N>U=?a zm&R<%a90_mn?oQb!LI)>kNHC#N^C6|N`Pa<&=&OhybK43U#RK`-s7>4uwYh_p@+4( zWKhEd5Z?qEmSt`BVyUUe zlo^vTdvo%SFWXrVNlw2ErpnNHO1#bu8HXs}v+qav-o66v7@5fiHRfe(P!=b_isi#P z%COz|`Rlj8n|#pi;1W5}$7a)#cs;y3U+L7*OkNr` z^?E?#``tF3#ao8}{23DDT`BUg&vM*di6nr0&!n$_uhFA3J{Y7NdnJaZ_|m8 zPgIjrcazOWvL)~mbT81=mu?{~6=v2Vi=t@E92gAZn2(ip3(W@P9FOB#F9Y+wxd=wA z8)M6+W*R&kLx3qRDHQkT`MrDXI(2)Sw|tk%hHD%MiEDcMWd^PcU%Ck$#9g5o2(O4) z1`=FzU6BN+F-Ev=ak;=yqr7QFw4nDPv0&1Nj~Y zI||*o+9fT9B?bpGB)PIDJ@`!hosR%ITkaxrmDilmQTC@k77IvVW;fd9qQ#iyVtawnH0D4%n^cX(1yU=-LW=@RF>A5lwXB-8g{@Bq6+x@g82zErBuYkVL+wMR+~DyviR`kN5#;0dRL zyB8M{Coa6$S@%qc3e_PTRz@&Kh#_vjQg~m1ceJ&<2Cvnh?Zcjj-jhL4R&e_hVR=>stCcQz(CcbTXM3sT2@s%h;>==`A>tH?ZMq8oc2H#mnXLiv=s_DxEZe1JjVr^DiDyfkMhnbM zW$PpAspi@mJ*KIr7PC{}uA6udyx16 zoA)I%&)vh&UJh~P#xV(g9ZlHTr-y-i^&msSgu*6lrEx)w$exjstTUOfuxn(7Z}L^W zI9=S}VJBW0b_@A7(QJn51}RA;@dMcOt?aT=cVWrZ@?ocpxNb#?g0vg6CvUoDH5nDC zXxrhP%Xx~~ZLP5+$)?lBy~4LgrhZgC%7L(Ls?Gu-MVsq>MkksE-y{hl-iJu5A0_o0 zZT(};$_GkDLD?gc10~@H7%e*9!;*d%;kUI$T>+CGmE@#*0cJYeaO88RLEU?ovyXUM z;O07fc&^II({&0y{dd${dWA?9mg5}T<9HF=pOPPwR!?tEOXSZeKEsNncI#oyjLx=o zP-mAL!BnKP^Tx~o4$}7ItEhP~e2Um63Y$P5)?g=MPW!il`EK!2VW6P?P|&4d|HKd% zACbA)>3-+|E+Mdy^6s`8+Jb1AM%drRK8DN-a8c~ua;peu1|vr!!*&*?dYDLm4-a^pw`!e)7E!Yk!QYM`=UI?(Fec_ z+l-qk&RYf%AeT>>EScKum>$vofLJOXq5Z6WEaIe|JmWjsG`+zVYk8cZ&2l&R)}zhS zBPc8Kl(!+}z5Dn>4fWOkVIaH-xlmLeE*vHnY7y@}wzoSIlR_S^$5zTPtdFB@mhDcp zv(Vy7bD#)Uf{hp+*7+u9X}5d{x2Gs_uk1yBajG6jS=SjRqiar;p{&pmYb*W79!1J! z_E1>$kX{C%-G0O z1>>gRty4PrW%~D2dq&zKsyMf*c+wr7+ry6Q%V*H#!({hFJpGzqBvVGE`sX^WyhF-!VXi~bjeUHa;hIVkd<8{%6fZx)s5&1m z^}8dVORH4(S+WifchhDe4+@^V478C>{e?j8V&40>=D<tW`wE>l|@Gw@!mEmvLy5RbG_>PH&Jag}wvjDX9hRW?NP>@Nd4 zH~R|*{Sey*;B?vzt_xM1+U>|r(65X3^Im85(DZoowBi_-mlVWeyLI<#SkQZf^B$;4 z9=Cb}>K`bFtoTSJ=1Uo<81f$gs)`swqQF#EallPYZ=U)xij5zE>@7N{H0d7+ zwHk_ID5Hz~jC*J5r8d95@kjYojf#o4Ep%e5!NlKiVcU^wmd0p{hJ_!|Y|xR1msTjK zIFZmN;VRq*2@hV^>ky`h01$Y1v41{g1DdruDNugw)p(b!Z|EyTMIptI1B)Q5fmAO< z&~A-mC4r3bWbq{TT3I#w4em<&A+x~A6qp^ptP`!?R_vTA`UzD5SY!3m-3!Z+gumsl zFq#|^`EjS7tGL4Qsj|_@X@+~OVJR$}pekG|d=b@w7$R7Lx4mf%=_J`g4He#BTJAz) zBu@CldgSGSx3YVTaeH_se8;lZX{^CVyW!L_V8Z691)98Fp1sm%#;Gp}#c~hrU$%X) zNEMSYJTr{HrQME8zre2Ip-r@LUIPzZI)s}GSD0KTG=-4yfbSL}b$YH8*62!(oSqZg=V zH$-`n|H%@i`cZDZP;SPGaXx$SB$1{9it*ATRpJGnWitBZk8@#WXG((YzJ9wLVLF%~ z%}db>c`}y|Ma_aZn4fwS6Ic6s`EjcoA$$m7F+BKg_Nct zT-Q|E^qtU*@RMnqFpr}hRRVz9d1df}&c&==QP79vbHPwFN!heop!w0#&xaX{3OOXHhF2r-n77M0l zCLAAO_9i<9EqAtY-^ZfnF%UHXuRdrAQySLogG%?<^4QQG95hWsYZ|uM>K}FRQ2edM z21*A)gZQLAKFxBMV%>C&^hW^SAyw2icKzW8mu&+~h`ywVGAN6yI4wlE#Q*RR;eI%; z5*2`yw98HgzvaL_6|zG$CUtdDCH;&l8WDmgi(S9GwRm{VqinaWb}rjGv^*<8#H3S{ zwHl_yc24%Fa{8j#Yka|}Vo`Wi_o}#n1J?_X;58^8qXFDYZ$=TrtQf%UGzr`L`}ta1 zw*nS<&)dl)S0s^{+JzA9;ePxI8hZ2tI1hDUt<68RG#EryJg?LLzx%wP(+(l`-Bw=m zf8OY<@FUxKFXh%XZ#@+|yo{|k%TzYWaFO;{Sv%=4hjXX~+dn?SGUeiqhV~F~5fwr} zL9fN##)$&9PVD6vIva8Hg&om#qObo=l!i4FcrJO#-jTvUm zIV!uMe@-^exbcr&ZKl`gvAu^Fi?qf)-&#F<3X5l9Jc#>JW8;J_EFP5CLWX5kd*Ox2 z40p^TJbE;l2~PyPRJ!9q=+MmWifT!6;|V>C-{R8@jQbk$iN>{eFPXs)J+NmEQLq#a z{fu*?sdGk-FsHYuM?LMUNuS(T2iS(cq<=<19wY_cv(4<_-mqw8HMN@PH}FdOIdn1I zfl#lc5=qFm)2?ITLKl=i40QYHG%0$#&;&gE!=%RK-F#-N=3c8-E|O4Y3g33NvXuQ~ z8G>-6+HI!u{Ufdb=J+n!7))wWEDdY}?kPsuYQ^;@TU;+s-`9+Hsq#Y4ajABRs~spd z$>U@f)xeR+cIOLXes@ito5YTD)82TvT1YMAUGcqFbf|Cw)_ zp`?l-=I+)V$ueh9!8mkWs6E$EAma2IrOxX|eN zZS3|;JqS?1_t3hyN|tcY1wXNJD*ik65xNn;2Bvv;sB=NuqE=lmHl3pIv-O1Q>-?A< z!u9pe=YW;*01O&vvZTClsJz9mxde|}H`+K>LwDl4@BIwUSTosI)z`y7A4dSZ&#gvc zaJ}r44bd%{TkuYbzjTY!OWi2pA%YJByGhI9HmN##vgd~<-|Yk(gzofR4L?yS zW2?K+gwg!F6>_m}uA6}j9X9)JzLkpG6PQV-3YW~6F!0|lE`wNnlQp>}col(mly?v9 zktNs(C&6XKY}K$s1g6vJ$x~H0Sh?U@yM;kVNu7dv2ujeNafuaDhX2yOMC+JKA@RaM zD>lK?vGc9@a2XyNb+5u0UykVcfGJvwKs3C|n_VH|j$-m8eZi^Pdh^DRC@vEP#ogm6 z&Z-+UGjG%Fl*y}c?;wJMB9F{$UawEXey6=5;=yLYi5xlCvG?!r3dmij+gZ55@k{Nh z{B*7FGuONt;yb(%!iEFOm`A`i$joTGfiDa`B4pnoo0Jk8OU0&xZC~Z-NSnXd_278t z5Zkwv>25hKBOq9Fn30XbnwK4ZS&sA*0g4|V~ zo-RYQPZ)>6A=lLNUqH+bJ;5bDUa$~JfgB2N9DH2jt2i?(_O)(r#qadE@*Q9ijZOA& z&UR+LS!pdb<9LNcc{5P+bJEicOTDbMeEtMQUtd8n)+6{9&`|X+#`FcjHum+tHr^F+nvIKiMY6fF4H#gLpl# zq}r1ZR^7Vr$a+1s_j3vF5IBi}p!68{@OGd0*r%V0*QUUJzKDLpO_Sg3hDO__eWuSp z*w4izHf~ln(&djSbMjheEVFN-v{cK?=J5@*{>_o_bP>BUx)!3cx<=29K21DEaPvf1 zyqz0(5Bbf2;iet-qGW4=;th0)Y7g~ZwncFZ_`rTRoGgN_0JDZ@X-$K7Xw0YrQrB;6?l_e!0f%B63|g?U1wKqaJ#%K_yAq8v~0{2N7rT-O-{q% z-W`T_r3k9vx#?78c*$9CZ)N)>n%yD9-DPcqnOG|H-azF*&*0?YP@Z3#X?qL9!Hp zgIW+#h0?<97`JBZU=ZiA#&437Z!sk?;$BW;2DhD&3IobvM7ZjjLRTi-stvnyd-=mR z)+0U_ig7JU4kB1B43HQK?k(HA0RRnj5a3a*=g`>vj~a$;6668&31f0$SfR+fr9lBRCcF3zOEoR z@}zQmdkdTS8MbkF5j~t*C^?pxY&P`ND&%xw?kwQ$ zD4jYF-A;cl?^SrFlR60EI`Gx60ZD=$k^3s{bya%VQ1cPSFO_y>Ui(e@_9Jk$qXef$ zjaZP@4xt9N5{>Lj6YgN0zHNY3$v#bt>HYB>x9J*t1qB!{+Jk89H9TH0ct!_ync&-8 zk**k>wQX9cpmvS5fmk?S=z%fdCMvxqrjk3ZX{{{!>=Z*sZkJ9vYN)pGq=6`%pnEL` zA|;6k5DxKAH%xV@V%J4v-4Ae=bBuLme-@@@+|I@evOMk(Kr~dzwJvnNhMG<28sh07 z*a(5Z8Ac{%#*!SlLv*4ThnY*d%6GVG2EFrcTZO1J)%0~s@91!QpxPILbX}zS0(r(7 zL~P!f{IWa^IKcSEY&+%F4;nbYbg2V7acXf9(PPGziy;1lxPip7H*4U|rf9}w zP@yTO_Dij}X!-|GD-mQSfAqD|C)BZ)DYKB+*2U4C%65*6bchLfYU5XaXR}lS9?;=8 zt+#%b24rBOIPQb%1gEARvVN41P#pSi89?m2GCv&l$uj?gBPMWXLW`>{rFH>qzzOnE zf1{WC-Rxc@?e=oJ{u5#emMFtcJIJIBAw>PumW_yGwDQQ*RF`tKJ67 z$;4g)kDkWn!(|C`w;j8ul@ABz7k-d-aVmk-t|p~tNmlPu?~PMYlv$q3Tz6pGjH>f zb&2TNavp7$s9TLyjfqT)GanW=u2(?7QqdSUcr&b%_qQm1`OwQzGglzSr1; zJYj0-jM9>Xd0qV_v24D?Ab$8Y%uG!CB@0v$!OkL^Ad7FMErp zgc_d#wKat%U8YDrZNCp1agCV=+D_h?rRvNMHS7^0@yVsB{-#N|*zj?p(Zb^|nuTn? zFe<0H*#;pN&JMS~VKu8NT`ImD!0=+=qEDRl+xboB&H--z)6A>o+3Nv_@W26YPrt)? zOtjlJgR4pPVua*!@bM7|q6R$O+_q&Uj6G$i4UaX?8U}ZnNyixqbi@i_QM62UwT|Gh zC+J42aA4PgXAVRR*^>zZ_g;kK71g1czkB?on0$&Dg+Kxb@c4(u~hWO+tE#AoGr0}3f|%QqxKLctdSeD$eOH|%GLhW z0E_Ag9a+EEFgfCk0(j2l8N>^`;)Ewi`2JeIKk`(Ig9Gm<+_Me0U7|g^Tf|3QH}3lV zlwqnJ&=Wvc^G5k+ves;}UB~sqNvX*k72ZG$T|bownZoT^-|)=KuVT1n z4DOR(eNg@D+7%%64spho-*O!%ntU*?n^d*F(`wR}T3lE#;L4nk#6ObHEW)lfUCrZS zQBk(i#L6jD#G>obmCkaAbgOS3czKl2udr*_NE`!=*MWc@;`?{l#vwQ_ijXSq>WUHsK@f`-R^ACiV8qU-HAmSIk6x6ff*F zfNb_4+_~?|(O9Yk#`%&9rv(daf4Y0ie8{SaJ%@$C#MBf)$LbS~JFsH@|1fLUBwG|* zt2dYobP8?Ako7vL+}YB~%#r0P$BOz7#1t;j`lUA4DUi5NrG~yMZ*lk1b)2_RR3q(KfH16So$q&Mbq0WWtaCto$7Nu{Z@s7 z=~Lg1m`u;&^SH zls2&}P?Q;heA)~j`ZO1njXoH0o7Bj-6r?Eb>?g0+y&{!Wy>V<>tT;m-N6M&YeZQ{~ z<(Ltx$!*4M(dTs}!IyNH8*=}-JM`+MoE}vaQ&t;rl>OB#bFLE8YW|9pT2(K@2$5?v z>`Q2e$`8Yy{>*0d|IRh{u*zenK1tS%>{boQ6><%ozH_#lah!;B>y9xNL^%};6c6TV z$dk9of``j>i>pnRu&}`bvps4*h_(};Z~z(eSjXKrfl3A5&`=dJrULPF6ioP2HT#0_B)yos{s+0)UKhf7037D+pAQ{46pD- zJ4m}0Pi|1#Ku}w3bd~Xrl+9w8&5oGAj06*t>?W@uKzu~c#>LB3UN(Nc6;<)^WF-Bv z@dOhQK1t$>8-CeQJ_*vg;G~ZSo$;qU%LC2hoBs)12DZj*&-oxmPBq4G# zo#uge--WRJ02bual_NQ3IMLxs!-e3vE&`4H?mzO1FJUE8KcYL2qC(S+=cN;OI^c04 zd>wD}($s%kf(fK3&P-I3Y+YEfdaJ)W@1FaWai`rPG&(dHX?!OE)k!w~&wOOK>Q_$7 zZ4jvuuP(*q%q@-B;KNq`f0fhoBg-{}X>lezQs*b2mO1@vX#yGQVEK<2O&?t16S3Za zT{`y86BH4}RsWLVo}E~*s5lt*_I&M5z6$1f54o5rno+%UxP&y@1SU0cwXpKA&Z;eG zTHHzyF`-&3#k5;1KHThI!Lvhl@EtGeq^X2e6;4hWo;vmf{ujaSk4 zKHVYkiIK%B%^jQL_Ccid%&cDZ@?`jwcNw0VD2 zUz6eObAM3nhaD#50L@PIMyjObmeaLdi+h+!v)8NHDI4Tmu2?U9avr;^TcB20-bONv z-{xAmzkkxu4<^ejmSwoltw1g9q+*YtD<)Lj&i1P( zsGj58a!u|%x<)sNp{`)*Am2{FJ;I{F^@B!_M{$LC0*jxB1(bFN&N)?3^Ex^)d+(-LrWJ49y1|1KfgEQ$qp!&&blZ z0A^ocw&-JykdL$#$umhaKlO4%<1AjR{#Qe{R?%pl+pJ^iD2<7U&bL_ zQy)q@FCID>jp!}ZZ`w@aTGZxVqp;b;dO@_a80>6z0ER=aFQ*^B?kpd=&7{$FnP#|R zpH9@43h;fvx4zr|^X&fPu72#Wqrf_}YU<(KE|h&^&;z@wqg_LrX_{nPS#p5FcAb61 zv+`AB>!O|)_RNV<5%@|h$-0y<$ly^eM16ByJ>XaM8lcM*8n@5Irt#RGrJmB~X#+F5}yUy8?H5yOqg7kHmq*$awA@8FpUDF0%5U z#B8(<>i~aX*KM@pQPbFOnf7<6f^gun$wg0;YLtDG0156ECi8GjUb!Pvw6X&zAtkj5mfHc(lbS9v&XiTxRFdy z`jV0}t0<4&*}OTnY)R(08DX^cd7nQXG3c6ZmhOD!hDGr-k>eilj6lTh)8q#Sndm|& zslEFL*9UUWKtHuGlQ`+g34p9sMnW=^(t_dbJfF|8%Qow`Az_f&QHx%(ZxeaF6zTI$)Wbe*B*dw}mYhxvMM&u4tAQcenw(u{DQk zzOoJzZ@umn8Txo?qVY4~goAluA{ibw7>4-~_BYG#gN@G3hFyUt#Q;Js2vjW2prpuW zU9Eb{Yzx|dU)LIMOMgsmaQvic(%PvPr@125wZ7^NRy><^-wz|2N#9Qr-CLZDmqC~{ zvxrTKv`bux4{7GmY877!W(YYKXUyBc_wA|A+c&PP2*W0JYua#6JU)=cT#ZqDBb6@c zP5dD_MqU>E+j^5xG4%j9S`~)tv;rY@fy#;5%LqAJA$)9i=FdUeec?{<*U1X@qM+np z{XU~1g@K1gRP(FuLb_ID7Qp@u$<2eEo)>IpHpt7~<9FB0wDnljII#V)L|bU0s(y4@ zB4OrOl749BN~VI!BzV-QAb(AZKHvYjd|U8KSpdg7^&fv|zT-W|&pb5<{332!`@-t} z^_}fA<=+FVw441LW08@;u#jG=+stJ#V5Y`}}SmGV~4BW|`D3*(QCIINo#- z+Pd=Y0Z*xqVTwn7Ffen1 z%s#OGXQU%B5xUWzl1Q~F<bF0F7i+S; zb+7syDK`s;+!TIrR-x#3VKJYC7k*K^k?>iL6Vr%W7T5FNcdnstPml0^X8BD<;>8O! zsF`{Tu)MMO#obi5;Pf%0p!;C%?NaMIrlDgAN>+D`uXw359Pn*TbDxPsD&h1L+K`&L zXZpSg(>unz0-)VPLPd26 z4lVC&#{(0NM4*oiDq%)V6G-Ng`vvCMaZ%1Y)lw}s;;?7CFezzNYaB@Zu~7c}+sxJf zbdsix<%R6hK8A+mWaY6~T2+9}*PRUFaqjJpa7FGi@$BJ+>|V;B<;zDqI?%k@xO1tQ zDyr)9P#x+k7~OO6FGyM;#oS%%Fiq$_&aZiu)%vqC)W{Myh9-DuAl?cJAR0Yem@LkZ zfTP!HWVos6zz?tdSVS zmiC-?VkfGLl3jz#7hhnLc^`LeQtei{ji$erc-tB7>=?9l?b1uLf9|=H4N6+hgs1M- zpsEMc;+Vrye{Znt(s(6|j?|Qy-WjgIX&limzwH-5LGCe(V+CDw+~ON`BjL&jap3KR z7f8e+5Rta!p&k#PxSWn4PWs_YP2J5lG=dFQ;1~fk-8-DTWg0w*euc^sW@z}tte&TZ zk2@r$vWZ98`%Ruh$uIndxVJl@Y6DQ+8zFkWg=)YF!G#W(r#%px2Y@0QMd^xSL0vk- z7(n&?9QeowdVUfwJFqF2m-Z$j_94GFSh5t{IH{h7Cx-g=rl!Q+kE?uB^=Y;-TzIAW zfP?6EXy3uJr4K=#x4zQBe_Ttz3_AUmiqJn2*ow%oX3vpGgGk7W8xk&0^-B@l1c@egZwtRdh?lllq@emvx%JR;%=Z zD!9i7?snJQqNYMKY~V0Oi!1#)u%XZ2w!edFKpC1|7OgFsq_Dn+1zpKHYB9&8Gf}5M z!wh|1k}Fvx-T+*bu)fF*o7y8_6)+0uF2>LniB=I{|A?_bX`dHako5Kpe`B$me8RW8 z61h!FgO6KFVqQnEzqEtmdmiC-Igfd~n{3hKtg=9D$zWh1^HUOhgQ~q34j|6l{Yaj& zD6k7d(N`T%j@+2j80S8Tg$37>@LFJipNCjbg2bo~3W4yI#IZ3=@ZAX{+A-7C257zA z*L|II1>M#W;iUNv*emf{t3U#?qOE z*=MQjr;*lP(@R?82C-}SHi>Y@=@PEQ))Q@BkHm!OONK9jc<>|1t9|0}kATQf%8)sJ zg0;rz3%n@eZL(l|1`2ErCOgUhNMRK$1X(5}AyHMTnGS;+?t)SWOn$EASE+fV*59?K zIxu~B=<(w>(p5gD^doL~0+nf0HZ-tE5w!QHqgCx)$VPBb?RWATCrUJf0YUolk?58+ zCxtjS`tErh9k#hj;Q9XG!%S>pTvW@el~A0r7UB+@ldP1gIb|;TmQ#9ezM7Ac)2DJ8 zbc=L3m63+4G~3V3rf&=Am*^Ey5P|ND3GTg2cNE6?Y0NtoIbkv4u`Wh7``jndT4*T( z$}ynOY42+~4AwL#l*n#HP}5A^ul4W=lcqHgJ&o$1YlHtT0O5bEih|htH+n{PRIw%k zXGH(j*G@cl4-z3pusE_Ms>7zUE&-pf5`#Ij&RRJ-ZS7K#MU0Gv&W4Vax*FvP7~A!u zhhqyx@xX=3dkv z-o3}AIDTHYdG?iccFqQUMf-c5hMjq|DX!>BModg>TIRIqUX*#s-~CgO=O+im_~(PW zDj0ZLC^i!m?B0!MuDPXqydO1XlYEaL%06y^rGO$d@+*&$%n~(#$VT^%u#s@3tPP^V z(naq+lOw3hoRle@SGphRweuQnDi8Q>jhzU#4eb-=&pVfc_jt<&!U zQDpp3>-L=Yf9n`?ef$}`Bg_4bp=kMd|KVz}{tBh=@4K#iwad;0AK&Rg%>*LkU}zhp zAi|6Ay(;7Wx4FATCvGq6(2F5cvUbD8p0(EU3AR`-ECuSn*ic917I`&044xp4XOu3C7y}ZNU9S=C#(tI0uyxULc)MQS26O zyWB9bykZD0hX&d+&lF^OS1U_*I7HY)t-lt}ZMV7U6(o~flJ|UU)nGM)ae6PFr$)(8 zKa-dJ9dw?5#B!%7+K@0mDW!do{;=cL*4`9St3;(KD@pX4j^m&Id^e$5cT$QN+#Lg- zYu2kOh0tB1pv?KlzlHFhn3M+vO}{z<`-U~wA8a9x(K8d|n;FeP>zwYHSUneqVL{6!)W_qz06qrg)nzIaM0!t-G$A zhmrw~Q@vlWn%_vRgdA9Wd`q26if3C8CQZs=87Z#gO0FRmfSKX-%vS8r_y9OpzQB)b&B@Wk?CzHv(RsTW6tpZgFg}!y zNYj%T_rf`Gi>-*d|NVYGX3_TE(x{kopZHD}!?T~sBm%ATfYUI@@@}>@eJ3nrgUk0u zTRj2I+LAS?n6zDbm=A!7x(O6ae!KUH1%o$7C@^9dP;5$6I3wC|J}DXEdnIvk%NH|1 z^Rn|kw*QEuas33lVeFx{T~9Dw9}IAvAHJJAlQ)6oz1n>25x6ZkoX(|(h>Js>ZuxiY z28=fGlA0{~Z~iHu4+gatam;fn*G(o$8luWqR~$8KEL|WA z%>KRM*dAIX?taU(vgG}j9mqxAEP;)hyj!_l+U!x*l-A76{P+wIF)VP!ds_*xp8o~Y zyUf2M1ES2vNL*x@Y-kLuFRyZV>2~M2aH)#Mc3MsHKq`UupB39&%jcSxs)6>s`)>mu z|JSLVjIePnE}ANrCf&h)JJM;Z#fO?imuuyYlk5U5efS|Rv>OmVQ-o5DhDIw#ji@bz zx1@1P?OBl_@W9V=%E!5Y8p|2y8gBNXCa}YF;l4y|Gt(_klSTxClQTuRw+v(;z{+=z zCS2#See>)}xzs|aM9?baZgzhfnUV_(u{I+9CQW_1Rswh(<32A*Wcr;sCjX1 zdbKk(V;=we0P?qY)qlP8@Wym)T9`iZ*mssveX-l|9JrV!s|DJ`Jq zBiUcJHEfx37?r!cB3O$3re(I#Stst(mZpIRbIT~P;u;Q1wlWAnzS3X7y=*CiN?Mxl z>W(p#R4gJVXR8G@ZL`iNN+mhSiW4+rgU~bu9S#O7w|Q0yBb-fLeb*;Xh ziN`8nCJTSoQ(8RCg)mVo(l~it8+VqRu!1o75-g(94QAq|06;rSo;1jA!PZ$NJ$!4~ zwhy1&lPdr(K+wMmYIBQgBV>AAW6|m4*Jmxc1LN@L7s=V4gD?40Jequ3SR0(+4r72q z^CusIQxw!8zTW52wb-aWV9EULtw65EWM1sM{2H+m1Q9 zea@>HvDhn32n_NgH4m{V5F}R=Djx6UlX&ehv1;M@;%%i7m*tp~L^0ux*~O$5pmL}o z6vi{~juL%OI2WX+<`8^3BVat-%XlpxBcsKOPvoynUo@dV+%r8maBbvOAmLH8M2M0` zFC$R%k5MG#)IisF^k}^t)8mJA>XndVSkw&OE;Whm4zaOeYI!dA*IuEh zKtZykF%E$~^A$_A&z%JGo~87fZ9mMoUK3EpO?H0#$(WLJ-jF57#V1@CZ;N9eHaoU4 zt&A~iM`$a+S@W8Ur^JqmHyn*aXRJYN+S(BbN7+45I&#FM>cGpOt4Zt0**ZBxHJ|hm zm+Y?+l*f2D1MtI*EIBjt^r?0ZCju5d@cu)IN{X{V2X;*@_f`QTxQMGJyzXP$X={b{ zK)`;7c7FVY=1o-rrL?xSd~Q;yj7vP%-#s{OsNro%o8@Y=HLW$x^{9)IJK+wcn@6L` zQ5_+LwwUOU3_`HAPR}b#pQAVe z=3$5CjS%Wk1l%8q*dVZEVWP@J=64Ntqi#0o{+-4C#$i8B*sU@(jWN3AXH}23mlcW* zs2mZTt;`R)m%x}2*c&rumm4b(Ei1imc7q8llem*GIyvYzq>wM@9XP2tP2f{|%YIkY zGj~|UJeStoC9ju))5CLX*PO-A@m>*6ipPb*(Q2i0pr=<;>~dU6CwGyQBcOsmuaYTt z5y^)^K&9UtK3ApM}-S~v&al3lWTPrSN4glQkRHljN@F-^DX1nFY)Y|5pR9+so*4&}w zbgXXkZT1)qyKcHy7+sV509TVYUd%8#9r1Q9lc_B-3&WSSqEyxuMdy)oEC~-?VY^3C zCc)8C>FF;B7@){nqOZk;*&E~MGwz9WR==HOByR@xnPOX-1%;KKyS-Qk_w*00Su28X zP>-Uf*OTv*RSjc9`R&Ae^)P>Utj}7v<^wn}F-$%IU6IBz@pvMcoHavBQKhKVhZH$2 zQZ1D@=BfN_7Ti{tWe^Y9x}ckllQ>nA!QETZNT@XAwRR9-)Qr{EXwDpSx3q#{ls(uq zwUbxN55iA^GPYVR%IKRFzN0Nl(v<1ebC=N+L|2oSB)wJh;(osMLc%5LN*aXGcUbRJ z@<{w4ij%3Phjr7=Y6!eA<+MbC1~{D^LIfUt@MeRplzX|xa)%=BiJKw^-cB)*VaYC&V?)kH&NW4kyt(A`4v7VGzF94oo|op$%Y+=E~1azY9$XvBQ!#$T`Ud=+rJik+T|hp4M$h$EJh!O&GgEtsx?c}a7(Euhp21gow+MrO3A0! z%mQJc79n!?XR(~ps%Y}lm!xB$R{INxHurc}a_$FvN0>m%!q zNVN~F2};p1DDe+T@=Rj6q~u;)I}PgxJVy>5KXhi9re~20T-DFKz4LW#mwE9U_^PgkOH*ub(fS_G~s zr=Ymms{rQ@I4S)=?lHhhYZkroPqjvBXX{yXB@46-o0a~I8o&lzm=Q@R~3*_-;%qKq}4Z4 z&ElaZ853KPpa$xBVgKCGMY-w0h=VYcD8?+;#8yYE z-auli;x>y2XTA&YDo5P`yQ*Jx)KG=haEXwWi9#kL!_MiW?z1vfAxa;08~x7Hk8MK% z9VSSV9IMQ7k*bY_`xz??DQ`SQ^vhg&BKLuh)Cvp^8}x@!H5ZW=nx!MKy|p^T@!Sc7 z$;?Jd6?dJRZv|d#Um!2JKKrv4?Ywy;e6mY_CAn^emP6c7k$3|{FaQ~z77Y&wZ34a7 zu9fCuY#5?jZ$oa$0J@HF zmh~OXdrsA<0#BqL+0T3g3~q9F%1rQhBjfZd=>dHh%$9)zQ&&w*W0zuqM$U&9%9V{5 zdlZ(tZa}tnoRSPl;;;{>lsWfZc7oD*VlJkALiVy&0=6;|Q)<<1^ieaytFY(FYfZ_! zqkS@dMWM-n-m44)(3D4a1)D+7o!u+^H?WqE%8?w~*78z@9)Pxz+N)#(hQ=wy_X?O^Czj;E=WVDk86j6B9U$mE zbi$jXTY&{OdYXEk@-y8`*;@h?Cfa<~%k<1(!SXfg{m=yf0T?y5K{aj(5N*nhjo zW}2tEhN3Uw3csh`$?32X0nrn6i0Hq0@=qsZi&{)h0ijW_Zl;+^#+I;qm#whycjiuQ z`5cKc9gYPge3EDGr6PS2ziV^Xl4Tft8?iLbp9y#@;VaO3pqqMucsuFzQSM+tsiVZp8Krlf${h z!-=B^NO_9Vl=Cq5s$FgU-}CldICg(sCS1)0kB5)V(4B_U71qUwMd*C(>2LqE%p?w4 zh@;UA_+`I!v+jQz(EqDb4nKI!%z?e!Gpq+-Sy9Ii$QetcAm8HQ_VJp}uI$S%KB{N$ zf=EGQobYxZbx*+n?k_<_g7mK72`_QmGRI`$x zxr&BOVY%*+zAh&2_f)*-Wf!(7?%ng|qt8IGQzv`p)$v2&)p>rU;AnUKp;|XxgnTO5 zRP-ABY2K5pM3bEi!W<6m-4Zt=J6H8%>my2<`={w!?qwcg109Acl( zTm{HuFIJV!iMQN^&Qj*Da<0%WXb&#-V}5!)=l07?PSxYrCcOJ?;&MR%ruUXA(rn0s z?YtA!7>;|>uo!Dr?Gao2^!&zdyFTu5BO^6ykqAUUC%Ew%)WyT|G`ZsC&7SB3bnt|8{G3Iedq>w#jOFMtr& z#M^FdwgXi%a&Lpf`~@bWbs5V7GDFtqk%wOs;28{K-hhF6lSaja80&9s}5(j)O8^Yd%pu zoP_F^bd9Hh<%6)NM9QbZY2_d=qnIkVlBG{CtjOeXtF@>lZzw+aqmyHW2~`Pik~+E5 zyZiq7V=@zl6a-@WY7bI2Vo0lGV#FpIkG>mxk<92nL0WFZe<0_GgNi1C<6UoxnysA* zT3{ht#pC)~cX5n=qmr*1nd1$9tC}}|V2w7%4_K@@Di$k~)OGPfE>T^|>y$Wed$x7W zMODp)5(H4MxaxR3rz31I_!N>6|3PW)9m}1RTP-V!1G0T%V2=$)i})G^M}SKfN^E#> z#?ixCw&|pxh8`GfnYvLO6r9F&02dsxe(j8_x0BRILH+4t=W;^e+1hO?>NL+5K;sIb z;l1@P|GX-~W}oGYmTbT&ep>nOl0jeR&+jQaR|(Q!9Jw(Oo44;0@x{YM8dtSBS@J=Ri*KhWQf{e-xtzo# zW?8AZT~tj6zuVUwwrMc)kvMhOGzZE}vT`oyEq&GdPww*tzHGe@d|PrN!hB?e51O+i*8W5RIbLV|!uc)kd$*y`8gg z>*%E=F*6w&5~CyJUG#J;?6wg##Ohc&m3PLZ?f$Pez!cCWdpEf|gq``+r7jb`$d-p~ z9#(Z?h{&$oM^zw`@+8$mVCR4SsX~sJLc7J*PgRt1t?>JHNc*|H$&$+Z$mb4Qa_QR% z-`H#$3EiXQ&CC&orO&HM^|`$~2^L_md!Ji(NHl`c=H5s6Fw0szi=4o-5KD)e)~jR^ z;;9NcGlhY9c&`8e-I$N6ci4Q)x0S~kJovr4e=YgY^ij2A&67;d1=7f}c*l{hyCSn4wdkP|DZI@4DtUg=bQI@xiG=%aK3bXdxf}9G@T(uNj z^7i92=H?pn*toRrIQ46b^L!44z0{9GUpq?~=j6N`0z%$#r#+GtI#FT;hW}y6*s&4_ zhSG}^CJgA)E#dysT)|*K{1h}6^NRb8M?uI(IW~* zw(_Ekq@`)tnmXz@m3Xer2BohBxLY?TKj=+PeFlfBl|jMiS*NMAK0ufQA#J;@t^qeB z8OIvd=(?d=u-)0Us6~aL!oqK5FK1*5Sk(?VDdjrRyVc&~eZ1bqq{N4RGe=WlW z$j8i(shfS5;V6IR?AmlZ(9Ef&tegUB@EkT~?M!fKPIFzQdzBzo`KwBcBUGs9jP{IDGaJvY#nhx z)HIch@k$0@heUA&n zvjiu%`X$P%i_xG-%Ubbw``u2}v>$1kLu}cm%U*Mfs6aWkNUUd6j!dy7lzwHf82*izzh99;Vyw;;u3H z<*W7cU1_vc0|XrS6kz?7tVcfJQpsH=-aKl7x6OLx1~M1GQq&lB`^d7oNxsayTDyx& zU5Ss3=c+C>1&@i(70;?@ps7{(3T`IiQ*^Rlz15%%o@68Xn z;-o}vzs8bL)5YoxcblCgwz=SZI^Ixcl;&t|XF6_aPSI3~mKoPiL0(|C(F#g=#g8n1PZw?s8~&ec{k_W^ z@%fb0%IIMTr)Se$xEfSb0*_4%WUrj`PKM37YbGgz!2`Z)^t7y6CuBfOO3PY@gud;F z!Flai)nBjtNVy!8O*UAzx|K_!tL5BGzWa3!WOaDs8}H@Ay*y^nPn2+zH7`Cbj^8)wzUbkKw2@^Md|b2UuHUph8E_|lTMq_SS? zW--q!Ks0=TLKg(r^R9R!5^?SrOw1$Rp+w)iHhm6)Lu%huo?6M~#!>V3a)9fkc1rf~ zJfg#oMXE*92IfzrEL?`I0KW|i@i8ZqQL;C&ZD)$En_qBE^S2a4!#m072*hH6`qjXf zEqiH*O4|p>qm8eeMoM8LgUH1_8OGDIs(eaHl%B);^a{_O1Wp=PTr`rl5_(dtd zRTE-UDH&$Gx`r@iN%wo&KAV|!%odZ}TiUmX7|6okCcV2VP5;tXY1KuRBlfa|)8#7T zP>Fl_)W*aP;HjgtdRDI`qW^*ue;aASp(I3|= zF@!41n&30?8M^q}(hYv2BS$!sH;p1jrdi}e=wnGBMB><(S|<3!a0B2Mz(QLOUgeWX zpfjaIAMCkSp37&V^vwZ#!m(H)z;Z%&q+Ah69c z$Cb|uv0Q^cDKRz)(ePv3!Pc@cH%T;_3Q*2;LZV{5j%6)LD*|vQh1>RnF7(n@U-6#W zwwE!F1z0Q>K^>|^h@H6{d^1QSG!6g)UuYXd#_6=iQ4i{<|3#*?h&eiEF1QV~SOqCd zmpIndFPmyoKJ#@@V`(LXQeBhQ67X7??9LyV}E=fwWdkT(I52dF$(qfLTyN?J_5)Ls2$7^ESwb15n zgCo+oVY}4B7~SSPy|#-576sP zzk?Vvf3qcnzyQ8$Wa6ySbv=8^ITibF2Ce_!`Th+5#}B4P+(iGQ!m0Ye|4=TUMaUA6 z#g7aq7fV@^Y49!-`}M$m6;EW zl`!*7&xX=MVk8J4L(%c;|AzR#KLslFg7Q7Gs2});t{M(>$P%w;;d+n8g9TO8ch^Wn4z-}(5z{;^O-WP$)Fb(YD{ zvxaH##1BP|FtxN_&o1?vZ{DtCeT65>-xH4mmLHKAvcIHe!{Dz$?d6LjlvRf9tn}LdfrMwd8sFtzCZ#M1pqTe2&EMyV<}5H37n}Pzzt{ja-y9dXEcAo+ z?y{Cb0(S66wz3g>YX?~@yK2lF8$Zxj6jJA^p4t$|2=F@|HR`>>@3wtW4b#dZ%o|_) z%zmCq*IHYc`He0S*fYp63Zxj&JFIHZwQ#rM(YrncOyfihPeO6;r6yBS4ljwgc*#pP z>wkULneObYCqpmh5{0dnn|-Uvr`uRJjd_BfR7=dxzNnOQfD>!ZVI z(Hm!3NuYnwxYi$+X7pHu{J$IWup;&d--zFM8~A`Pb(wQ33lr+&Lkx|(MjPhbi|#F3 zC(8Mq?IN!27rN8lpqqC>xZ&->7Jp(TV(W>dnf-hl!|O>2o%ge^!}sx@zY+I4a@VZ; zgjvWmq+f_2CQSu&!S_=~&mM%*SO425#~thYaB+?(`66{Xvmdln)l}~23Hbi0*`dVm zS6`Kjxl6nBccQizRT{2-@QcI|h?oIJKrbu)O(29rdiH( zs9$3o+Li8BAc~EF^z|E9o#Mx@WZ$bpbr>dA{dKzwpAuS(Q$ z?uAW`GS2=~<4-Po?9E5z9;#bSx9rgGV=(zsrag}h>X(QE;~-vm#@3ji%c>AqpO;O1 zf8kUo;5`xM!b{K+G35L?q8}^!h5Y^}N8@(;z))pQE(|}M)?nNF<27Y|U$OW`96_z0 z9Vr{)mq`KAo>wj->&vpX=+rW{I?T!lo?6EiL;UPf4#jU#q9DI3c!!ih6hKlMz-Y;m z<*wiCJ}Q(C$9H=# z?m@hYSvGH*;vChrG?zd715Z`}j& zMdHJUc1Dso8m_88$CnL`>vGTU5($%GRPzSie8i?woX!r**$3RFIx&|-esF5}IR3f` zyieZ0)V^ZG%+ea@_|@FUwpc`1XQsnk8mHF?kbX}V&}~7Q)=4gVqJ4itn&NeBvHirQ z%mDbDfT7A|5~u)@j}2dZFT}0V49jRP_EqXPplycji16_tDg6Q651hf&h3InWZZiVf03`@^0wNKiQBaCd#-ML*n~^DYM73HWX+{?~U*5oyj%yd_qigC_bK1vk1DUu>73w z*zz8FZ(Z_ZjRo`P07MMTh(^kQjKE$U^K!Z0*M}!B9I^`uT2{g{JA<+>wVY6igQSQ6 zFakheOh-g?(tO+>{~+@H+l3@DiSC`BBgQlD?v|c;(%02?^-3YvuWA*|@9sMFb<5Cy z9Z)J;O>QgkjdM41B6FZ}d|00UtZ*IHXLia4i@Sf7P|;d9Yuj^+17)ppRyL3uG3B(r zoZTWD$$hZCT;KE}r`5+6l_)dPY`Trk&H2&82>%@24QyfZHrhIuWb}OBpa0MNpvzi% zYNcDVpmUp0G~29FBvmH)_a;gw0)n;l3c@GpWo1HAaTU*^6WL!KO>)MKbSJe+$EScTplkC|N$M=jG-|O}) zn9nAzh*)iEwTE`FvaL-EMlf5&01t~zSj;7O%XcE zC4V_G4D)&F_(DPnbKP6qlvA_4+w?|T8}PJ;)zs|9c>A%&Z{K#>ul;S*dpe^{Piypb z^$%_6;-9^#Ps@+U6nyuF0}1)>Nip5?-b)r=t=m%0{*`Wy4pL@+8`|<}xc1ddRjS?6 z!>g~V>zs}*CUb5)2WRsduzb3j+DUu;X`MsA;tlWRGwLT^GmF=T-tF)#DD-Tvwapk| zx7m9^SDQJ!RkNY{^U6s?kG|qlsnc)5Gt4d^wXVFH+H)bi9(Xx2pZ9+nHqv3CA2EfA zqfO9kHCx?|1Rm=B^47{Um7epe_|g4~JOZ?7T;fz+n-SlCN8jRwN?vO~UA3=M`d{|g@fA%>c6uOD7aRBaOMu~WsbXME<<`XdZym5q0m3p zwWi@iYSx&=$@+ZYdD*2;?knui_Uwx+QhB4Z@>7~AH*l|BhZ0cgKEL8{{_fA z=frhe`~9SxZ9~XW-_y+0vqI|YI{6BHT{kNeJo+|=nrd1bNAhjW&HlSuQQ@)4(aru6 zImwBlQsMEdt1(C4(XHey|H30Wr0E@We;HKP2l(9njgKVn)e}9`z7bQeegNL!+vssx zWb#dC`bBZObvI5?6!iWMc%<}C?-7(fTsJ%00eo)ntn{11dY16yz%K@$G-^iGyUMqw zhl=!Z-QKgOZ^dh@M0eCQN6_DG-#Ft>QxhG&$OpyEEnb_+JX|!QWBAag6R)<9f7qa9 zYqGC*x_#bqr{=CDj;&5EyPq@ZsC3}KHlgp`mLji`jU$%T-`Z`_-v2JYuM2FhJc;UB zsM~!x2PX3BDofwiI;59;^pt8PSKW&AnDZ)s8}N)j8Rs>N@Hbu3Z%%jn7|CyTCniY# zvn!lMdG%;J02HfvkD9MZlgZ^z&qFt8lD_!GC7If8_S=<-oHMdWPF3Tqd>qVOG=I$w ztSzgZo^I!h=U|VrvzCNC>(vi7-+n#0{Pt%lPqgEiWma+ilvDgm`qHF)0@YsaUrxHD zsxMIf_;juT=?zo)wVwXzKU+V1{F;yUwx@qixb$2KlI7lC^{k}I@tHAs2z-?Pu14)U zy(5Dj&^h@?liN#^6Z+oT9Yn^#-n8r55+VNIu1jxlulzrLJk$r8QYUYIaa^u%1?wo2 zpDPu$SlPT;{fycP&koDA@hOeGneJ@*{Lgf!=`5C5fnIepi9*t`pMf05*nRFpeg6vjS>|hnRw8WsHYC($A8hzJ zk0PKxCf)gLxH*g0v&}=h_IW>l^Qd0pXaBBrIkrL0Y*#hf#diIev%LeywSQjE4?h9& zOQPhLH~tBQ)mNxAH-0ANqthSCb4si59UWN{tgl(zT0Hy`oBwitzoEbueog=`ygKxe zj22wsV$z2uw0c4o)y^DwHd{f}ef%(AgcQ|v!TI4)X4T(MpjYr$uVxPG-p~`j^UYr1 zpr?ZmpPCc=BlzW0tq}&ostIk;x7Ln1f3>075B_?3PbYut{>x)8ezx+f{Brq+vLNGM z?}FyPi}yl-?Om+9SFQyo!P^~n7mV3wvg95)A;W@B6v`S*qC_8 zjr$GEN!YU6Vv`6^Sn_)8V@Ex)OL9Atz!=#v6cSRBUI15W^3D zj)iPkCpL!<#e{KU**MHGm~3PUh^Yxh5rQG6(&6UFw4$0IYscFUCqa~DWz4c*UC?>g z!QEqJ`6d7Pl}UN85AReGNqQoK8=@XkUtyPs6=W$KwW&`3#|sBEfJ)s4O?;PzPQ zSF6f5dBx7r>%LgNHgnx7FKgGnHy!Khs;}3t9XP@E@4+tigfDpD3!dVDA2>l)aK%1$ zhBx`(D-Ps`?)b|tamdHq$R&JpC9m?%rQDdC^RmzUH7uH+`QTnIT9ozbP-XneyB!ui1c{nHU7fI z8e_y<)ZDjWc68;7^Xi(8*^#~W)1-_1>-)0h(a~&L;@tQ@vq+Zn#pvmA-7Vj3cBwk+ z$Jcvj()<++2lhi$gsK?Tc@JK_oa_}(Rlcj7J1+L#-rQ2*(QKy*)xBIBTbxqU=d8pE zA7ZLk=eJr_+^QcjA%CNhV7t=zCW_UA>KrNV567%^Sh=kw0@U9iX};+ZSI|}KzMNkF z$E^<1vHq*rC;Z>4EqKHF?CR1L8Df1#Px9+SZIVr|K3{u|nf!$YoI{2MK)gth9+jO? z#fJ{KXFZK>qNpvqI6{kR5p2NL;(8Pd5t)sgQXY`18bto1fE)PVAKtTqJafXW;dFZ;|ule^6h^pdU<$#fOmX(3zJX$-JCD)7Y~=0cX!*Hn(cx2-QEiO&m&56 z?s{i;=e~J#>Fym5G2JKYdqr-`SNyIswl{ogw@bLZZ;kn$9MY$qSA(+zIeC3B&MA?6P}NZ>qe`y$=! znXf}iP`)BJhzOBAO~@4Gffs@#=q3Q41)Dmae+Z6Y^~cQkWpCl*bBMP?S2m zWM{IYOY%OXQ$AKQNsZ1}blIPgg%uLRZe@*v21?x`BI4h0#UI-BVj)Gb5jSVDlrApq znyF#q9@SO_ult$yVGl?bt+%Jl+hsE5U2qo62v)pXVYatCwr<1o_*l&rpXQ}aX;O-m z8$F_SC{ld0-w=8XSqt4oQE2fAB6M)!a8X5SAf42y<7r#!G}Wp(@PLQxxlMYrs{#40 z`d39buo_qgp(_Ba^(asm@CZNlEmQzu-S7yLoGc4RS<22h)?ear3*1#qN>cB(Mcn4u zPq~U%wFStEoGB;IsmRC@6cT;>u13#}2G5LZc;dB6 zZc(W-obd%O#?ZxksM}$}cyNyVA4A8GK0kpy)i(3bVY*UnaszGYd$&)YUo?BHzIHu8 zV7(t8g24F&7_SGyr}uxJ=9^WkBzLOpwXG5~;kTJ{Z}CZ@Xue4JeAoypf>>Kk?B9FS zi?A3e-s?}$A80V$g*JqC_L#pgJHWJ-$Z1NWJidpOrF%B{FX+JH8Hl%l}sLbxWbA?&%~Q z4$l3I-^S{0_wLwagG0^O>%$Gt1Fke!nENh5b%A9}(h zJnZeRv-eYzSH5_3>&hUwh`HX|wrf_Ug__BFHu%W?xnjHT+l~OXU5MGSfDFJ4kgemz zUNxA&AO)kCMH#F|HBhrZ4|*Re0m(m4asc20eY9wf=*B1b{sM@8$XN_R2zQDzou|9t-Ut{SAUFj5&1K2Us3gj?NRd^!>gKlZGYSk zWkIGOe)siO``z+H9q-#kuYS*0@b21FDqTsJQ>1#gU`X$Wx)fVD>_QnFG`&zpnb+>V zEtHMG1{5=}4XzQj_3eN|bK z&Hh_8^&Nb2ISaa&K_6HT%fg9^A{RVU{w>r);krhwqu5bS5e1b>R}`^y-;}Z=%AtxE zIN>J?!V`lh=xgJw-E_1i>b-I4ujyTV`QhN@xieo3=hBz(`0I#o!v?V6d@yh@;5VA! z&_f8~OK_jwo?TsECf~f8-xMfkh7N-FiW-#lyrd}QeUDzo1&w`4^n>mzkJ5B|1(3~t z7wY@iZy$_=X!!|mPmTIzWko5hhRW{jd2-u6;Ku>Nuv^^mCCKni?B2qH9w*QThD}=S zGdVsxbR!yi2R3768KIEGAR=Sm^-YEz0xX;^n+(0tsWhg^sYe*fSkVs zkdh)phU1x}G9!h&ymuU<1qM(@d&AZX2spH$Kvb{ zpFQ4Z8V%z}OJ*B2Zpz)ve8Vk&eC_d#IX#6N+p?`*8#?#QO^SFUB@S*byu)eJfp9Or z4R@L`aj==koySJeg^Q2A?M0fw)jB`{aACGbx74_pePT9exvfq8M%_h;M~wp5qanEC z6Mo{4VR&cc(Q=7zvp(XCE_sSK$p{E{7E}98U*yI<4dV0gR?snWj$@zRd9fc{I>dg* z#r<;N`!J!TLf(o+qj*;8yb^Ji;NlgNr3)77iq0_2FSzIpFTHI^sSUBJicRkFX6?D= zOqtS`opeJVxO2MOz03L9b=L1?*H^p8`}<$^h82;*Y})<&wRTj#yD59Y|G9}Hweq_c z4Uc#6#egHz7Q+aeh-2U)rp}$`a-=IDf8N(-<^|GQ@K;5;uIsODnY z2{LEVq%%SKP9)z{SRdTx3tu;5nw;ip^I`keq+Q)NOFz4C`dry`^1|F`ky6t5!lrmb zW{}vh3=A_v0P6r9kJ<`CZ}?S8o?(ygS5IZfY>sa*9;@rU%ebD<)Uuvu59&sQj*@$C zDvD*=xqRhQwQJ^_EFLm&-L=D&Z+wV3!|=Liw;rD8ZR+i7`ynhSH(rbzW5%-R<4;O-t_sH0obRjwxJSk_AEexE&M|%4o+Z>z7 zzL{f+d^Z93TAi5!3cV}fBs@iF9BXuiK67j?E{L-2AB_V!xs$nUt)%D(V ze0~g!m$j@a))&IItKFkKbpyu)m_Pt$Kmn5oFU0Z(IgC(upQ-YxK(PkUH@wmYeLJG7 z7a%$WaA$L6BWQ6u))bT=i{L87#USjYakx>klTw*G1fc`9&N#gQM)urnE#I|n7Ia{V z4f#PUxZEvU4{)W=?sZL6b7-A*RkQ<9YFoSGfazU{E5@Qjbz62_RP#p;bd2SP0f(QM?+3AZJ{ex*YWzFsoW;>?KVaQ?tJqgcmKkOsIk@aV01C$ZlJ> zAh05gYiJT8aecGWbzQAH3o+R)^}tb1DHIF|X3+OC-6tsrJ|CQdeY`AA!FgW5>g3sdgbuXI#<@iO zCwr!k%v6;RsT3G>#D#)O>r-F;)e1?q3e(0Q*J?k{or|a=D{*JvF18!uVfF!c5k~xO z*RPw{QqGoN=$bfvnl94a`dpT7)9r)YXo6bkLnz}@C|(yP2BkDyH^t4t4upJ}Y-9-z zoB?kz-k;4L&*siy*3}Tjo|O>#u&Qgr z&Y=4hrZ=@2>UNgz@Q6f)u=Wexh2FwL@Zt00C~SdmvQz*K+nHe+1XHIwaSIg} zh&Z=fU57}zun#~r$hYBr+u7lZdbsnRRK3(``qoC3yrss|tXuHc^WfsS>+M1fuI3Bh z;dnf}(9Ma>>_uCFn}*lgcbygc)qAyX_wwcuen3BCym2j8@yGI@06~s&!eGVV5M+;{ z;DCsvB{Ib~0oX4G4SmMI`SbPGWqjTR9L zBkCNGV_IC)q>X~~nK#gzNwuVUQH^fkd;`xnGfp0WvK#B0VHPfTgoWWjd#n@p{lV2C z7Av5M@1?#$+`2Qa?lw*rrJHrUR~NT#FRbxFOsGTOULxbak6AZYMX1QzyJPbiuz8~^p- zZz^u6NZFn!{Uh0x&eks3uVEK81-v)JJQzI;{{C6LzXXUBXin<8CEQrxcI?M+1CKLy ztnQkL8c?zYKt4!Z<8_r*$%ON!Oxy=DDGsd62Sh6WV>jg?q59;u!KMOaunUF)r4KXLT%90M4%Nvo^8R zPN!(*w<{H^J9x#S@x;4@-d16SmWv2aGRiIwSss!JK_KL%_S;5ofJ;B~0`1<+0**ik zp6o6?-yA8$LVna!y^F&=FQg1s7S;g$B51siir&jMKt~vFZRx(&?W(1bg zuizceT!+oZd9u`?S-HDfKom{g>RK!?#nsc4~^#8V=xM zjj&}77gvEVRKZb@ohdMCMvJCqM5#MLGM))Oh6Yj*um@n-XZiM1M-WvfE=2&Ff{!+=PXtLp*xa}?6JGZ=zeK*!sUg)p& z_knA-66SvYV#ebzqs1jquu^dg;;!F7;771;2pGKyGW78Q^=`jgccSO#*VmVK*PCMA zXJ;E>f!MX zz2ZY?^xp+H1l%-yVUZ|^yq6`Nx#K_sorfZJ4IjdW@ZhX?CQd0Xinys2fIN5eH*jCx z#7ptVcfU_f%m@#XHkqygJ1#8FWOj-yp3s7JAA5`rKq^9&J8~osV#NpFC>{3;?I0~` z0r8^o@UgMfwAKJ!K%&1$#53Yu_C$W-TOb7{*%>YrB7}r%X5Auet1Lq{c2)<~UuP+4 z4PSb)YTWI3ExW-$Os0TcQPFemyg{61+{A^sT~dRod>FfknP9@3`6@42=~S%rY2V#0#qcF^sVKcaBZ9{4;b}IF{i|Eop)bpEI=Wubc7A|^@7%(!B5pHSsV z`Nq*8bkhXKaxDqH=BICbXTB*OBZ5<&zuH7U=0ajv%-`;`YsH(GaVw0q>yqfKh|lu6 zTR}S)dvj5`>8s9psJwQ+z~H@KAQ!Kqdy`Q+K!Xx)6|3VmI9t`Qd|E{dDGS|8rfl5$ zRc+c*s@!DM5(t|PEKA%VwOzKs{Neyk--Ec#BZDu(NyUy;>XRrMu2MZ_lrs7TbV72J z-Fx4trGvQTI;GN4l+yS!sNmr~rdv|#)#0rm#Muq3B*gcJ5XJ=k4yHefvz#;?&3!N1 zw?S<;!55p1yL`7^JU6fR_g3r+8^w>ku0_Pp7*kN~2@eG8Q-ihvE+;@}B1)57u39Inw!tIKPBaX=Z z1$rzbtsqibX&@RAh?M0)XGwFM?8zSS#JzD_>^HMA(_Hbm#k`w^=0e2l0>lfANece` zWT3PfWdbp~xuJ*c{e|mn;1zDoHk;}Oy!hbrarc)@6~3v#4Z8=uo2Mww1a$!dcxahZ znLcD*bps)7c0P~vX4JsVy7SNt;wT8Ex>4s^Fd^}}mD&}g0QKMXnD}e}&SvhYy>5zh z#1$azkWoXUfa&$lpX#7b4BWzWx7b)Q~-2flzV zUPbCY6b}VrwSBEoPu|nJdegh=y}moX7dqN`4x}?!)u8EtZ#{}UH{0U!<@v@9SF*$u zmYXo6v4ND2ia8ly3(q>IObeF6a7s3q{bE8a&AASgF2{lwKTrqYXi37nl^=_~DO}Xl zBb2xl`mE-$7w-CC4!&hcA!&4D)j`yA!7GVXP|M1lVAjG9uvzST5WL0)r7mz`lW}zJXuAzQYscalUU= zW518YKd`pVqIumxTo(>w8A-$(>K#%180;cUQOQj#2kK>mi+} zr;xGG-B+cD6777$;I-7UGEyCt$-^aPGgJ2F)1Dlu9INrMm1I zSjFY*1-Gj#7{|qo7UNsfH#o4PK^bDVUE)c{+Dy_(pgQ517O{#Bg%vKbOWDtm{9}J7 zix)GQb{(sjxPTexTQJgvcvRnzNy!EWNOCa!Ig%h1AJ7D~P*wiuFzvpoAq7=gOutVL zh})gJ1qKYqQ~kv^y~~>1K@3k5T5c+-J*=E4Gu|*O&n4^|CX?YdUYpRFTlkcFfO1%8GP;ktjY*EV zmGu~5A4E)zj5g*6q~U9fOA5S0Rc2mi=u-@Jd?>IQEYWqGk>gv%{F0Z*KVC;#F1|&6 zjRS#DnTf`CS0V{HSql2z&E6j-RH()Hd2J(b$nI8pF`&aA$J$Hp-DQ3H{$BcS`&zc$ zcxo@?kW!bI92YYkIf0IyoN@F_uCcbiOkDK=7Z<-Tx&YXwUnR&PoW50Voo&IgQ>`zr zV-e?8BtTPlcx5>xKDzGGt!*LAMf^%ch!ZDj@rhw-yQf=f3jg-Omr)yG&QpD00_HnZ z_u3772~HUhA_Yv~GAZ|ti2OWUGPVB?uXvK2$d}UE(cvt+zV6&jJ>=3|oT%gVxqFwr ztE=Zg!Q;PJ@pg<2E5C!_zt69X*!(3IetiS5i!U%fzWMn=3ui_w)__xG_XlqVzrFbB z-S+D6^z`rn?|Nz2zm~oC;)9f+P}u&Sik`$*c-HmADTX``+6?bmSz&NNz6gdVhJ^{> z!+5X;T$u$S7?})=hl;)6i3<2;VE;PR!0Q!8G-xhjv9Ezaz3L2uWzy{{m6BP}Ao$2h~({3~hshMpB^ zg!GSY7Qt_nA?Gf*F$Ilm`811S8JtGS}0){`YlM@D};E zJ?Cw41~dSgXB=#s5{%`$AVMF_okD@_ZGFj0t9{u}e3QR#%DaWsV8Re;ncuFy0nuN= z2q{lrxGc|;c$yms4sy3fAV`vD=$AH|!t&uV^4u{OZ&w`pXeAqrMXbg&+@+LjB=RVVvr7ag|+Di*u=q-y;e_mmYMWf}En z@pe?7HCB{0!mH?8$m&`!>urbR@%i;ej9|xnq((uAEO1lMMp9Pgo1%-FX2Y@a4E6IS z${R}F;QFm|3-DNjo9_sW=7uVJ!5WBHS32P&FPB1K0HED{tdB0|pd@JO569<|E%5sG9F)~mUk>gHp0S{pi3bUu)b9z2q{PC zPLo=0f$P(-VuEZxkCy4khHFKL zzs0v>Hxer2#s+g#lsWZg&TGr`w7UuVTya|me0En9x?|rMF_v5wLsA>y_6y>KePxa@ zIPb;2I0Hksd_Z}ZJwU_M2KlDq?P&YIysv#T^=$W7G!QWuv{qn+(cP z(Qaivs!_2sf?~D4#@=W_quxkfT1@+?AVY5hQ_onWk*pv4=IN9PzIFp~b8K$e4OwsBaG42Np8mjK z8{58Q1Wa4o{2$W!$40n6NhrsG!}+cr(0%XHZa%^#*q7GfW0sW@m40x#C+31LY705(-VbX{*pj_Dc_a7aa!oF-LG zl$Khz7G*6leH0DzH*rK!qWLkufB?N}Qpg{X$(ubrKU>XRZNdd<+vSY~h^v7BT#yW8#J;U}=2HH>*iZ{iR#d963n4YecdkDMwjU zA~FEDFmv%3NE)%vPVtco98>fb5T`h)94fD{vv0rml9jiGKJ-ndl6g7ehmQES4y#&N zf>bu*{Y{fmrYhUm6m7U0gANb5I88;}@?Olp=-HNB)B7?!1)d=B*T+q_B5?{hTrlz< z;?Y7l=rbxcD%s0;F1D$AjyszfDUXQBQNR_g%Bi4oMhrxFR|Z}9fwGZtBqWZ?su*r) z8AvMtt@Eb2m9JX3y#y_X#zT{~nFX{I<%)<^UEFQCTabp9ZFqjg zn8U2hvy!2|`Hb4^SZg=MYw_y4cW&MNMQ!W6DSp8qnL+Rh8Ge;5xM=X)4z5eJtVo>0 zDWrT)T6RSY0`^*Ax6}b9Pv@nXpdF|r&KBt-r2{z1}tlG*J=*DK|HWS`*+n?VTu1qPx-DhzA^$rb_U;w z?|9*t6!T-a!87INBXX4QC3C(yZi+q}%zaDIvQn2nox8oX7x81oMko>`4E_GWhe%-9 zCrzOA4dVlFxZg`}SFf?*&wqtxicM2aVE96F&S&pxA> zXR^LjHIu?14X^1ZY7;_{k@fNNZgMYrFFek?xlRHSw)W$Pe1S+sMnPoWXtYqi2?|UK zm`#=Ze|EDw>l-|lkx4R-y-eYuEeoA_Ie|krV}m>^CL zeggfb8Q6TRpAt?u2gX0lckS7N`1}4m00561{`*J#>-HNUhpj8!$6Y45cRq*rh?Bb)u!ZuzZS{tW!pdqi zF-2XxnGb)$g+AlL>YDjMnzQz!KpgEUX(V=hiz83fK87i#J2(rDxr>E(h*srZ#0C=LLs`yzH0x+*iqP7Z627iQS+`X9Nndgm66FGr(&8@ z%z5PBW&|>yg_3Ia_BTPM#-x}@QWaElMX4Qk%Z#^HK+Jm2?e9;!L6{A?__Rghq)U3O zWt2nJH@@FcFPF;(t2c~q#-K+ixV>0C(5iJ+wJ{VsQ`{$Knr&xVW_b{3+F7LeMTth6 ztW*n#%j6<0Ahvp~UYVNfyTn{J6P;AnF_T^Z2PA$Olm5%C%~uBnK{wezOsj%|WKu2` zE`U%$1ELwdQ0Y$kxa)}~1`9+kHUbW!W;B(qjW}x+A#sbErhi7dzV94wHQKc+dN?1eZk)tBGeqkH{t=*8tpuxd?G`u~_ zUQgM?`M;yTzmiW|AT8_z`+$OAzpo#)p+O+`4XEGa%jfeO=tIs)f`%u7`^R_x!RmS5 z3BH@s8ykL)XkC4$ZyMS*v~|OOu~_{ml*BC&^;`87bQ)}j=Wy}_?Gkk?sQZV|OvSe? z$|V(|VqLOc3P5~9)k#Q{9|MEVUOu=9kt;psg?!6!5Z_FUaW{8nG}wJ1`w?*yw(S{c z2KR0yz1?%kVtK6IP(9vGNUM-3Ljr#w?nKq}An7qTV~5tA8rIL&O}?#KmG^F&LZsoj zm*mM8@EhnmHv`UDzfoyhsFq4C-AQ}NopuArT1X0~xa$OmDs}^f1E3NY88B}_FRV&a z>t*YG;!S|&LtkqA{L9MPr?KNANsL3199dQW5yn||09~`ytjLyBud!xmkjluLGV~^t zAQTe^-%isSE$y`%R>Qr1(hF_+)Q4>;dx0@sR4|fCPYxq`ze;D2Y))B}W0A=8hT@5V z&?9i?;|i!$Gg`fYwV<-1sqqxf{L)(<@Ji#IFQC$|;Mr@m`ZEwp^3W44h%kEOua*M& z*`mMpf`I-m-xNhfOz+RhYB-S=EN zXd2N~_&d}bh9^wY3v$OsBjTmR1eq;CA`y_9(41_3mV5)S8(r3ZdU=*%*)O>1dUh>2 zg4yEw-m2b|d)iEI#%C3v%V*yZJUiI@?ex8*HpK%C%KJ;&)6Ctl8^7S|z75;|_O2Q5 zF1{f>rZ9Jia@+aWEX0=heotXuyKC|yL)#PnK`~2%=P?Z1-1ixlS`-vCgQb&O89Qqo zCYQuRwWl1NVj{t@Br)CBcWUz%5U2Rg&{eejg^ldmqI0aXGew&aS(wROTnsK_Z|lJk ze7qTa@FBt$ZBlml2yU*Wkmc^G?_|%?aQ=RxPly^;L zI$33Sz?yK`WQGdRt^TMAMsbk7 z1&K4tHX?{c1Vk8&R;Qe$;GG}^BrQ0HDyGaed{UG04e;H!z|DG$?6Z-yDpTt;u*a~} zS4t8N{i0ri6YOL!qzXf_%{G*ok~n4gBc_jO7}%8FVaLHQ{oA7txA{%)#fqlQl=~Nu zO5o(b{#TxMb`z?cwPgeyI`3vIKX3r{epl!YT{Y6zx^4JUaa34r-(H2)F3+Riulgkw zzOW>E&npzd2(z*1XGsi{&~j&bD#rFT9te)WzP*4q#BmEl+!i6+07YyxL#@MeD5lj( zAW?lY3+U3P;0^&E$npSNIoHp9g1fV1C}x6@DX1A$G+O|hf&k_o`GI|tz*LJF614H4 z80A~ny9HmY!FHbcC_3vKjOI|DpI)g9zN%;EMcH)tZk#tv>@T`%eSDw-{aR4E8rELo zUd=o?=*6=uw)Gw36$dRs{zgMuoekwjv{~`|IX){FX&t?n%~-5@z!3z%NAa~865H!IC3bP1u+#ayH)Lv7S_oj5a<@o2K7^%?=Ieacv05n1somZaJWTRPif zn!{neM%B4FwS@9uu@fnzYK~NMWLZ(H-W2cSX7<{VJ#ZMHLwU#eXTcQY+uFdpne4Al zNi-@blgJkNrg2;EggkVz?grlz;kvJSTvKl3ktG_Vl%wVXR@U&&8(u@@8&ew4HwWCc zD7DODs~St;cFE{!q>=gwanaHe6q5;*dm*9RhL{-W@2Y( zfl>pk(c~g3vtm;0jWv!NGDt}CVHB={Q+h3CqGokueKAi9^?KCKUt9F4XaDp)pS|1x zcww(e;3pXwCCw{mT;LXr;5d2OHo_RoZCGb1EhJoPn&Y!$ckH-bd1jdq1!Q0bhSSXD zaiOxX9Oq?Q0k_;s4}Gv82BjQ3aU*D5oIE}TCS;RIVWiegX5q0LyF*lKup2&7L?Z=! z8FH)ALE2~|@z*rWMM}@U%`n>fj^?V8`X7s3w2w8I;AT3HES;v}8k!WEk-?hOz0^UA z$SDJ)TWm$4dIld;T)qV#Pw-&xMchKZ7p~nMm+yaU5Pd!Mc59x!9 z96b`yPhv9&9D{E>Z~?yZZX=Uh$(nEKd3(r@X!DG|a=m^(2|c?dk-0uu#D$tO+zJAr+!Q=|Gu4VLz0M)^h6MZ${Ta|2(Mpv5-}H)|TAI%t64hQz(xz4@l9idPwFGRZ1do60#;j3rq( zGcz(^so5PU>?F8hjxFBE47Yk?2D@Y+H-d|7ve(}SzXUB&EJpU%aBlv!-}~*;W_r0v ze_=g;jnzC;=7a?c6YH}+2eu;ctqmL~=W0JUrW5#<-`x9Mq9)rU$LHJ!$15-(Hv0x| zWOXLmlvoPG&ldB1Oo5))z1(v~z3S&WeNk9Zs??*qugenKnPLM%S>%@XT9FRPX6q9u zdc?OBl%9X;WKt;44NQA1H~>NbzW2R+|NKv|6wEtK#9Mtk_50ZEC8~!^hkrq)(w%O^ zNjvigRzd4X=0g@Vn27sEXL0RjH^Tbjn|1ddDd@rO)_F7YghN-ia7A8`#)-V)!ePtEDCsAzfignFpw=+x|cL zX1sbVy<^6;TF(@Ldt@0k+AC@-WGfy~76zMqMdo1mLb>yqIsP&}E8DHAG&P{SE%91R zTMy0)=uqwcf>%ayG=f@|!Y{MgnZ2`YtQH`D`9h}(PDSZ;G^X58`9A~mJ#pvsxG|9> zL2^i;GEfQ7Xo+PPd=G*0_q{_{?b7DTXT5f>v7Api<|li_7yBQBc;JPpnPJy~WG}4P zZeWJQHhr)vicv?lbp|Lgp?f=@^LT?}C1|O%;T}U#p>J6Br-HJsEe**jT%nukm9vXe z0_?B&MN@>NluA@|@!JZQ!YBX<3>~rH3>E-PjV2uME&8nDVk8xb%-Nl-jqSz(z|DE| zRc>3i)gAhWqI$TyY(jllpJbV)&Rc=<2N_eun0=u$T2B`)WPjCcLCF|wnYuJ*CMm?G z9{zNhCU6Dsbmgj4m`>l^v63Pzl-x5f2~vL=z-S4r`&A6AuplPh)a2*Xl|n^ly2`U2jpI;a?VSq#WrJ;RS}+(#+Eo(mGy*! zm}L@1$qqQ0Y3)w8U>>xgt&!l*M~^2RNBV>m7dvBS_T(H2^EpyN>yl4-I;N|m^5J;| zd`X>fN5W@WcO)xL#3 zqeFKg;by<0o|Vdc(`S)`w&nQT^=ua!v18a42?05i<*b}0aT+MFl^1Fk3>z&ca+-<~T>D;u zrk$wTXey7S-bb&tlqraN&lk%P3x>=x7fBY_GztIvgwbaDv(0by+xh&ZPuo$dw^?u7l@D;q&l&N29_d5lNVM2lVO z!8_6vAiE+58p925i@&aRiJ}b}9Oo`;xd7W@dKeppn`gcQz<5iHX4=#?sMcoW8!u{~ zec`PMeJ}OHF$*cY26w-qb}a77{S_P`L6)F~a+LiWX_&tWIx2k6o!ryJOjl&7R7YweQcPuZY7se6?(7V&rdIsjnFXeQ1vdk%P8! z{U_+Rp%>%${{WF2-cPVG7tPKnFvs9%ZGf!vTE6`cY38qgLYiyMUrMq>PZ>jzqnxm1 z!hYb1by$Vk2N$-eos^ZCUmNnKv=qOi?01;7GjIIaSg)c3E`(CjJDs$PmByviJ9aFt z2vSMOhCAOHDd5D=FpD8Hthp7{U+2MY_^{Jn$-OQqNAkya9K#LY@nG9U2V|zO6&=^C z1wIZz%C?RH!s!H}&Mbr|6K!_jX5$DJOJ6&SgbnuJq{?lhSkm@K^O$F z)9Qm=xpH*cmc5}%Q`X1;*%svh@vM!nqvJPu=OXwx1L9#q-`(hsX~-&X0-;5pdig_x zp7e2^-~SOL!j?B#1){N5Xe1LXyT-PqiSOQQWHs39_CrsMu`5CkT27hh3Ftd^Nt8JF zrYW2uy?oaR|Fd`aC))LswE|G6$kL_3%bpLgBtmDex3cj7@(ksHn9_JlJ6KIllLh%* zTTsa=TGU(tM;dg%8WX$|qQ`sOoq{wCApP-Rd-?{QT7T^`l>_7P9gfg%sAY&YTxxZY zlWzM>^JX;Im;MS|yZf%kA~Q9t6~|;M&zvN+IITio9V?P@EKIeY?P55<-6wBqU`m46 z-RpllbMEW(#DOgt3>&(!%{({|By(*q5b)#ZcPI$*83#&k9nAX%KLz>W>2BdC@}Ath zZ^#or+4Wqcm3Tbo``7M!c4F>EIAa)H#+Vpj_uH%BE*jPHh|yQq)}C_1G7|rjUvhll zwtmE$GE?eT&Im6sZ~8W1hM^Y=ceGHm03a4{&035$V?^LypMus4;xy9Q-QGSm8b)|D&q zy}iMoviwdtU9v5(VA^6JfEn55Zs#OeH;)Ve@wmu0#f5MpIIQfFc7pSu<@#JjPnWiS zZ~hd514eG{*OThF^cCbjKy>A1OJ-q{Juk5~WENZe(k13oY>k`4BZQ8J%g}%^Xvr>v zG#M=o4ivRqH~roKu@Ddd0A>IaLjX_!06qZ!|Np+bdr7DAC+SYs$lQf-=jw|nn)+pFaft(YXhPz((X&72h+0O|sp zh~<3S#V+GeJ2$9GzGsIU@|5S=jDm({Uyf09QoI+1@?sq~gXECxqz5#Oyf?+tkn$ce z$_DMT06?(+aJ(RaM)rr&?{avKJ=*7U{Z&YtbVo93f*_#b^$3WZCPMkzzY zkN^OU0HRePAU{CQ`ETyO-TOAzK38YDGu+~iW83|!tCF)^IZV#ss%&JA*(9n=V=v^+ob62q2tcPW?a?Dtp?%RG@yX|&z z=kKz<+k)wOFYMeN+`Rewt2bsz_=UJ|WY}&V%?w%O?lIeceZ}t20;<|gJ-76-}&3Wnd?&soP7`yn| zl^Ek!QI(79&W0JHA~CLIal^#K!$QI$-R+_XH?F;<6QR;Beh-7x+56$7N^LKOQ*`aW zc%z4Q#Mg1qX!y}CnGvPIf$3o-pV8oZVeTjrEwK|6!D-9)9xvGV-U(bRdTA$IqCGp` z4&m9+PBCU4-*AJpz*4bZ$Dz_ryQ8Bz>64!7rCz$Kn>y;3j_9M_`m3*`>Ky*XZ}^SB zJsVp_*gdU(jP1k}{@H3r+P9Yeu1?A~V(T>eB;Nj4ain3l#I4A5g=_Mzzn+ioN6Fn+ zztSJfMubzeX#dQ408D+@ni;s%Tjnx-O|H6W;&F5|{o8CjFZ0ECm6T?h+e#XG!3?_U|>Z?6T<}6%gWQYPku80cvlXiF}+8otTzUZw!GAbJ$z%{yWTj@ zBCuq3SoPX)e^;=Bj@%q8+r!ljHfgK2+Rl2i&s%J}7HqEnKG$2%^{#zd>j=iN-c_$n z_FaQkt~z!k_M6TdaP7|T!rt4u`CIPct~z9NNZ+%BfP!<}V+kMczR^sP zG+>{$K+H#EON;!5oA=*8%fAKo@fd!Oz4u@L`S!Wr{?0mGG(QWZGy3SMCe^3CwN+mM zX+Vt0;$BufFLC5IoW}@H@CM>ygi-r|H#xI+fui4OQT zBKm~61?S@bY12~7^tDubA8M-b820{#uMIX?Z+2#n$yy_I*q-gMv2j-R<-RIuv~4l1 zRfdwS449o;`i?#3hHWfVV{_DP-}{|sZ?c=AwNeJ2FFh_}V|Ca}+>2~)o7l1L4C)^a zl8|PM+Ws)(9h1{LEG4Q>nDw+TAFh?{re<<>3MuV24Adh+dd_usnr zE+%8!_uj>H^T@eOn&Dr#jO>OtJ1Km)b4=VW-6&U?xJWpRTSq)@C$EY6TP8i?6YL+4 z@9FWkr5%3u+rtkme0_H%Ax4%MOAPM~bXVVW(=PZDtOr5(l)tez678*V#HSYSu$o23 zz&QMG(f^i%h5Z!^a5}}}MW89Yu%ApCNw2i+{Q3Ml?lCFNR_PedaR4hJu>MQWx75{i zN~O_23qUOGsnNn_VX5$ni3iswOLo)j^C?Y==sN9Gy^N$!;cga|R?Ok2^KQEPeWm5u zgxZCJ{O$8Lz6A&N*$;o~t)Zg0Vf#(7e`{AN*45_Mwr6WjLY;@O81`1~dRozI+8sNw zY6h@4umw9>UmC%a0@!96_G{f;Wd48{3PCY+qUm@+P?pZ-t*hs@!0ZRvjt~}Sj_0`zw2k)Yp~3(bYz2ovj9chf zC3dcY18^T&+5UKyGdSc&-tr4?Txb+N1&1#T;hgabN77mz9DWbk-m77HYA=2@?HaL} zWzHI@t9}HAuLRpBG*+miP}>bq7igU^OaRtnc~0n{ajS1Oo2bjG)xWv+v9=lOWnZ+P zc?Sp6QMI(i@U6$z#{UA?w#F;$b|sYwc{Oq!71VCtU|U>)$zd+1UCkEqj$y^n!Tbr+uuZLx)&}<%3S0yc+Th)m77iuT4hf21phg=Lli4_AB(+-`lk(ag zHPK$EZ%|g8wdW;JoUiix=82DS$3HyEF+p#Ys*`WJX<9e44Vd)K$WSd96u-77a_lnG`sVjmjE^(?*e{ZD_) z%J{?6_q{*#>}V(aWYKr{-OlZ&pX-oIGQJMrPoBmt$8J5M4E<{ijb!8Ng6k+qkJi1a{f(oDRgzb_udJcB|J&O$fmjdNl7O~Nu*c(jVl%?0unMq8wx zUVQdEOX$yi@M$FRr`$2a_lA=q#LvmHbi{vf_cVKpy!X6bSYNi}%@o^U+-6}Kgg-a? zUgzljX}=51J6rRcwc2rY>mJKp)mE{4_U;FAPW;#2O|Z+r>lYNr1l9=W`mNTh&I6t9 zCb100oUMWe$m)zY5mG_EMUXQKtxbqSPMX84d_B^VzCV&CNO2H$9RDsqLJoNA*q%B( zpg2=A&EOzdflMB)&a&2Eb7tl?QYQm(%qv^bhLb+79_7Zx6yracK#v^23E%H^LH&N$ ze$6`b`(69q550RwBkIsx)d!FJ-(Bs-q?wn?Jp7e!K6iui%Y9str@7-tYh)ml-;nuN z(qzg!yC+u6_jp*_^7jDX&}y1y8rYQ6lfCpLB$Z7Rnvn?hDzgoU5@=F-K$1OQ!`%uc zliSThdf_3P<@<>jb0+OLxP24BI$D+t~PUy&1=!$UU72D|`eXg;w<92H4VH5!~K zC*=5AO*!|jNOG!BdnliK=MG>*dh zyvUyGEsii$?t9`VQ*Z5zzbk1X!`8F-@rh5+yB+TRf%lz9?8dC;TV2V?)pYph@xo&q z<%u@grTgI0D9&WTTq$pgagVAePB$xGpOiAA=>=q*n&%}`n!22cdIvTloG55A_Q+Va z^jqREa05|ia_VFyi%h~+P^Wy919lddZAl;0Df9L&vGXlIrLSoJlkTIkiQ~=XnTw~c zK1Ma296ankQ|cUk=F=Y=6zcuP_xRZzo42gAc zxsJP#;W*;>9pRJ#INV&LH(}IVRgpNwT%E+)gKPQQrz(zzGs2d_Z7y@Yc9LDZ$7%OE zmwOI+o>lMXm##UZXE|t7{%dHkI!ADn2LMhWs#}~zH*S~zE2rdEh!AeY%#qn*`iW5!P5rnwnZU(Q};Paw()_pDP03_IS zKrVlWtqomI_VN3~`v&{I{~zDcqJT$}nOIE&(z8dbVmyc1G*K}n4m}OVtLZ<3>;Zp2 z3d&&4{DOC>?e_Y;wS}CT@CIDqKBo7%msXA&&^hF~ZO_-#hBr8rTaZ!S-M20U9P{$M zfA3u}U$0+dHyC_7`0lNf?QYyF_Y;oo;+N618_Hc7@40iu#G_nc;^E{?VL=OAa3Z39 zd1346hRF2Gj)_C$z~8reU(Q*lv$FD}T1;kPmJe=y$KM@*j`&*t(NSYR_w5(nZBN3D zvKEm&UD%6JN@vYG@uq^`lryb0o!?2Ln-{CGgbHqEUtAMBeQ=xhw9xp7m`uMd6r}L+ zLQ-|?VkXW13iz@}{Fj+2Q+C!o`M8gtU2$(3`MulNce3Rqoj7Ur{`AHqP%&-~u)c=@ z^3Deji!L|znSFlA*X-KaaWc*uaQWWaUt?>J-iB|SXgc2kLc9|cfYlZuYMhsc6uw9OtnD}oOzwuvF`Ob~SC)oAO1fYQdx;|dF zZzg-Z*_@TIeoO=6B=q)ZPr>=HK%chVxvsw&tt>w5ktm3apHME z(GZ0rr1D>caij0C;rqm4vxW$seSG`f|AA-H!Hu+28?@8?!TN)NLg_&3E%3z`yo>9| ziP7j_n)l+aGD$7S+YVWn1P&2%{wr^XXAXz4WBMd6{b(HUV2?;m8Z?yY`GT zn9D@i{{M`+U8*^JmssSHys;^{hG(Y|uNVPR=%vO3e`9MF4^Z&Bs< zP~ZUWhIjSfxn-}6-S~#0HecNNE~{1;AFvzU+Bxo`R=^F6Z>EN$O5!1E6b^e3~SCSd+7D6>3$y7S2>q#vxk~S;FRg zZB7}8@xt)SZB6VQ>5nd$d*X$S@9h(LxS~CsUzi-?we|AK{ggX8n)$6bF;kk!nP&x_ zkgY;Yq#mWk82<)P8g8)~7vXzcgY!G-+j@#WjL0_e|D12<=|3%6wia$?ySw-HZmJ!Y zy0P+0;d31pXy*9N_$&n28Lkr%v!K$a=~WnPy>prFyxqX=9Sb*B9*A{7aAx(n@%j9EEDlc3e95nzW$C9Qpf|H^=;v+T7J&ZV ze?d1s#Xal(_T2Ab`v}|3b4)u@(qq$`pMnIVM-khK=cTofqdDVGUP6#_;l+GhrI_%V zg9AR|km>9W@x$x9bRh|YrpJ7BTWWs}4wmT4JEcLb02k}y zChFvH_>4jj7W%D2PxI%y4Cm9vS=eezW2H?dtcsI~-eZ=aY~B8%Q&yu_am$1ZU@bK^ zDThWhy~@<7c1zRxakQtWt^YMQbD>%+ZkH==R5ch;eiY;8c@47j#bi;5RRuUcaNf2B9_YOWj9* zFmz`qnnKiF->zcbBj%A1(Z$el6^V!`5Ap47bW-K`jNFmC;^R6x?d3YRZp2!5{NB;% zIJD@oYNz|2Cmx-e-GCFd%BL(ES?OAIPtnK_x#p~F{WYOl^^ICSj@Q1@DdNUoFB9DA z`^%$`PbiCy!>wD9eM#Sz^fyL@A{AT8pUK{PM65{SB2qIQMMU*y3z<~d^!F+Yl&Gi9 zo-il5Tm(K*^lciM!v*|l>-+%ko9mtX-%VH7jOChbd2`>a-0C&g?yX#F*igGsX9?!& z#d*x?(K#AS0(FZM*6y*SIXC<&K$595N*_7P|i3rLsjAg%-f(%f`U!i)t^zHKks;BuI&;b%0 z|GScFv3m7Wt!zh!UX3X%H`SKBdIhjJ%dS{1e&Y3>{fI6;tcDX5YabkQ3Uus`FWUYc zUoZ-|;1n{^1T7>J2vXof0Ec{OIlJURUn$P;%$D$n(vQ9#deQH1Uqv|m(z<$ri#=tQ z-X7^^nm+tFKLcACeH9CD6^n_7golg8w_C*BQaJaOdljSmiYB(~h!}JUthf2;$2jY^ zm#EEqf9Ed0du|_|3ziOmvwstHxw^5vs7ND$x#m!nVW^wGv1jopW>Q}(VuD+J=BpC?~{2*h^h4}DaR9tJF>{rz?D^0j?onbQ#gLE#>{lPQY;S=!ZuJx*P44_71(| zAf<_O;}_5JARaN9Q?}UqvEi!wvXd*DCK6L<_uB3&)ASUBi$#~pc&EaK%T8Tgf+UjU z#ho%NQ%mELnW;g8Q^rtOA@&^jqRFa$$wVeUjuw!(`Sec;du}fyP@8yig!vj?i!+Zv z2BY_NBNLm~n$^8v6yost2EGm4qT~e=7O$K%IOT_rHQL&{;hRTv4|(QDi_5oeV88pz z#le9R2|D-|al9`wuYCmGEmzD8iftvd2-v-TSUol-!(iF6W!OehsN0oZ3($}MdFfFn zea^S7^G{E<)6SaR%NeWUkRl>cB*+?`=M)bgeun>7=ElNoy9Zt`zjtorWBnznxli8k1Nmob@WZm^|#z&q0iJ-MVpXVk??2PU@w>j>(VEqMJJFU{nI%- zt82V(zskiEB2wCeKP`4!^6kF5bi8q%O=Qb#+dDXZ9TU8s)2typI_PB3scrHF!jF_s zC&+QF(V~e6(htw%ed=kLHh4M?joCVQvbTnOi9rMj#zg0M>&)UdGfW(*s4pyC~ZhGz#r~>YyC3lFdNb-wxv}AhYcAN zkZw8AR)5Ndls?sNAR9iX9ZP&KB5#;&hu7oPYbu;F(jTk~KQa-zd5P8wAq_vq-{yUI z!)H>l3F~!NKlCq)p61ZIe$p{oYR5k7uie9Z1J2phDd+c8PvUsnZTvKwLWW>@d|S~7 zZ-Mrx}7N)82;hCg?gBhOC^;f6N>U7R?#sB;u5yGM-`If>;=s!!Ao$o{ZpQIq2dI&T)8;rq)8?= zIFjJ7t(jO{Zzm+b@g`LjyU|=kPZsz_`WSLSmaB32&oK$FPX5uCAL7I=KLXR~OZ@A< zZmhtKv-vNAHvfGZ@Lhajy8D;?(hrZB_iPdX*Wb5!yMY^2xYYDA?7j8YXJvtD{%~{# zlte@?G{Frva1~3#hOS>ZC%#1_PI#-uk06R0DL3FvJlDVxz|ce!5O7IlE=J$tp=5t) zGBeH9uh5u0pq)*%qJ*&v-K**u8v+UZSEnxF-m@$&mSy?J8?#0s zB^{0TrS-6fnar|!{qY>IU9oqn6_&@XnG%JFgR84568zp7UKTLKRDkp52ne|;v(f*T za!SK!sW7TndM)J1qn@kTHjsJ3YYr}}Rh6*o6w@k?oEjQ&kr?e{Ue%-L5RW|e9m03{ z9d1V@OU@5O$NKWIx%~g}t!`PSTc{hR7#TRpNPX#KZ#w|o_1Ri$#(J7RFS6%P@3iT4 z59^-yUO-mv!+KluLK6Dk`D%U+$`#1X)==Xx4_L|@O|r$)Vh!DQ_~CtBI9mB1Y8%D@ zB{xuh%5IQj%Q>oYy^lK*sz*AfL!OW$zHGls-Z!EinL%$KH`cmm87EWpge%cEd5PKC ze?XCiDq|blNn`GDytfBR#_lGfQOq36vR=Qoe0d|mx+bsO``Wle;&EAthC{hz#yI$b zi`yKs{QWH8n?2k-FY}exRjiZSoOp@<5Ja0d{MpW0Dwpj1)y5em&7RO%iQ7bNp3C=5 z{CM%3czn7X1=#Z~%I_)M8-(M!0QdH8~ub$ zPeEI?V?UF9e|p`o-nXhPTMm4_``c}SWv~g0U0&|lPygLufIR0_NUWYh&nV^?Zsa=4YL7kz6>Sf4fIk+=BaOg!q zw8S#nF?^Dt<;vFn3I1_F(hz_xkjC-RUtZX_|7+K0>cIUvyST?d&nc-s(BMpKkBoiL zecpfi^xu6Zai;2=ZhByyZLXeMxZk#JD_-gFaA^_7g5k3{}4~E%!IA9Rr6t9j_~JIW$|*^L-0gV+7CkIyuIB% zqI5F^z7R=d*`y6K~ek{#vjb`k)gwu zS|z<5C&%N2adY*OmJ*5k`*A$7n(&CUPqtJt(_F?*=24UT7Y=C!c3@uw9G2zm;So$P zpR7+D3K_r7#EteT@&s!0DW6XOl5W&JG2xM8qUZ{vz>?*H#S@+tmjZ3`H|5CBTTd)b znD+ZwQEb!Z!(a*c3N~YUF6TS_U~cM0PX0IE#Dx7}^sTp{)K&d-KM!xu=KG@XJ}YQg zt5nVP1eyR=lg{U!9B|_#z?}_WR>C-Na3{dWgA;Bt`5^N5={2npwCIPP)-?yJMxJK==;c^>1!IJ7#BTWI8#<9JXshOdN2jFvKrW~A8OPP*?v=u(u5Z?wL=Mr9Q zSka$vSrvT&?p=b2cjbXD2#j=Z!}nL$co}r2G(9Tam#c4j=uh{&_Mf{x>DNV?-%;-O zJr>Qf*Wdm1k)Ms4X2u)CIAQghT4Jo$W z&(*sn-P3c3-!SiAY)4f#BmU9PKS|x%|HASm4&OOTxB9Zej|kHMX99Q_aH8XX zU=!mmFUBYT7nEFZv)SMH=OL;V{snIxu)#1-HQFg7QWam;<5tP%~uDW#1)Vii@H&Qci7Pc zXzR@$faMuqb-#ex{OB%?wDr0tffj-8JG$@uS8B5w<*~Xb5pyS8@)eN+*XQyC>1`-> z&ViQiq-#R(udGMG1cU>BGa%cH&oi$*H?w|Zy++noMYbyx7bF^>rvCUVBP%m=>g_vz z=8Jh_%eQ=|pe8Zp6!3~)4I8ZT#Fj$qCA5OK_NnKUmfHPnaM^nGRI_E?> zDI>RUYTG&Ix{s2@x!~ZU>W-~Zz6X8zSWDa2LJp7MJ$-?@9ZtvL!?jF$G6%tL$?4p9FP;24yvHfGbo+xq zP-hmoL84^HK9UxFvrr zstsGcY^^T)8^RRfch318?iOW~f+h~!CSd>d$4B5Il{Dkx_7XQw{tyEqN4Sg9f1Gt^ z2d@qVt9`m^ypO%lxX;=4;?sMXZ=Zvm9Du6B!3S84MLR1>h}WG^G8a|#-5m=K_hR6L)^|U__P5KK8zA)umP&w#Qwsivg3kuY0GXSfzTQLo zi^2Uy1`QVTLyk-Nj$;^eIB0=}=f^fJiiyS12yp;p2gHvPn&RG0+mLyPEzh)fv-%X{ z$~$nPC<2fpQWs!i(0kmsGw)K%p{oun^Jo!(_~#t&_ZW_FJ2qfh#QnEt?#Xw=Sy{1G ze8qM*FMNE%|Ib~~&2jh=oA0X+dg^DU`k3$GlV1AJaWDIw#!9cd8d7i73ogP2zEqKxQn$o7Rh|1)Ya)}sBFn5PD)r1{9EVsoYu?xFYY`)0d( z?_YzL|3Y_f54n7O0oHtQiP{_a?aJmEgqB*hHLM<)HY9pahlxeC+r-Egf~yJqs5}b{&8Ui@^0ye=$?=9^r=vwTZlw6Bo@XL%#aWcP<*Bo>cI#+4nnVR3~ZN zKbc>?BC6oeyDZ(tK^MA(J}I}mibt;b%cOd8hQCg>1CtG+%E=q13ZUoi$*b=FVY6e{ z-n7>)Ctl%*EBBBs)q9yDiZ;d0*joDazt-&UMH>xib5i_q4($QdYv{O9)7B=o-A+F( zYi6!|VneSDkuhc&cr6aZx@6^*15#e^M@W?te)?6H-u5}qne|xM}r07Ta27y2t7zN?^V3`Dqani+(R zb_C-Bk{w!yB}+Ol{f}vRq~AF;gxeXsCYq@&xFkKEaP4L zg`bpPvI#L=TdH+u1hVLn^fDzQi4${BMVn0q_1cIeb6)tvzX~$8kM>u3?e@c1?jgGt z#;pM(ynUB+(EE~XhTVOix2WGDjkQ<%!dCKbrnibCg@lKTi-w4X?u=2fvmb?TwuM4N zLqc4_`*_NQ3$f|cy---KpSYuoc0@>Z)NT>#-%@6MoORWQWs$ER{G0Ivx;DQR%Hk>J zr4M*#K^!Ul`mW!J{##-O>uBhMn6PUZ@~6A+F)8I`j{M`Bd-%NX63SN-pS<7Ut^Y`g z2ljT1rA)3?VVlcq;d)h462m@GZo;r0s@V z4-87zH4`f|;w^(;5||X74{Hsgn-6U48_&G#qHC1n4=w|hhw}IJ_1_&*Fm}k;o~VNt zj^K}GQ39)njWuI?D%idCVUM|dxKQ>Tampty7Ki-df#r2V>O|GKywx6D?l(dqj5OAp z_y5F}1Dt`0F(Z}5Q2J^wWKw3BqysOolb zcMZW>D_BOmbqjXf-|fhAzTGqL<9%cAZ=nF0I5`0{4YI7nk2pr?xL6(ejo(xCsMrSq z9^85MvA0Zp+*hT6`(G38C%;yLE3F7nGn7A23Ww~5h6Yb z1oBJAp!=Ms9C@y{=C9^iUOxY{zn}aYB^LMEQ%~E^*Z=i3Pdvd8P+a)3+|j>IC_;n=9+D0Kj

U@L0AG)9xSO;s zi!neH_k)xu(re2_Ixhh<)o$FIT>Jj(<~yTsQYr#0bCEjgqFBpsH)gP{5H{tfLrM#n zX;QyNHvfu7B^p2jfN#;_-g3bL!}$=)DaoV(@VZq0h<9Fah&&orpjAEcTZr7SeG|WY zIkZ6iQ6iY!E4bz>Y}hJh%8prJbL`j41oH~y1AR?RajnnJU=-t5}rUwi0H zPyheXe8>IE>Mz=9R8`Y^mOQ%!7-#e8LM;tS_waunC>JKU3|p-XBMx0*N|-pkwQqDB zrtoS!^F?l>BR8wC8GGbaM4MVo$5=}0V6A&qMwI`W@z9!I?o9`%_T#>Vv{LM=RMx9=+azgb=(tFP5zNi_FP61b=Y(3yAmpuhrJ&Tt&0?|*)^>|0*xa5v{ z{Te(Fho8CTCT_s=L6m6~a*!+hFZ?nH zMHxy)hWQTphHMDO-?6U)(PAB%I#gtG&ayeAES(%&O@?Oq%h1ki+kG(OnnZ#$NEwW8 zI_s&f=9sG=Kl%RM=jUJkJLT-gGS?LLNt2>?o4`$c7FCxz$!!N~$qZXwx|JYmU_crS z-DC*;X({1cr`Bi8fMaZrp$h4={})6^#Wx_9q5?8O5VfmfPyk%$paEWN>({-NKMyj{PyapYqAxG~>R0_TNjJCB zGF0`hrn=_{mk2lG+*98I!%9AaH~`**0)l|aHs4tt&)(bNg>7L<+YF2-EodUDmhZa$ zxNwAe$KA2q6I|R-RzI#mD+5_$w3eZ)ifWwy-h-B(Hh2WDIK<*KpgTiOWN<>>d<*Xn zhZtqOwwqHL1B5M7Yw%42!vqtDJ$G2Xe^_f#WTYuxGO_njqc?6MYTUZbSDewsVp;^3a?VzS{ZHy@wIoa3k8owJNO^!K1pk85*Q zdOJ(l+`t_9DUpxq3#25O55-_fLyFr*&7zANP|Mm62Ye_>-V~amfCmnV|*QMYol?!?XHtGgQ37;NN@<} z1LAddAv-Lnq3X?N9`>47zKNo!zy#Ky!}3RiBsh(<4d~+Y=?Y!d_9?&D{N>1x^fZsY zX3?Lyq^@!v#ETFdfN`@RLar0vb#B->*j&&8HNDv+;f4|G$|)q3Z~P^~yUxG5+Kx`O zq;ukx6&-R8T|8_K<#(nH@+~9vL46|(Akf6c(oV~$%b{r1PQ>8NbA*V7OC=}|U4`eTK;Nn1Or4Sjq6^zwEcK~8ozgK@~1 zq-@?9?NKH3=TYJz`4*+$trgnpC7ZceR^SBD=Cl8G*>abtU(g6TLvG1f&2LyhE4V}9 zG`L2}^t00@u$CS52&nIYOi-5EGEv`R+)xid>bp5rGKAD31c&9I3mSZI&E1S5ZoP;5 zRtB$CSZ*d(4lNsOc<6C^Ih0iV(mggrWLo$~aVki)?|2USI!59w`ab)r*Eq?<{}11H zAhQBaXF`m<00r_`QKZM_)YdXxKy01qidRi?1L|X&=djUKdh2h`Lyzx7unX|DYa@+` zp&pXVnV`P_T#omI5b0EsAO1j2&FP3n4uoCyJV7!jD1KM;Gp>R(>nbzXjy*OH4iG=W z`7YO!?fcpC=xUC5g&Xlu&y>XX9*~Cbqju<+Aw-TOC}^*P+$%us1}0>Pq$mtN1cyOf zf&;@3Ir;XCDzSP9D=rp;RpXeIegQS|OsrI+t))(U?GgD^_fQ4V5PI z@EMbV{b?Wo>Kl_DG3ofcR|fhUP^lJ8GfUc(AR zjVekx%Ed5VlhRgl&*@6@KT4UargT92HioG{`X?c$r@t_jlx>ma85*}f-DG;pV`75a zzQx74C`QuNLj_3pTZns+b_CfmY<_hvV{?Y@()W7QA$XSm4Ei)HWgU`oxPqrF?WJQ! zQpqmU+#W9#H=p9jb>tHqg&rm1N_0^i!a4E>p7Rs;7J5R0DGawzF4BP-dZ%ZCw+F4% zo&go@B>`-?SrORh@5msfn~7{tqle$YP2&;pD&>LJk+^{lA*NLnE-5L?ZxGf1PB)(D z+@rp`@3_0SJJ&hz1HRvW-BOwFm75CBV%4|Jfmn6+?I6-wRT>Xm0e6u|%;>PmL{1_Z z@eW*#u(@CamqLPzBrf+9%^+E{j;!m}4spE+Mj;&Z-TUu`-~0UB(U0%^OU-A0wmJH0 z+I3T9t4J^Faf%;UIYXlY?gn)NfDAT6!>W$X5YXB{9KQ(=lbKPxEdW&U z4~6|g#(_(h`BRdiTtbHYyI}4t5Ff1?(QP z1_53@wC#3QP+Zw+X~z1RRsYB8S-sjZ&M|^_GS`7Xun?3KJ^QN=qcr-Pt7>iFT27%K zj}X^3j>BOIbi>`A)iRNkHTqymkrl0HRQp8Jv2AR+dg)>FRN>pxVSejS&ExO zdJpgo75A548Zu^gW;Era*IV(42rI<@yANbcw^& zLa`GBdU|8UEq7#&6SqBsQ@#o|0Uz1Aw5*ntw%LzO&O355^U9l_nfa=ZJe0jYPHpOsjbQh0p{{Il>Sb9+D&M?G$_ehaKpn z0a{j?QZbb-O8x&fV!tR%*@H}Qn zDR9WzrUTGuRftehL*;4Q&{YgBJH*JS13to&EPDu5Ag zDZ%>|!6y5#b( z3y@{nYO=}GNV{_sFQzHwp#qTc;mX~x8N>KcmzL9vgVV?0kDTEN6{>SP@8(_GHPSB9ohphgQiBllgKx5SWu=l*{YBtJDyUbZVqA|R zu5Sw$FH~7{u`0*d%RAS>H5Xn<$MorE$>8OA>$q4b5?Mv$EO4lz2Hcwi?!x6BrahZe zoninO3%71o=1od@tgw&p%6hDLb^$|6xzL_B`I1 zqmi^|W13^y!FJ=OKUq?z_HU}f2FmHB30NW(aSXbvf+#c7%o+7)50kF7h7}=v0^1vy zx8xhbEJ25q9z5;znV9oPSWG?K-@=n4Tm(uo00!cgOKSE@w2FZKcJzq6#dF(vrd$Us zdggllWw$om{NB6!{N~24Hc#6FASfNhmL@V;nzT7jikjmDX(;Fk0X|F(fH`5H6F~d@ zQAI}O(q91DOsRbPkZK@dT?0e8=f256ldS}uG0q-Y-!x^@<1dwI=HaSJDD(ymv&YG` z>1fW7NPx=ey0R(~!n{cVII(?}45uy*5F*mbH66Wu!;RjKWK37vtG4p2iFEKr7yPA1 zg=$kN01~VbKqbo0^md4pxZ*F~zKZ&Wd->hGoo?zC8TS3#_2ypDH!s!e_~s@)bn)K4 zHJ|Ce*ZM+gT~K#}!%BnVFM}+;G{ikj9@gz1j1d|h;S$G$E!^9dIbTzZw}E!vZWu|k z?1^!zmVFn8)Y$u>GHtdOMXGdQerQO_jDF852a;9eR_8col^m!sw7qL7>gRXgB)kWI zjyBu3$%&gEOnhYF`X*aViR6omI(Ge;*B|> zrFA@Z-=Bzj@+G|nA`RXdP?kBG@Jb$TsenOU_ouZ^za~jhz=EN2iNhgc7Ibfs%(|GC z@>-V6SueUuc%Q#DjOHrxehm!NXT8|l4#cskg_*f@ZCsBWWFaiurf>h8R){5A&xY{A zRR_HeoQYEmARsMsVr~&6m;?1KW)0KM5}Pv2-dw8_dRWGCwi89E`X8ihHosXV z@8ZR(!#Htz_3~U&)*@<2!99|ay!zfn2^zDE_9UVB3t8HFE;laTAAsOZzL;t*6XRM? zDwroZ=Eq_E7HO)~Jcc6@iPD9v9jQ5wTW^l67Tf{8lbcjL)B~;e7pAA^z%HdPd>cem zsOSFOWT0~kJ~1|{qi#*Ky5QG%N@gUWPk$(Vaj}YvxZ^I)VaEorMd1!N=_ayf{BaIn ziz9;ZAOk}l(>n&N8RZ5av}pFNz_CCdzxjf!F+oB!C1}655vIS?)GnA#-8;Ykc&Azk z3c+uFp2!55a~|fJo8RyK{K@XG$^U=n^FOhjw#U8B_Mg2IYOE}(a)9yy55>*@u>u)<~DEVHb=oK zk33(EZ(+HBv>@}$;O-NY6cr?h`%fFL?C%dt)KtASS8Lp)w|jQGiNBC>F@M)X10g)^ zW=sZYu+@O%4=&;>kNu`}&Bh21)S{cXF3>n$vV@pb_RFaq81bgBVPjBE$x6?U%CY;7!n_mQq|uy822cu1&NTWGp%g4rS9x-UQUf?bjgkH**& zVaMPd^NuXY8S=|nVBq|b9bDZCO4-E4;&AOxh3{~VPv7#IaLQiduCbnNh}(ingeHsa zz@VKoN-|=43TUH8*uVk?#)`OtfC>p3-_L~eivvB~8_Rx5(?w0rnf};$MEX5C2>eJ|zBSDSxN~vFepbaI z+F-WO;nZ&ktvffEX2$?z3@B)TOP!E9DKt>x$p-iOBW5Y8u*gxD;IaS_j1YR9HDZ@q zDa;H8>~&TajnZCT_}88DXzKwgR^9D2F@HVG=vQZc`sF@o)yt5;~x0tf66+P)ycU-oAgW9r6b3qzP>vxJLm14 zA%YvbuhRL<6TbR%NowLoTtIQutE9g(NM#ROGkt2ReR>fIgYjR!5it2r+EL#U{0Xc_ zGxF0fn3h5u7@3Bra#Q;DYPy{_sxGn#ag(8N)F13YMsu5y?gUELi-Z|IkmNoo;oAuR z8U0*LcewiMgm>Y`VK&*|k2;x1ZobgU7T=Wl zhw35vGpUGtfLI5B{TUi2GiQCkaHSWltP5-3vwa}#9Q078?uL8+A-(X=%NH8%54iz?3+px)73@g`gd zcSHy5o< zS>;_sQ)m0A#zrWOMWToP9R*s?h`WK4h?5*_~Ei`Va3aQ zdMBfL?^w@&nzm4WUwv>D0Z0Xa?o!dsMmFMH|7%!|5Cn1$pRVP)gi?YT$E@5 z%4w+kdZ~HZmV&$LL^KJdj!B^#!8c-0iD)UE#dT1z6B~WAp9`eGtlK{K)GCLIu|Ry*lo+gQ5b z*^r>l@K6mMv&^lf91{51Ng=krls!rm3K3d#!3T!1sl;qP>)_kOq-NkPUnHB$W3cSg2=+CDr#04Lja%7cOe;uNOk8YT(#(Md3PjTS^wiDKJ-g^x9y1%Th*eNHjvCb4Y?@TW787}9;BsZUL7p;|Z%@#L z-XuF&>XqMug<}Pi8aZQttir=5|7M`%`WY<4s{+6T3UR7!Qzk&PWZG1kK02m?8wF9& zEPy-*7YoXl<#ro8>#ZKX@Dt3s8Uis@s(<$8B4>+HwcP=S|cCYl)GgA2d_m7)byFU&R5hQiPg zDBpqB{K{J(nkjliz6rjwM|<Lr6CZCg|lNhDYoU5dt|y5ntXrbx!IM!k<8$6CP$2u_(XXbNWT>o zs3gocCgzy#Ed|1vKi_M#l0&%QW&S_%#@MK=%F}`_r2-~*-RC+QqEkyK*`+J`_Vsx6 z(!1auxBJ%JqvQ`){(V8B!$JiIb6$*z4T@d#|GTSFLtnRSV%uS1=L#X>ST_bt3$w8B zNL9>!?t2lHRonZa8f~&O#i?ar^JiUfx_R+yqVRu;J=@JcgT7_bt!oQiVI}@G8t`UO!F&m8JT6if(DR$F7>x)LEb zOzM;TP=2$&XmWwv_j32BFIO%h^-HA;4*RaRJ@`>Sb=OZFgj>q>(DCm7gW;NBw)kBX zLjZm2RN&V5CX2G@W-elh;b94>T^Cla$I^?`q&eP~uDu*<1vi4e>=?JOoy9Um`>lSd zAu7a_-ee{+Y!x{U{R^ooIH3J(rkTo*K8QSpu3o+IAtvIv;mrF6#fPTU zA<2Eqv!_({B$V0qZ{BB}rWv7!^=ET^+*$oLMX?R^e)3Ut>^BzN+U-IzZQH&F`6+*fuj2D*QC%KjemNBaA%3qXWNZ@Ak>>11!S&`F`h zM2nd`+W`*|Zg-CseTgSMX6-6y2%pEVmPFt`qcgX}!cM$an2!k5?_@j*P|bCUkU0a) zpj_A*61&yqA6@rErrOS;=9gTBPi5)sCKc28;HV~&{qBOlPO`mQQ9zrkY&k+pSAd!*RrLKVq6k$?98rco4maDWh}^Ii9t z+xri zn(5^xF8%LQFNN4VZ!buICb+>+QgV?A%jXk>OAvUUdR$?G^U7bhb<|cpqXWO{owYm! z^Xkv5@+#T$)Vgp&EBBf4@VFEpwLqHVFn_s0ee(+s!UCI291Zj>7ZsaK{H-LGJ<)_yqS*BfmOZXpN!?3h{{|5AlyaMd`)5NA zb$5Qdt+IDrMY=bcR$80)8opZf%dG~R+xe>zPR*LwA1>kL-M;!^Vr{S=X~7sV790_m z;M!QU!_H*{GiS<~|BF927Dia3$Amlm_~XxOOnfD+%9Iw$WKp5fQhT%HA{C@rM;MQi z%5KOLqW7Ud1!z4|6!a0$1@Lr7m(_3z1XqFeyhJS==(1~pRhBq|=srT@n}YzkB}jML z$+Au1r<DlPAJ(3Wz6{t$UT|t#TC#}Vhb-O<0(1;+Qh=`_!ympH(99nG`#x|`qX*w_& zs>HhW5If?L4oMbWlKF9Cw-!Wk&%R3Saq~*)PJhX)!<4-eF}WQsL_EeN78c(!afPnK z{4hNHt1X3+v5EA;top%M;1(z~nsZLHsRr8-&mXA1Ho-OHog6^~fw^Z3*W=m-jLdx| zAXeC5@*Y3w+28j%{WJ%|@dMjr+9|goIMcftvQ|yLH?m+U5Oe$aj z>jlFpdN?KIh_ap;NZ+x|VNi)pDz_}dmC~h$>yTPvczC_T4A;F|7S!8O1ua*G$4&O_ zC-=O0FW;kAy?g7{x-0+Oul|v8?+V1Rf9@_~iV#BL%l0oUk$OuA!Pd+ZBZhSDpv zzD1y<%N}g8_de554S%WIO;S`}>eJTxNmbEO1%PoV()o6vA5_J9_)ZZVXYdX#9B8@3 zr<`SkLjNO$EIV4O>K#R1S#QH!Q(9!E;Ed{)0Ztwp`D9PNr-nk_Nu}zV21-y;d{mAa zNWV%$_(e@pMkV2~J-8P9zo40Aciy-v)ftp>yUEZplK+UeAoF0Zd<%i)veSmf2wQWf z&=TMSd;`6eEvGF!_o@Xz2oZy9`%dBrQlGk(vKv^f9F&-N0wAM-C=}turNRGVMB+vb zL$r^pSL0Kg&g+4rg9~Xj>fxXdgKvfkUrA7sD~^D1SngrE#J;g5-VRrzaXUTqP`g(8 zIcj2aWnK@_3;Hw7IIW;(-NH2t-M(-S!?&$l-nO5E3;Mpxqj`(!6e)xaGgo-OAS=6y zJu7j?>RxWO(&3NDJ0+WF0tzJUw?kB$S3#s2AeY`MT&UjsGR< zW}WMpRTR)i`qqKUo~u90N0CTA7r6os5)Gq_y46~Umh&kG9QkI6{7oejib2R_a}hV!tKCcGV3X<-{<^It8` ztCpKlvULgBOKw9?`UZ%RsPBco(j8jraVWhtRAX$ce--z{5qjkm z-N2&3amcfSh@U@VZo*6dzJSM^a5-3Ws=G0VM2WdH6V=bDeD|to4GJ!`m;g^^&Thb{ z6Pw}|gl%|+^pX;7^*x;0A-;~X@3LP&JaF_lA(V1=zF`7f$h@YJ)+Ux3z+$K_xyb@@ zs_d?zL|r%Br(jNhT?(DMAJ3KmeDXivdBqtb4plXy6I=Y0Qk$}jZVOqCSxS{W;kMsU z@;l%FtbuSxJDrzVf7RIyLYk|D4bI1dg~q$$N0vgd4Sn9x)2V_2Gcj8$Xbd2CQ{RH7 z8;|gLpw1dCUrhWw;Z6l=9zp~4$Qr>0f65+N&}?R#C!E?s6~KZLxY-l;UMYM6$irz&sdZ6-G# zGw^@TY{SQz}uQ8z`Y;dRoZ`{9^s5D)|aMF1jI05AXmKTvMQGxO(no15~R%+1c+ zd2`OpcX#jI-@O|h5d{H71`yPP2nY(Iwxw;`+qSB0EXHJv$%_=5Qyh7KaHe7m zft{rQ069oKPh)I(y3$SVDl0G((T)f+&hm#C`Oy#0bu;F{oxExuMYoq~qDRrKsajJ} z(WrLGDjGLDI)fPV0DzeRw4;Or2RnEF-`@Uoo##({!igo#U9ER+I-Qd5Y;+u_j&a5* zbv1Fhr;E`PNh4(;l1Xl+G?EDXT0*Ta7gDeBK&zgxN)5gfVC5S87Mzl=@P&J-@-r&) zzz^h+yI>Fh1JQWkDt-ry`hSBweg8W8u>Iw0Iz0D-;d@i>d*ArO9r_>3U-tQXpDn`! z+B@T?a;tb^|qz4gNPe(>!VjX%XN%{zT}3I4ci_twr@Sliq$ zXX`NoJH-;;?Pk5R{rJM?NcsSwkMyp0-920F-M~5}>z*lC?BC(xy*m4kPV{lp?>>%l zYxia1BFF$x^xshbbM57JVX>E`wQdi+w0rY zfzCkdpz*uU^XJ^zZ|<8lb#}~kGv;m%Y*~1#2AAyicB@lR-(Ja-a^u#=)ol9UOThT_|?(7e%{9q8RB#qIsRyL{vryh!@Bd z_Rn3uRc_D9(M7fM-Gg>zaknv`pmu;A-o4l{Gn`9)H6Vr|m(D+L`b=^(nb6GMxzR$2 z-K=ceJk|m}Is5rG4)o_5rYy%v*(Pn4%gCObZROm!Q&x;0U)sO>Wsmx1k$R~(E9f+3b7iNkC()nGYjun-A)S_Baw3PW;9(*x8$p-WPw}k9j?uaW`tCf>oxva46+`6|d z0jfF9o?JtVCl`*8kBW(n#?VJZ!$p+-HcTppSRWBD4;dE?3k?Ys7Yhvw2@|mmVxXX) zr{TWMzrVo2KfI_sSx$rGGPhGx&dGAhGT>i?){7EqC@jd+GA0CGv zDZlWqG5&Wq_a*C-5AnSA5+7GaJ&{N=Oz1Ci$35GL1?#;#yll1Z?!C#g9#oG~ox93* zQ~mAZ>6`QgH%pzuy4H7OcatKs&4CsuckS*mZu`97+uZHBUOTYYd+bjg*j+Vht~yV* z(y6-XH|^G2wCE^$N6>4ar(Pbc%x zuJ*pN?>d7MVZT?f72NUewsfr8UwvLvR<72qHkVFbxr}P#rSmWZfyGCtCj<<+7*21X=AoMTMb`@O zs0!EzgF}ouA7&W{wyY#m=`xJcd5c_NZ%AsU%T$CD+Q2I)#1x^U#E)lT4J~)wX=8D!y4^5C9tLey_*p6!b^Wy#S8nF=~K>IaEhPvyzOX7 zRa4ZiJL|hHBve{9`B>Bd!o z#Eya;LS!gr@d!V8=Z-Yr4-Y!8#|Mg!If}>#h0*(l{#V_2h?n^MUiNPFS+f?dCVLG( z%!4*Ja?E!j zQGgp{9F16X;OlPRo?THg2o9!u51GO{U(^GXLES!Vjr2$Gf&^mx$a`3G+-5XmiHmtt z31hy29Q0%L1bM4}{_^?hi;-jLB zHzEv{gWD)x-%Nr-2Eqpk!Oik&8}#Mt@7h+-k2yPoN8kE-yxngo9w+geO%cD9-x?*B z2xbi5&FD1f?ggpneIp?{qM;HkN$FmhpkHH3+!5GCX25HXROXf4+hDdVb|iO0*410O z1`U>5FzXfHp=V1z_&A2mA}-ZLcm@oP3`90008T)$zyHVV3)?#s^hf)bm*&^#*Q(yN z1H!76cTEWOSy@xU$3OT_qT>DiA4^}PP*1wY|E2$^DC726E~YPjC0_t(|qk zzloT+_g^o41Nh<#AMjUlzchY8#7rot=6-ej=crTr?883U%+rZ9X|a)Taj@{2uaEcj zf_I)e&pW&2IXUwjXH~w*!M(Y^&6X?W$+8=bEt&0iAsrv&%4M4c$Qv9)vShc6Z)k|b zrUf0@2!(xUUrD{_t0hh4&54ewleTW#dC1M9rUR$sK?MVLz~B&;6nVDu)ep!<-muz7 z1iNNFzy#<{k9`Di6MHxHF!%r^_T~As=pqsj4#?18j0Vo6YC;OYz+^aY+Y1*ShI{>w z%*n$sWL$Xo1(pOgo5{8g);Gj_o@)-OmuXaa;$zO|mK5Tv8VtshQ)L}~Km$QcE zo{ITJ&)3hrtI9=d-*+#MFUKBHGc%DGeFjlUDexwwNVujhq4Oph%HWU=B;zJbaVwU1 z58Jq_jl|)_3df>SVel8rHz&@54H`n3R~jH=a5On&WNzd>)WI|!O2kg7WcL{KB=)sg zTc3zx%V+BI_vif5Rd)0NS}etFSIW%|2`$*%n7&c@iv?19B=G5xux-8&+zP*N@7A_X z`r(FmKDP_nfRme2$Ok12xJQ2mbOwqs?=ZXAaUgt4F23G32i(jS?&rdKv|Q&ZjKN6} zrZ2%ybcD)M%ex^~Jdr9N)`nv_`*fLIy{Rs)6U%nPPj~d~tq{(f)%4~G7o|(nuJ3If zTr~1?$ZowOrBHkt>Qp~)1mAbqH}za`zHd05`7bAtK!zuRZhlgqs2QGyzR~y_%6YST z&GLV9l=+G8*~^+#6~> zF3b->-(UF0qj*DRdx`X)M2MsFPki4$;)ka=k#MFEu+WnJ6U4W;_4_AfCRHS|Wc==_ zS#|A}y=k+^X20~(Or)g;6XjpZPsIE-O$sE3xZ-cxcA&(o-l$t?(lK;nQA?7I)kVi; z>aFSgxKD;|VFuSUXo14axMetqgYzVAgYZoDZc|o~A#H9B^x%-4nWCrgiKuKos=*PG z*Gk+oNveGOb*_If!I4n4Ryz>b6b&}g(_@KmSlNgN3OEGT^1j@J)GBB6{6_LP?7o3D z+)DH)knX4dYB2S&Q41432`NvvcClMLm}v%>6i&37BwAy$(?zbqU z>m2wu@72rHjXD({kMYM!oZ_4{r)}G7R_g77?cTp%z@0yF`w9ITe2X0q;JqSlDM)9a zKG=<8`LH%8h$Y6U6b1F)7BPfDltvb1;Ffb%1qmOrfR%OH;3AZ7;H%fVjUCkN0Vs2` zC!4o};op*Q0jLb$KKLO~zw*EttwAT|FBNLwNKK`DRadXJ%W&l0lf3}IP1v*ptZw+b z7WzeY^GY6APiX0s9T_l}zAH;$ZVJ;t2T|OT z>Iv#c6WEWqRlVWdq^Dvdc{cQ|5ulpi2z(5?@};>sqJ}~N^SdElJo@yY@gyr;ynL=R z{|#3V@{-2?G;gP>&kI$N!3rpSF+S=|5rj%6Z!{hxTu3>Hkc#4Ff+_i~IN$(=;P&{x zV072C1GoOme7%{yyg&7tTD!?)M`qsGlVs5z9H*zLtS0^~h;7KtC_NmviP$$^sDn4B z@hH21z=Dg1g@lEJj);beet&y6pSk`#I1HR>g|-8C^B>StrSFA=wQlbJ2@*W-clams z$&YXPAE)&r^xz$-_#Cd2pIZ0x?%!E~|0H9ccWGymR>@fJ-S_?c9%)n<8HmWUd{TC2*(tNg zqsg+R7w_L2SlHc3czUyIRgYRu8Gfhde|&MiN9yE-T(xou zS@xxL^OGoO(xYSN;=uf!Dc)}>uC1RqygZ?p1W2QQ%r&v~$rRaJ^?8G3KO1kY&V?HZ zK8R010PFh7&4%7_b8`{o839CWmOrOl=pupK4Fn_>7-)8Ap@5;xe<7VzA`XEFU`-=m zE;t?w`yLd@`V*DKHHIJ2G20u(+#na2ps-@kcI&5yA~?oMSYo zPu%e>U#V5?+D#T-sTgue+#x~Io7RAS_&KNLJvOvVqnIF?jWj$K%77xp%9USHG{ew{ zEV)srjr&gUB>umi;WETSD&l)^rJ*>D7&h{MNLNX_ei7Z2A}FGvz*3>NZTVT;+t|Tx ze%3EANd2Av{!w&{A}rzilXio2YUWA$UNbbwf#@Qm&S4@NH2`Vs;8S=J(t@!fW3e?c z5mE6NdZ?Ia$eMEmq1;FB1?AJ=VN#&IJ;?Y-hzvbh^2oR3OPU4+vDMx?;r8N;>VGeW zP@(xz?%rNe@;dp(LR-CC{N)2`WL{9>31f-p!r10>(?s%l$8I__{kufT{LQN=W7U5D7F+I>g*w3-$?ZU*K|a9&gTsq#+HUrvpE~KBt}?i0 zy_~RCYjxqFE`(Br1qhIJbRQa^GWDz(sPRVgs1-r;M)-}y%5XJDiP^{EGV%dtBlGKa+T z@t91g)CO0~MY+{PF$QStKaq9n%wVspBSjxwfj=<|*4F1IU&sI7oOUC*YNaS`Dqg_I zZbf!P!T#ok&W-TO_9GO+r|War0NRZZ3XCF+l^a%4DOFB2g7$*JvfQA?N9;xDXsGDZ zm~qhrpTRfb#PsqZ91Jc51bi_^8uGb6I|~gwA8VE`$NFz(e!UBc<=@&qcyJ#-N$)m( zTCyLWP{Ca!`W~N4?tT9k_6YfXB-cHv;(Ov3Y?u_!t8n7iR6PJ-2^A=xsi76r$`_TRN;GbweP_=no z2L1va{TUf}-O7kl4ePGUblRG5>zcO_C0Jgk^apuO1s)-77zlK-;W$8nfc`7jnRq6MLj^5J-og>XBIvFDa}90=86BqufhLq zm9%}ekxxfvd;40aVoUvw5q?uxL+D;%v!$h607+)seQ9>OUP}SM{#TR_Z(#?xo8E)4X|j`tFz9v&ij($WaJD92#m1 zCC!hZB|?DN^u8J0hbbNhvT|T-ft<5-<(`e7Z<8pNN5!8#@qT5qyD}HLip>?V=#qx; zxf-e8OzZ}vP$Na60l#!e6-Zwb-f^US!x4-pN)r0P`t}oAX<3EdOQE$%vyxU8iJC~` zL-U8*_0{@Qg}lE<5@jCmB>`5ogn|3#{NwzZPAFApwU^Qh!xf4RTt!zK9gwBakHy7t zDL9%4?IUg?Votsw9%47Xfp|bXjusR_&cne6!e<-d;h>{4pztqP_eTu{EiT`GVSO{* zz}3inVRb+5N9X6#`kz}fGt)_bG~ZG?H>w}fG1mzv!M@G-ksQ2_)Lr1)?<`&>!8~{E zpu4|2@tmdFQ+sR4F5_~UP_P%fyqD)ND-viz>lTc)v=X^}(nHZ1z*2c5SF(L)mF>}P zBzZoPypCW0oBv&$8Np;I`nD4Jw75DeJ5cS7K7t_VPhQbW#s_;bhvy{LllD}?JdbRo zM2oGbBAj}m*MG!L*wK2dr@eoZJ~T#doDL&3oDF&(u8sv@#Dm8O0ARZ%vc7Tok2@0Q zW^n7SbK?j*$&R2pV=KSoRNSfNPtSke{hvz4QL*9ianUickih}Zd!D;O;aWoLCR^D5 z!#_cW*Q=fYoNSH)hVGth!EPij2s*Be)q8Ohf>EFK#?o87Q*#qtAQC1-RxrIF&6`CntRD zMRv3qQ*4ps#5wXd2OjTZ#+L4NySIX`Tpjg$MJ{6d__B?9*Ic>xuef^)6fw?w|4}y$ zukXYnLo_6v!IDDLk*QXC)CGzhgOm+N&1<4!1`a6Jz{V-WLCjhAD}R035VovqF6EZW zIKOw=H#1K=aA=#jTft)j?5>X=Gb85C{5<_~lA`y>H(JM?Y{Fq2V4XvjtZn!LRPjGQ zi8Rp4nV9`0Pf`w)u8A>H0;d6J=F5?teLHb-SoCo6HA*@B;-4#R_@nKmj{dE0pfZLU zRH69ftZts)I-Hlc$#??7clu8_ zc#)6o=(l91#~L|;$rjGJhraH&UwiFVO;D$z#L$o+P+fz}oVH0MS=-)f=PfIGv>I_) z_5Ra`&*Yr*ZjV`sT_nZMK!j~N0+z+Wl){8=g%2PJi2t}U(1N)qIYjZhz*lk%Y74n!{@R}``f6`U@hF`}Q zuid`(?e+WY+5ijT;FoV_l&?`lGpt!OO9}b!g_T^5KWOKI6Q(df4VxsCfmIh4vsZcY z2Zw@uz)2J>+g>)7WBBAJzW4L#20)iV@z6276~7#}WBh>++p5e)-sf%{jU_`np~hVa z2cj7_jDpI_oC`)j_wLkmvc=6oEn4NYPaU(s9hBgt;zR=_>L$t}QS0S0GVfcHPs;q< z!kH9AzDM4WWomUp?)Jl~ zG0WZ)Dv6(ko+rh8pK6*HenH4<`=9(W<3eot%zp1^>%<*~eXg@=)w5XMED+`}p419CtXJ*z4AbtG`wv{*rY5$J9s<(z7l6^|}7T8RmQmOpwEFa~@Vj#>mY)$rzWVhP{TPvS_`f8D`J z&Hn;WUGe-Vv3iQra;iY2+rC0*Zsi;7RhHg4Z=y(=Lxyn4f48wo5{4{aPutRkvbj^M ze3xka7C)AbA>Fr~ZdWhY)t&2tP1L)(*$vCn#=U9o+>vG&j$2NM?HI4kYB^t*tLdkR z+LvV1$_}}ULsa6)j$>&GsE#9vr1tRoS6xY|Hi!Yx-K2tI-d!*Y~QsoNLZd>MV?T6Bl9%zgSHqYAigEy7(p}teAPZ&dxX*D0Oq&fR!5v~WKQgl zDoLW1#4u?i(O8%MQq;D$+->dtdtW(CWz`0Ue_SPKG!n_w2%L$N$}SxvPaL>KtHqAI zem35g{eOj!fQ*Yr(nx5l;Pq~pJH@@CL;1q!n}(ec0?2eh!9YMkHf-qbIxIwOp33B& zK>6;UNBp80MoF=9@c57E36bDoI&bW})>fb5`=tIq{bOfFzE-_2%MEY+!t0B=blX(~ z3m9+DcxJKTS0iRfZc^pQV&dS~X4lX~TSq8;F#K`S&7wB!|Gn|+9oT>0Os;+U1KL%svBt^G_?d9myBCx7`X&hVIO*-j|9&_OToWnWVYZ-^wPQQ5m&gTEm8B#@!DhGNWm6ZAm!Fe%ViWuAczSOD-xG0 zM*eZyZg%}+*ZQ&T8|dC$QL=84*co1T zLpXEgR`lsbY4c)tdiT9;j0onnX&G2<>dJWQ(Wzd8d5fl{?VH3q3A{$bH$QpOO$T&} zL`v@pT)qL%G)Ql(qie#QnEHNT9d9QZBgQmBKcs4#vxCiOe4Ury5s<2noHs$Dd>!&a z_^}P=`KoWyJCp>CngZD%_I5oNv91_QZr z*q#uj2Y$=TH=n}n{DKVle{d-I^8`&xp0B=tr>su7iPk>m`}$9MkLP?p^@SH@tU|@^ z_EEbHs0*zA`#F1YoN#dD$po7~mbf{G|5 z9LFE99S0IT9vVFV7S^NWc^3_Bkpy+`T`LZm1v6brajc8!YB)M`h*~JjPe;so)q>eb zKP|RcyW)`*CdV~cZZYgw+;#CFN#yIOh^-mg@f`794ztBcW6fQxc!dL58z|uQs3Y%6l9*T+OqhdYLIURB=9KO zN#e;82hB-AQHnpLDe?`#?wvd#Z4JRetHPux>{HJXjE`|%qw*2{o|0Eod#OF9d@JaJ*T;S2d_iE@?pL5ee)#M_^<}uoz3e>C5RIg-=GHw zOT`Skc;;~D>Jo0KaEdOJAwwy74DyE&aR)y)`UjU^b`K2^4aXjl2F4*=iqFN*kB9iq z_ye9#Red9F=7;LL$-OT5Dp!GT?!cBUlzk_Z}Ry!g3^iY5KMJ!lSZvD017p7*DRsAgoFsm-izd^nv;^bJo7W#r%m;<)kb4wK1|7 z_*Ly9IW%4OX#!a-!iuc2?r5mCb}z?N7Q(7o-$vPFZ9Z-$duJji2aF_jTgsI6A4h*O zaTJPnXU^<>571?rEkSCE>g0KwksRq;)yT{2`pfz7G`6@N{Jrzg)zu%mbq_*#Lc~I@ z^IXS_k32}(Cv`(7F^U`ZaNL$416c<(Z1LfqwVs1_FRV7BsTvg+f1M_K#3SB&-zU!g z-^z487)6&075M`A01Sllz5<#;a5&ALoqvwbA=L6yFxuNSgw`5`_F`a=aY;3PQ-BDdhmtDdh6dHnY z@{EI%O<9?+8qZ2@NNjYk|HzqgW&ZOsofmGyn?bj@%&b_uIl@(B%T}>n>`Oc6BXbMJY>GdhTD;UIMi=Nyn9+d{{pY<`v`a$q&4Am)vP-jATC{+B7L) zlG@F6T9zQo?6Qry(u|3h-mx<(=QIochS1Ds8uuNTCpamRQo_kKs!Qx!wfgD5O35c> zG3GYM_`*$^6s5v*Qy%+`c^>ld2TBw24+Rs^DxT+oA2_4+Jv@K_P?Z--ka|&ll4=0* zQp+D!4lY)1{tt*lM0?B7M)6Y!#@)nD#bIc$x)s?vx#2_=oume#T@(VxDoHi&JE0MU zh*>X)jrE65cZmm)vv4u-kZ@4&(Uj4hWZ;G%a$jOz;bY!UFMir1T3fTmo~9F1?)@n~ zCEp5+bJIyAgYr?&bA_VwzJ6Lt_N`8&^y0Ji=JP$)!S(l_Eq~=BA=wZx zTf^i}YPPbr&GDbF|JN?RH}4pFp1JgW{=ACIC>tO&?Q(=9`@#|vIc%W;Mcok9hWmXq z2W&cig{obN#lC@hFB?3!x>F}|sc;;Jn#CRXQ*zok<{_gRbB0rn;Y)jAr#~Qdey`{M zx`X{R@a=z&p4@cl?l$VMlHk}k|NMRbj&s!=g0uQVi63Tv1$YGPk%MQ5cl*H8Ee|ao zY^Kbd=kLDOlekVfp$w6I!=Fe)QB-HNPfx(hXoPRR<$_OimUFsx)G;AhTkyR5Z0*?D z&FvfS_PKL++uTCfu<|>~<|zzlsO-}ui{R7#@^58KW>p{A@ugfk$KcqI-^OlSXooWsY=n8lms zXq0JI_K+QOY%tz(cLlb#5=tjQcNs)+S9*FVveHL}h?cc-G6GagXQsfBToQ9_!n$CE zcldab^rRm~vw zB7DOEUsfuLIUlxu2iy%rWI`CzjVq)PPh`8(QS-&V0nHyP!Y@DI!Jd8wU?d`uvOcd69fo zz6Pd8;ki(-_{^JF=uphVcd+4=OYKBsn8sQpEocIQ)HfBn3YV9Z_vzKi(DZ`~mHqYO zA^0QYSCrl3#cbQ0mMO=)%j(m-uvTrs2Fz%!**ln?HDM0Y&yU6y1 z^^4g7-o4U;iC%op^xrwG`ToXWsUo_KIaG_@^Oa+UA=pH9m(*3^w6%F&#=Sfm*Yw63PsP9-9S`^Ea zGK^SAluT`d+kjq8sK5a(v|W)tkmrW}48Hj^OReEJbNz0gBMAco6XOFP(BzI$kS`89 z`WGZoVeW|Ni4)())t^7Xt@7FOmIzDu8GcKF)1-a(_CMbzKj7G9$#~)Usehl5t-tQc z9*(=S*ShR3-Hws{(3h0C%OJ5BtpmbWa3%;Oh6;9%1LEAGgER=$mn#$4>snP_nb(Gl z!E3BHf9PMTwuU8|JVN!ZVju;#A zeLdBlR<06cA59)1`l)i21L0;+~cOpFW+s~AaW?4H(VlwpmN@I z%3{@m2a6pW!^SJqaFRyV=)XF?=ahpTkwP$C;$f# zUSg&*{|R?)oKKQQ`g&M3zaHP>=<#yhI@#`Lj(eDR;t#!15%-Yzv~RA?qHNff?S0$T zzO7^{**)FWvbte>+=^}E$Cb69HkG1i7g((2&D#Jv>`+Fxl)V@EE==Hd6snN64wd?V zIx=2=CK=1SI5%v8Yc5RSz`i9&{{!k6s4_rOl&R43cBVJlB|7PQ9;=uRRn%pqCrD<# z0UODjgo|&IP&79zhrcm$L~4>|&ggJsSTt!VN~qN{yH0vo5A+98N`zU(p%$IHnRe2r zaPj&b?Tw7_!bo05eyHq8SQH#==hXCCqykI$2AW-lqrikk-$B4EF=VVHB+>A=m^B>> zYU8rIAuhRGg@y%)Oiz5WljBdY-QVPLqI};!;{W!x2k9}1JkIB(`aG`0UREVL?J1DZ zLZdxL(b6%Av6MjfzT(~7;7(@I<736ev3e+B%TIV{J;cMitB&AO6PgczwUh)Vj2(|6^7P>dR(4qCoPHFi=W33j<9k=Uw zh~}CNCe86iS29YW_hdAxsj5_k0%7o@(*>QTZ-!ze!Se(9T3r;woNG9|m%z7$#r1Akhu4wt7ABsu4{nsWm^RztP_3NuraeMbEw)c|RUZ?>DaW?7)2F;jm{ zNcb^=aNTy_7<}@LAH$OcEJ#pK8u>bj!5L5s0K96l3*^;Hx z`}lSHGd&Qk`pc-aj)HTWqkPPCbPOE#2)9%UEdpzK(GTBW-inhv?E+VBIIzbkF9t;< z?D>{Yq+hC;oM7cMM*_rIKW(NtW}&iXElkm6LRXS!R@(_iJCpMv$3lAjOSyo@Xy}M^ zEtM}i$&&_2ayo^m#&0GLh}xdmQMQCdLT|}Inn-Wt{{h}#bIUJH-?8X1vB?F|!zoBO zkPI$R0x#~K!`u+wDb~Ivh}xxfVlKYmMI8Y_%}>6M&9oQ27iu7Q7)KYmRza+APLNzdAtz|qc=%X_6_>XN;`7LqB6D+ zpyT~Og~7H?dNP~NQ#aDi#N!DJl_E)Gf~y`4e9ahZ^mWXQ%)B%&W1`kBm-&qN_B`gg zb?saG*XPxR8|A{-F3{>cD@yB)!MpNt~L8NjH$?eE@%RhBq_1LVKQ5B zD?xJ7dnw@Z4FEa}uuYr*jCT1CKJ(i5p3|Uj=PD_=Mhx??ZUwp&lYEkDH<5P=P2c^AmlpuJ ziRBxHOS6=z(lQzs*NT*MFGiY?xi`M7jY0TsB-scJAe2f}V5tI!ACm=Dv3VIpuWxWB zzhd#EL^oH4oHxjR%3OpD4Cws$R{Ll+G?=UIg{9h0y!lS)MbZcVYV?HfSn-3G!4hI)UX9h?7_DiPV9N63o)UD%&+S=qKl(BNAd)aw7 z)VP5pPhZP?!$GSkuOxBWv{Cf{!DqTl9?isQMafe*v)9CFXy6x+fb?kj#E|jd5>_*f z6rC7(lm}OtnmSw&&6lXn5(p&3C(86~AHChJIDsr2puK2B))wkW0l4YhknezD}SfY;M@IqBYUXDzkiy8oHV1 zF;E+&#Nwcn9pd4*Edx879E#h*7_ltSCI;dB`ktQ<2bAy&fGOOuMGcJ?M&edgY2U!H zIp(*@^Yn4rd(9-(8nx)h0sJ1kJplD-tQgYN6M|GVgy)GQg~)J*;AZ)Xe-|@_6~*An zRlt$i%WNn$=M%e?ekn)zK^A=P2Dox=-&(i%HRHC7F`So4MM0c7GctJPTl|13)Mxk1 z2N6#7@^^h;zxcBoOhc|c6=rM8Wjra$p@X&E$5*Z;+smG`Y%bZ$_Um=?+Indjm?cdv zrN1n`QKVh?JH{yr2uVYG;gE`s(4Cr+qBk7QY53ka4Mkqxd5|C+c`OzhXU`di>MVao zA=Uxm7bY|iaF43Fyda^mqd{_;zUQNh+ETbUh>#xBzLcwxm3VyU=n!0O#w>iFQV2yk zf==8r^DXlt?j?%UTUos?n2cgxjn>HBOOy^-H#x6HsUKpTrHPGSz+Q2L*EZ9Kgiqo! zO+pHd+i0r3!$h*pk$*^yqNR%EOM*#&FS$XBo~icT@5lcG@7aC2>ZJCy&#Oq8H_CM6wsG5} z>xCF^vH3Mv9IFi$;60fObWmC_Z$^o|wP$!bvnHdO} z!7h=|Ns%lP2TLwAeUk@mJLZ+f8Zm}8pGF{d`5Eq~C8^fvP>S3aR4-ld@f4-COg)o+KRHKon4&j#(HOEi%va{&2=I1VVMc~EHqQx+>Y(7T;~}x98#9(`hnf#%ek?BTmf6e ze{ESiwys@zz1p`o@TrR$!9{RiD^i*WrX}A>3j$y<{z)@D_&S#x-f~(b_Zpo0u4w{e zm2M_ZIeQ%!!2>x-$xly6_$9to$O&#&Ok%F*5V=dqg(V@SYw+g_n0wja(2EllWrmbW z4hb9np)WcdkTVlr^*I!rQJWd_rj_8-K5?kb5j?vh6>Ks6f(}SJnJPh6W5J=w5|bDo zWQP+VQ5f-Vi~-+}spITg9&$mNG#3UHrbeia zj0~F+GoFQ^64up)&c#m+Sb%-joAjEjjX#}dR8N&ZXO#3>Tg{6;s@HWcCi1H24(1>3UQH!7Hl4eslt*tEB${uk zmH@fbXh%^xn)Ns>HHw`Y(d3c?c3lr|4YRJ57F3U|*tWTMIx zFxmX#fu0hK22+3f3@91(f7rF-5-0Q3pvdsJHb0J;2T%2jePrIxwr7%xfYQo zBEr$)?^BITLvx=CbY~q%spL**-aso9#drBvB-dosGu8oS%qttcfbL>Dx4M;M+aPNS z_ImGL!DX*A&|3Ppw4Z~J5AB`}!ZquWK^qUwtmh{G%$kWAYvG6cBz}A6CcSm-+P#-p z)CEiv|HFszW42iH`8dwk<{EEi)T#F50J7ZdSR;0-@_+~2yB2P6BYwykjh(BLwyB(P z&GRkFOJrsW5Vj2!gjc9K#blIf)-@iC2xPzd*;#1gTu)jNKwzw@k&0t^$%`>sB<(cL zo9seQhC^iUew8+dJfjh!c*K<1w#GSOn0je(QXg1{)09R6JSC8rTLF#YbYL>_2#3&;(wz=5y7f(|xC{N)b zrsy+9C{g+>X5=xq3h+tNlXE0)Ns>HE+0Jnr%&p3UyrpnR{6~ImvPe0x1%*Ef6u2r< zJqD*VN1leNnRFUmgB~NIggj_?q#2TRlqVEQAu>WZB<3m_@&$TLR$Nfd-5p1UNL$~C z(p4NXYx=YgP2YnZcB__jt#fksW6ZWTc6j~Yyf-dAJn-`D*-R>i*Jte^HD7P2{9{V* zm(Fem&qVK=ginU`OB#%U$#a->bIx$FA}POcjM2!ik*6Hlsst#l+nZLt#f8_S+=}wM zZn3m=7y;8)x@&a|KHE|wC~B^w+)y6NupBbX{ihyp98vDMFbo044>nx;v#=t2Ut zOc#(Fy(fx+K2Kt>2JwxG-uZ+tbK<}lS$fFq$#B>)R}nL=_?lu%*D=wUnM-O5-9z7A zd`9(JCsMU|q)Oy%Hma#>^lE7-Q?hFq87k56mXgp?qJ(xWqfrZtrb$#`*!PH&taOjW zth9_&h^_ekViAX|myVJ9Ui0D`mQf;@I$^^DMhP*h2GYMbnkUoxJa_heHUDGk8a%pQ z-kKeQ-_fS`#qV9*XDsZm}1Rbw4JT;Wp3|YzBg{g z%el^DbdJg@RD^p}};SHm3OzFCjf?TwChr=MH(iSn)ZWmVXn&cuju z;p})lW*xkj_<|6!VyhQ2?tSOp$GGn;d;tGxU1gn~P zc^sg%MiS?5-`MX1SORCP9%sSMK3G~GN}JT*un!fqg~coM=(DlpvMu>@*lT9iNM(A# zVNZyP#~1*@z|xWMWz7stKa@Bw{h3$0LFzkX=|})V(`jC##_itt9;4&SB4XbnqOml3 zkcdbqXzWN1RH>uxf?oqe$W?fMQu)5^r(tCO{f!UEpC(+`nkA>a(#&6lId|z4gQQ-! z-T^M!90p2dGVQ`0;%v*R_G~g@wD7WY-{&0FG=Pl?+%E|ZgF{!=&d>wc zJk{(84kKk8TH#KY0D>tI5@C@~C~2gRGqDF+R53IZo*7h;#Zt>a{co*IR^z0ZqEa3{ ztINzggTvXTDt({Y^n%gYg%E#l1i@%H;W1H*#wWn4I>RchJVwH9shzinG;tcp>| z+;h7er#Fs}D`m;OTcMWi&OFPR=gW6Ix;wkiJvWq3_4ojsZqI?hytC#mub_L`GAm__ zwmAr{4AU{0ZwI$#4AM!7&sffGF`W0VbI4Ef0RLYdYn(w=!>RrVnF8#bJ`MhBl0@j{ zO$Y~9Ta*})i?hGHVy;Z5W|h6szxYeg85XZ?MK9kh=Xz8Sk^v}BOboXg*O(foM%d6E zy;oJG4L@)F9c@RCH_8Tnk_7Euf{DdLK2V5fJi!<%zK#6!lOzgXC{t*4Vw;hu5m9t; zvA#jq6Fx;$m7(UBbRKA+$M1m{IbB^`KT{jw|LZr8;mYqxbK>ZX6B}`w=1NfHQoo|L zciA7YWra2%yx61<(GInm9yD%MTCBFsC1f%RH7SC;zr{?&$z6o2nWIFpi71~XoaJm( zvIoU3eS3a-|F&|J=Fmv&7}JuyD0?B0FF-yw&gi!^-Dw$6Uua&p>Xk^1TRcB*CgsS6 zFwLe`&DjyY*67pYxC&P+HNn~(zH-!--67a;w$X*YLFpY1N}$jR?Wsgu{=a+!CAAuJ zWE)G1&5hmy=68y5@Zihe$a@^1YPZR>M0b=ca&IS(rOwcniB0(|3=OH}yLVfgrf!I> z8B7lY9G z6ZZULU*|eGGt0m3+#6$AKtkeAH^PK?>iLhooc#a0d5VQPFBbKh5<>cVq6BL3MCmx7_kXzsK#~R2upf%=ON^ zpRwReb{0mk!!6l6n9hxY_uRa=F@75rOKp5%$NuNC=g&-cA_9`_uFydMC^4;&Ci)+IRTLL^l;WczNyqKAic}n!qAPGTbUcHMqH%)`9I- zOOTT8gJjy=?DB_}ZkC8(X1l1C=xpN~YC_SHRmq+T<`WY|0p<~#rexA323a#(WXoYq z0yNL&fXyYB7mMOVH0#YWc4vU*P;X>*gVfCSBCfSzQpPbYPhlR9SUJiTCbaXtsaO`L zU+B>mm$;A|rGz!OsaptXoIG^P>X$8r*77Dx=?qDjLc`TgYqh3B`R0S+YEQ3&%^ZCA zLW>UOo^8%h<#%wM>KpJQwqH*wzAAH8<1C%;^b+4kGnZ5FfA@n2Tlsgr)Fh)j~VIm%ZI*uECoc zsYWJ}%Iw%gk2WO3R7JnA7ftFnvi-rT4fg_vqmw!!cT|OT$1WqXYDKB#??_FzlW${( z!z2~p%A;s2-sDhqBV?irBaPm0<|OE+Awv6J#`+-4>TDl(r>M1oKPR%^>EIvbl;5B2 zl6X^j3m89ebBugPo@?m%$yr!AN!G958M?jhY}KZZ+1N3PV(k*xG&vJB#G2^ZW6!@Ee^Wv6?&a$_7v$HC6{Q%C(* zA3yAwL*jw&s*T(_TF$4`LFjpwEPV zEO%ANe#1E;98b}+`OZT2aTpg9>1=Yfh+$*RE={oTj*;(K+M0iheP+S=VkCUOM{2?N zX|`^U%gBFuHVE99-LweAqV|p5{UvS8w0WBxr3Ud_JN*5}gBO0m3WtId6mT zGy~Rtw&7kBMtDQ*lao?*8;J+v=L$eWyTM^Av-#LG&PrKQK%i&)?37(oXxt&(!uT31 ziiEjq<-%&QCqSiL3De&Vr(reJLm(U6-her0-|%rAt#m13#eae5HjAKzfAuHxNJC*a+c`CTD!G{=bT?U=OS=JVT64{F6*?uku}p|ml@}(txk;Y`dOau ze*AJ@<;+VrgJY1TM!)M?tJ$fYbJJM(eVA-agIp1^`0+Jd&g+XhsceZ;(rDx?gA<^o zR)>DaOWVFdyIe1CnkZrV`Y0RxpY3yXnV`06bGwHXKhB1e4*e5a=Zg5E#EKVhY0KPc zUB}(yLv@Uw^sAMRvYwk4$gv%9UmVpRxaj7p-LnbQy_nUaR<_@7q;lX~1~x{I^Si_xYeXyjjbn`&DGIt{!psUTajp%;z?zNzSAZIOq*-7Nj8K71YyM#C7 zP0B^WJ4M{T)F+-bCfIZ0(;D=`6mQKyMGowQ3TUcARGn9I@l8Fv?@ote-EcJ1*)Qfo zUG(VnyrHJF=@^`Q8d8^zMvX+9U>ddE@=Gv6_Pr)4Z=gny!$rcs;>UF0*p*i;4KZ`v zT@f|k-4Xp&FtpfUF?Aa;Z|bL_`?Klf60D!MiJ;%z>Q0KAL@I<68Tw0RK8X_6QMRS1 zq%?$c)$l~KZJnk&w*`t!$(7Q$D(9+;txHNL5*QKC5*+j|nnbK@=khh3FocClk;P__ zNGvVA6jg-HSC*AX@RcvjVCrmsF{X{~rmP0IM+Tw&dl!y7h#RLDGS!-K%A)-oHBOJ) zL-ZzbjBZ9Ag`z+ucspL|EK*fV(x~+58nU;ZI!2L2=o^cwU=KzQgWu3Mo8ajZ+*dkl zS}TJgM^h?6IW-Q6yit0<64SBGwjo_Zy@S|Y?ytdG?rm&ND1oC75sKuz4fpoo4;Toz zH5r+{1&jwJfQsd0$3i)c{_@w1p)^rzNq)4RevI(pD058iaHg?=S|3-2;6GH-=DTao zgM8f*f3YuhjX5nEA)8B!)%m@hkc&?eYDuXwI@NwAP%(dLwZ=Kzd|9>I*95j)NuThk z|GU!bSD$v>$Nl(dpzm*XW6Eprmb7-w-?SI-{#zkO+UOU@eT7( z%^_#bBX*I>o9lUkKFsC2A9qu4-`cb`?)*mo+t}58rP_W}YU71HmqYT?h!sX&7qP2O zQ$`xAFK*PJU2%mJr$efW;nqqy<}MgN?Y^7?{lHHq_ys*bkvqm3uqP!pj_ZH`G;sY1qB+I`L0fN%;_* z6YK4+)>CE5{HX6EUz{~VynDFhho8lXoct4F^>8mrCZCx2?{MAHiCw0z71cAbKzvJvChu;RBiB$ zj0uI_aV2Ziipsr*U2&%`%wu4q<{NB;nKJKGZ%K)aQ{=-np*IlSFAvX;L=Sx9W?T~- z1t#UPZwXnND5e=^ij=pLkNT@ofT}rAvrBp4KCl-TAIfwG1P3=z#>VtAQmTum7%8Q- z(XY~DUIQQ3MuF!%3l4@VHsZzPVO74kgKH;wdDk zQs?T{P*Qf09!!!AFOy>@m+8ISq{53t^XHt|Y34Y{F)&(hCtZqlL%#9k{jjg@ zjl99po*kHN<-6(DyJqiN>v!&*O@6KEY(KVGmgPCg`MBcEAb(vX=`&0J?u<8Z%E8Wj z9%i9-`Lovi7H&j=e?}8KPP(QeIkKu#wXbImH?P#3eY$f6&#V^p9!RNcq8 zQm&;OI?{Hp=8jOX4t*;oz?f9Tny@cUrUS9&*AZ(Bd%-N#%dOeSTr_1s5R*!0PJS7{kg~E@7^{jGP2w>Oi?}{$UjNVMuDk~Fq0%r zQ|Gx&W?V<4ni-h}`E#%^47$`=x;I#6-%ao*(=3&2O4MiEtr(MrBu>7Wpd;>m;fQBX z-;BFSTjI;HNMcgowEIq>V;1|WLNK!$CF~=<|2rw$llhBKi{1}(Ih41tIIvDMV}dCy zwhr{XL!xb@iA+jYSx^9c$8npS1J81l8&$&zhJB#^GQr@_X>fXCDEB=v>0Cg%irOs+ zEfZyDP$m1+ua;G^GhLYmMw`0i94OiYYM+_@7rnE)olMG&6l&us_21pW7Ylh`01ueQ4i+n_B0n=&V!dC@^S?pfw!S_8rZ1`sCpZj@-%5$7{ z{C5hP{Fj-pzWg1oy8q zJzUd5hrV&ORM1MOpLbb7pG zl91HDLm*;6`S-dxP$2ASb-#VTK;Om7bvRC~Q>_a@D^n4-WWKfa0+S!XY3V&lQ8bax zY2k`}4i)XM0G}kUmzm2eong9#kI_{PUSPqI^O`i&k%8S~f2q=`yXa3Hs#|pHO~>JK zTz0e!XJIR1odrrkvZ=n4pT;-q=P zGoOiK!Nv8SK09QFizljlfIP=6MBS<4Vx=NYmP>7xhC-`a(Qpb)omguUlj~x4l~+5{ zNmD3~qmfc+OA54E@k~ECX5h^v^61TpCz+0Xnh01#uB^xL;=XJt$l8rr;f!fk)UUL| z7yn-Z3t&~>R=5b*3Ex&=o zvAwC1Itd>fTyfDNJ7soJ((Kg#Wg5{u!-yG0p$y)6@nqJxzM?G;iKDrjF-#uN8e}nZgc#1wL7qC@A=<<_lery-= zSFwNB<4mqfE)ig9tw%5>>27BS|0n2lOOUPoGdU%uQ`((8nS6s9DR7z;2<>WF`A<*%^2Ksc-^`- z9DUh;V%$+?vm304Z%A9U>t0%P>`z+iDJHpdcIeb2iB1!~vaNPO+?;VQL&m}Ps=l#N zVF&Q2Bsfy=`A^wM>l2{w0Duq>1poyAGBW@)0024wgao#cUYjpTlBOnUN!!~-v`K54 z*&8-Aw(T_C2qBO_LXrs(&@?~-AS6u_&@|nqcDvi{@0M?G+jgc)6q*CH9gNHj)yx2( z00K!gFO{j0k?UBc;0N9SCvWd(8}U%YybW<5^tkNgjzF_ID+f+E(Ju4Q1hi~9;*3J> zEq6eV-HwyY^w@?20bMRb5S+9Ae(7f(2Nn6zJxr4y(ZjH0e)SKJl10(O@Z?g>t>`Gy zvm}7b0RYX2ofQ$-?nCTz?7t`d_O&ABWGIT39#4^1W5!@GsX!>pp;72UED!-5Ob4uh z5Yw?;9oXtYJ5OH2GpxnoJM1dEZ12Tx%y0NuCm)qsA|OT8aC{k8+p%{?anpmRd;`Wc zZ;D;%XP@{daVAQ(VjA!>fs_ z+smWFv&*xSdz+K1lXF{pbBlvhPit?$Xl&{3skO10m8GGr>5#s=8!_3M75sV)e&=2lI9=dT zVN&ahv(w}Ir@*7~9ud)&GXbo21+$NiaY zWXdyGjCoJioFT*Cxh!P{*7e~nu#j?QIn2wP14C;AD=S-r>r=tIB0fmPArX$mnOeqW zK0ivp{&Xny5NBm+i^rIKCJFlw_tZb#yFAQ3kdi^moGTf_eKKx3`|ZuS@h@s)V`uA^ znwl^v2xddUNChs-h)`tG9R?95aZ6^tC02py2UCIO7!Nr9^jl8AP78E+yqMv1?%gC_ zvZ_6Y9!WnGLH6^$zLVS49DCrU;x|I^$?Hn(k-w_|Bl+ijx!pb1zHmgP23Ftv#Eko4 z^;@*tT)i0a7Az4{uiUa^`3&RC3ukO?XUwfF0uw~08cHeI$#K!$rnzHjV|=s2t8+`6 zTx(6F886cuy{h`DxUAn-nJv}#%E2<0dlyFGfkx7=4T}hrxLmI1iScEa{NleV8fn$9 zC_%9GaZ8)^g?WJ+3k4OCv0F=(SeeSfVb|Ac%96O3D>WMAXt%p&E`ox&tt4;TaVM(| zfrrFf4)4M!I=)XC4x5wE=!ra@5*u>2VxD8tK;&UJPv4u z-R&1NiW__GeJl00xpy(-hIsWw3Eo}aI$gOTN_~7In9I9xVR?;gUH6%9ydU&Mz}AF|#o;oUh8VZBAy6 z(cz(`W0Kw^%1lNnb|&3RYG(PDq%5lBYyLMcHCH`z2@B4l#KS>C8N-5sS}L&&LU@@kDci50=W4Gf!nwfX-MeQ z00#jFav1m);h0Ee>wbV_E3Yhq2yuh~iUs32q;LKG*zotq?5^0AAMj=*N{ajW@ezKv zmSQ(~W$D}YnC21!ROSdiMrANO$4?_Mhy>g{;@ z-@5lMcSFtVecP-#O0H8|7{)9(8LZ#QaLl|vE*0|SIft&yomG8(rp04rxLg-^#3Ko` zSzJRAo z;I~&VX1ul&WXvovj2SY^c<{hP2V86hXNMZ))BDqmCs&{uG85cd*;`v$7@J{cZ`_q4 z-&U(sDyGr(mg@Ea#QO&(M--SBjg4HP@mV0Iw7FPZb2#lKPNx3+j@96&`2*}!)Hgo2eM-jY!+;v;dh9xlWZ(Y<^@7_Qc z^vwsmiAmEjW*8&DxPe40H-JiJffHew5h7Xm0F}+)JU-00nr$~h!NUc&u2#*STiO1) zwA-G(=9XsH_}iR3Jbj!N&7H8Z%`VP?&P_}@Jz)iylD^0?^!WZ;&B_K`K|2i(g`dxc zLP8>g86F>V4GJlg5tB}a;NU>X^KMYE?|Z<`LBcVWv@6dRzKbD|V4xYr%ZSktMPBUb zA|puEs6~qr?E`9aG^U8slKuF=@4m-9HuE=b)Ia#-xZIf9H`ePuzV&T^--C^&-2a?w z?QV_30pR+P%j@yo^P~0d0UXJxeBL(NNZ$Mq;1kC;H$Hq?GD9!Dqq2VkT#-~+Ei zpa31;fHt23PmyxE7eD1MxNq>Q#BPbG9*p}Y@g3kV@8Eu?{yTYoyxCQ7ojkkGnAhPM z0yUi7q4?f+JY!kH&uanC`|7aBjYDdtrveDmc9vj=^Eyy8Xv#rTLzJ)5&%2a_=} z$X$ab4JXWOGfYXo6N08#@Ed?^h&i40Y&@*;2TwcvgM;lm$KJs4@9gG{C&Mg*ZTtdynqQl0h?gk_il}g<>J9}FW?M0x?G-&jahtk z@GV_ixZTjg)V$8n+}?QLe%l=4u{ICkjY-;)R z$C0vP40$AAW>Xn+p(pHV_s?Vnz%92fSb`Tk)oDF-@;!C)s|DGD7qvHsXY3321VG0fd^wF)3=Q4p*WlPb*|iTe%GT`VA)bdi0wRpk1(wZRSm||A;&* z9Fu{{q`Ua0vlomxW9Bt4&2ypNq4R-n6SX;jgNTxZ{hjOvgBzy%;_5c{-N2c{GJ~&3 z2*>aqfx&1y3-l;y&`yF@0n@IjG!8?is$MEaI=;Iu@8u%4k#YCA1%LaI!7bp88L{IV z=#4-4J_IM;f9FThBW(}2m~VA?exv(ef&v)6y?#*e=R=qG^D#i5W}iC5-$DchET6Rf z4Eco|@VNXie{7&`keo+1@jfI!hR=>465q=f^~r8W`{Nxda)N<=WIu`d;t%0Z#Q#Wc zD9aH51<0B|y~2>>@6T~T8t5QKas+*U;Cd}|{qaZK0YH;OPh!wu?0hI_;v2}L92JX4 z7{Nm>p10do#ETdL$=N{qH#~OUA@!4zWgGsE+v*2Q5uQe6so>QIl{r}2wpvogLLvXj zkgRBy>T8Ao!7!pS;BM@Xyxo>Aj&u(Et9PjLUVw&wQJ%-bvgPd>Dz5oO<_`%WkLjF^fHP8;Ee_?#brB>tj|4x{fxj|qYtAL>no zj`zHI)svu?t$x(~K`&(Y|NSkk{8mK>$sd9hGrVwM#eMtgxpX_+SfdKzHORzD zMm;UUrcYNNk*{p&R-}+EcFM|vQQfXZy}Z_Dr9YIlI_Wy7UiV{FBC*-0R1OZfxLmK6 zA>Hp)RS?mu1$%wy`*QauD8sTjYQJkuCbo#VQiC_{2e%^!S;4R|JT@hqpRsaJf@QPE zGdpE3XXWnkF^}w+A6}I)%*a16|F!0noH6ruuw?F-d^n(TDmIA@(Tu2Q`-441x03D+HU9O_~Q~6wJjp%pq zrUO27$JCg*r&ni4doA9=79RuoHpKKM=kR*`4tYbS5Mz+rWM{}Rv^l-`luvRzCN4N~ z{a+WGU;K%E9eM>0eHH{1qf2Yfas-TM@Tm+%7QzNI z%~w!Q_)n!0_XIRSfSGf=YBZu~8Y}-focsz8afbr%JEUy5y`u~C4|Y=N4kW}ui4)R6 zTmWoxa~YrMU#lv9?5+AAeNL^?z0bc^_7P^+#B-ZrS1+|&-PYdOS1#9nb_Zq2OtF@E zn3f#ISH>?f?L;dK3*ca@tBc^^Fjgv%Sa=2-#T*!WM_E}ODQDRONamO1*xPd04ed@0 z4GxV>KZs*ai5aiL@@BHl9*1WX72%pbK>BDQmI0EP(}Mym&VeJall{Z-Q=OwA0?cdwPmBa_eBY z_b(W}h=Ez0%bMdj?l>;j=zY+&nMEog$H;v5lUg?p`-*;+4)8HqoFT zhlU0@N8_FmgF6k5)A1m_%G5)j&$zqte4ot5DU+!-X9SY_tPpq`Tlnqhm<6(KFv1tr zzYJ=)TPiS@+#1On!iK$-E|!Sc1`m~ zKt9WR2c5yq|Nf5rqBZ2{%|RaZjg4gT`!-uYK5gDczT5g}6m8$cg29OK-v9d) z$hfk6__uuu4%#h#9Q>S52fM#p{tO2cA6#P)xcfO;GT_~a_noKjsKs#Os{U{#-k}q+ z)o1A_d(zZ4<{KTUc(*7*y9JZnSFTT&?Bl=l1Im7zQ;fj(Z=Iol**d&MzlZsY_xRn= z0shQ^b_ss)|BM*`H^H0y_v7Ex^V*;x(}P)iE5KKk3p=U=NqBt?=ylgV0i6CO;M3EKo;X`--5qR zjAJJfxVr{o8(sfNRR>!1ce>%97Z*2z+K%R?OA9PV%cm@x^Ne!X@rJyix!d{(=a^m! z1O?&ece;HVJWuZ{WsYs8kDZdsyS;@vI;?^ulOxc)DJg^KqQoUfh$U8(h&$-+5I_|? z2b1>(6`b+W@pJUWc)z7P()%RxXAK$Hr>^$4#<%^OwD0!0-w5Ac?R;5ou1te1$1uvA za%6lMCob){zJa;{d2B)t@9~I#f5#%FidfVV($;+Y6v3`hPY)(rV4+qUjj~v*tcZ(Q zSShK=0(GsG)J0_N`V;Gs!WK=vh4}cYe*22VvdOVRX}fvwopKnSdZjt!^KM%SLA!m2 zukzRBW%2P;7RE-htb4hncZqhnc6Rm_miE@xZg99UGpx@9s1`ZLNOn3^yc2GJEAsbV z1VS;VOi1%kjLZ>2@3MUt#ES`h9k{&r1MtB!8}J?Kh4%O+{NDtBv0L>P?FQcfH#tPZ zB-Zp?>l@d_m$Tb{!p#XD*97km=u2D0k}J(&=Vh86!lrIfcggL&=6HaI-To6h@ek}2 zYSv0*bTJVN3n6ZHXou;bJapI)(l@ve+duv;CYJ*b-_7<7-v*I`YD`-#QFDlabm10p-AGS?0FkkU`}?aO9#(5NUMM&?x$|%E5rVIzkW-#-Pn}-{ zoIe)*^sdoVj{iT_#tRVN?|{Bf%YE;V+>G~*Z$#c8v>^m!iC-dTocFCW1B{we320errjCJ)eyGmAv^bM8xb zJQp96Xy39$K1T_b^8^+=*6>q`1bruER>4sEZPm2;RHIOt*~Aci_+z+`Pn+VQW23l% zcQ%reii&u8d2kr-#WE@_J&)fn*1G(DMZYljCtX6``=~3YwVk%VW58D~eG9I;Z|lBS zBhSQ=G37Mb>W@2!-GDbd(WE_3{8>ZtSS+EE>CXqJ=dM*6$ZkD3qG*JjX01ZTWVNp# zBxh!+uP7F2YPVB?i|YDSf|59H7?u!H0y{KC$|RS=oil%W(k`Fx-?f$AxJgGyC)BEej0-R<*PflI$@~w>X z;ls>k9y|x7_gNW&Cz+(0MsWj@6@K;c?aiH}k4rXXgT)EtBSqXShUVI88 z|9}Oa1W%-g&io%sl$Yp;V}NC^hVpaJfArL(!psYS;P{f$i>%x0bg2sU^d{=|hwLGP z;NcwBeS@~UIa?k+I+&zoEZ)4@r4;UbEo=EB5?hg|IF^}}Rq>NPmT@U(dP z-yx=a;XgQ4jZ5i2C!b1{^~2=K)gtRhyY`|JI5*x|!9~T|yFoA!FzNh?ZuCdEs9s=4 zM5cGpR^RFd*Th}_n;{AiAXKX&VnZD3N)E0Ek*?X*=}6a?|Dy_G@gY{hm2QaG*| z&fSRr>0?-!M>_vyJzkuHW`)sCC%0)7%I4KF*Zgs^QK)A8QUkH3YprF@wfS0h76 z!*?Gam;9A4d%=$bU*S7m^Qks;oQLzI?`R$|AN~KUUpOH#I}$64a~8p7raT7lqvvPO zY|T10M%@&p1rPzA?&*|M(G)+LA^Zjz1>)qiO&pcn-5cWHSi&w}<{@6H^mYw& z0_7*3lQuk@q#k5hVF5D_^as8h@c!R_@T~$(*p*5}#f%(Jkye6SfZ%V4YtpVf8AyoK z!|&%_MA1=a=}tjjogd5U>L}jePy#17qk_Eq`*cUZM&;T3!A2d#JQ%y_>$edyt^EfE zVQFq38S1K5YRviGqu&?&|MUrG_FB546T5A{uiCENOP6DhzHDyZ{FozV!nrTuYqvL; zZo(SrBHjF|Keb6lAU#Nle5;XuGVik4i#Ekz!Zr4)N+^WgeVTHVOoz2HB4*XER*o37 zEa^JsQ5*Y&?o)s@c4ifjxU5B-7>mwYrxbv)+sEgnt{5Ty}2nsGn zJc;OFDtHMA0ENuw+>%xuMRB2zHQV^JGQc1DLTzpP2UhI ztTfU@*2YXZG+>d&3VQSCV@o_XZc-}pcMM1jZooyzeWI4>do6= zv{Tj0Z7444ow~#VF`U$QnC7jP9;4X<559w+Uiz`!3+lzWfrgodCpeBO?SY5Wp#P2rvjw+$~k`$LR0x zpSqS71_+&mht`0cJNpr|oui=b>Ey0%2p(I)($e#1o!XBkpiA)2GUdO)oA$rfA62{U;0L3 zSiik{+uV0A4XowT-`BS;UMvq6?SmKa%6^aD66%EIB-!-XsIXE}vrXvn3W4eGP_UdF z7#hV`u3MEteV2rW_pmiE>;7dLB4^`f{FgPhyV=vz4dM$foA^TN znZE0)N;ke4P5%Dz^qd4H02C){kpQQ%Yg?VP#u3ouP=K=K3!HP7tH+_5FX51&c%644 z*+`F+l4@xK_XMPe=&bK1+t|cjQk>tz;E^+QAC>*x2j7BlK-~q6DODY$AUnTz8%e*Wl^~qpNnc(E$-w z`TAiKYQfOYQ02dG#<7LnSH3iWte0GWv0)-BEA<)>p{3PYdck!6#PxdP0lSNu)T8+Y zC)ab28|(cV+sifwmn{s`=Vi4CMRSu{vWXWbPGe$&;O6Sr*`vCu4+es?=U}o7aPw8p zRw&_=zpIXOymY$l%F}fp$j)OIt=NDh* zhvdEwZsBY`y%;FDm~wwyHr}7%#2s6Q=HO{xqe|N*=ERzoVxFZu>_LFzSki{7C2JiI2|BoP$h?{&jBsY2WCvLBOixS*; zeC4W@d2C%OA*TAu?(Y)nSAVXPokL}W`SNl+BQJ%X(}HtojoN@7y&H=@4nm6{Tgxyj z%{NON=foU+N9_spD!O^UF8{0agoa_SSKwta@zYhtCbArR{IFw$E1N-6p9yMz28ClC7rD&Z~$+9`v){)YL5tQnvRRsgLH9ydhDP3*kwE2e>;J3GVS;O zA=%I~8IaS3la6PNnUC3vmW;hO*<{5g#AZ3O{AFLhku#jgK%%y2T5z^tV7rI4>h>%~ z_wsPiFlU?44cl)FL3i&NmkVANoDn#jx|`H1H>{0f>wx;vmFEw>2u`)FMHgIH5|*J9IZkWSzpQ^D$Mr==9`G$1HwSs%C2!&rbTV+q`&f`#qoI z{m#D)J#B{;*YtVD3@NF z$>2tM2yP+un+rpw)T!OV%t_7v z{=4*c?2;g+8&KlMPr`I)H4qOeO$-p|CK?9&j&H9Pz8GM0BNW^_x@MJd~oGb zr>rLoKk@cQ^(EP*H%FgYElrMB<+a&IbiTY^zken6Ke`{n_E$P&cXj={G4Hx}w{>q@ ze_3C;*RH)Qt-I0cM;x~O93 zweHb#eFvBF4RefK#agWxDn^WC`SsXgZs6CMww#P@OiY*&Hr!Ae;ha~uCpV9$()9KC z-f`Y0$uS_MO3j1j0$jw6_M1U00nbLhXvs1Z?i6j3E+>m-%*+z>kdOa6xY#$GlkPk? z*x--fmRIA+bI_gx;m!%zgT9ND&vz{}v`mZ|%?ThWa!f9TvgO<${3C6|nVz`=maOPV zYI=eOXNN-@{m+&bxAX2uD>vQC81BY577H_R!lTg^1@#Lxc0|DytLBT5c0)r;{k472 z6`anmdGugmQe@c38ov3&PL4+MhfDH(R+8Vgc*U=FC8z47=*yzI8`@n-pbd?#u4rhH zwYHH+va0nxG=G|l30Ldtw4^8>4 z15J;cbuLr-{cSA-W%{3_2F3^e$lvpZzP3Rr+!RTsW}r9tlXgBEN=Ta{e8{%j=re# z3V7umV7}hBO&E3385Q1uKR2@BMPCr+N{Mp|Zjiyju<-%$VO~sK6=vi8W?pIUk9nn8 z1Jo0J)Trp7ty2=1e!i9Z{&@50E_Q$}Nbj`UCkG~h!C1i<>)o-=9liL=3ZCw}6L;X( zl!jE*ub$-Mw2$@?X0p;hEPHs^vNr#=%b=by=78J)IU5lNH+#*K@nr?*L>!$de%np7E?Ide@uh2m>MCnRslR-cz^p ze>?{Ah~bRC^sas{*!y%n$R0_*#_V47g1kh}eDf~6@7{$SB4o_N?j;x zHm0zgShR^A=aLWXLzgb-wp3s(H&SOb+8Z*PVL9uSwOH{AyM<)|7;DAqnw+4eZp|sm z&~6Vy(3OapFEtAG7z%g=PCOz`e%rYw7IAdB>wH7;ZhGn2?n0wKUJpn43=bH$i?dlV zQH(&yvGvH9NX8b&Syqu1F^kEVv0|)D=?kWf>+Z3{`ri!#WIPGd4q9}O{~PA~N#Wtv zlW)CTWB~I<+Y5}ykbio3gJ9@T8)TMEP-T=jprqT!se7}jzJoMA{NjS*TRz#dHf+A` zCfE(`R`RAMpQ!QjaI>gxVdMbP*3Tmd^eRXUopW! zIioJvU9yD7x|a=d#jh5VsYrP;da`Ld1ud3nGns2qIK<_ zFBczZS*X~6$B7K6i;wNs8@!8+{7*+p=^C1dMe8r=Y))o*osN_7Lf0oUuRf!5s#$EJ zaZqfK#>wg#nX8*(RkC1v;mq)d(_GQ_aaNZ6?`#dL|j6dpW4y-X(r_r(WJV zq@Ai?+h&6nxBp1n^WXi0w8d(6-QX4X0j|{^O3GJn9Dtv0eHioe`)r@1Lf^=MSVUJu zoWH(%KYw%nLrTAHHg z*EK%;xCKc=RHsGAjmP8|kR4KR5nSfb%{vFGYx<|ufhV=-#22v7hkr0X=_kDRXWD#R#m+ex} zOJDqi ziKw3IsYB{94TKndeINdeosI?2obJ$kH`hDPw0yL*zi>;yx`ph%?4k4sd|#tm!uEcv z#_@0QC>f<#BzvE{*JsklAEs$Dq+Ksi8Hk8TCGhJ+?i*i5$JbihnqMyF)&?I6GVV=5WK} z%bQLf!5B)Y&hKm5w?Ju+6O|eHuCm;x|fa%VgnVK^nm^PEJ zCf?`gkUw;CK&+aN4!f(n1qVwvc@J5WaDVd7=}B`eIBmh9ulzckMp7-Ryx9a9FL5XH zg~Ibt0}2C=Lrvkepx{oB8Y zv%2~LsB74Mpj$mPt!TqavgU)b5s9ve)(?l)lxo^!L&DipZ5BVsGGW&2muYyv*W&N? z+>HkD;^gzud@XufxDA~B1^jR3iC?vRhu*RidOsFhwvF#B6}z6XW0?jlMaPNNmTTSch9q8%9k+*@#FbPJx*d&wp!Zcd7d6c%zKi#V-9W_O6950|cWA={ za`eF)ou>&18+fOq!J<;~1%vSSaRYcX7w&xC~V!XM&;O7HLWZR=R#f=N}mU@Bp0Po6?n1ODz zJEc!h@z%mx?!j8-$_4`5h0?kj0~Bwb{rWbsNZ!`y4-$V zXVFlh!E>!QgEkF9i|}f)@6lRj$#-z+b9&3z#s1|i#=JtFK5Y_G3sY{#ml+dUAi6a0;YWJUc0H%ZQ|6+ zP7QE6N<=NVGxce=5vav2Ju=T`+47rw$wWmITrHNm_RF$uXh! zMcroLj!7jOC7i{8v67wJ`_$?Y^0wD%Dg%t2j}m2KvrDToFm`sMt0vib%-&xttjr)_ zJC+q_9DH;a+^eh^AmXsr!R1`OLdIjLY+;x&*A+m&FZ(sMvoZQIi)=Q_jrYw>xaX6p zPfkxS-vA>&ABoDK2wEt)4gUc#4CUmTtswvdH>{;D_bkhforA5*ivYMXzc9ax)h*YLJ zss!X>HByhXO^w-5ImJP{EjB+4aLmY!-Fz156ZMFRh~9r_fk~E1o0819bS^E*Q19YM z;pIUKmOssi(3DBwEogW{<-6~0=-c=5Ghs~s?#oyNxi8P2L?FTwNF_HFqj$#`|+wCYv-Q$Rr8-}9+$_j)(PL47u- z=A$ZS{C()~nnlLna=|46$}f`E?EZDkskz#(x0RLH>J7fEOq#QFc=pOjvvhOLbBfvc z)A4kc|H^1>)TK145#>4OBa$Fr91YHeV<3wA5%L$#I|RRPdWRZ(GF$w#>C{5!%P61y zdT};B^SU&w={_|lz6Fw!?jM-c;iE(Hw*4ar5JQpx`35)*zoFoVx4_~68d4o6KDJJe zd&q?7_=KZ&8(zZvX#XLHv~DY4t^YeLq^~|;{W`aCJIB1zc$ct*w!{Z2tF`IV@wMGL ziDc;Kj3_bi#dJk$Nw@xt{AI5{rPHL6VBL9bvkCAyC#_RBo)!&46Zg%J45arX`G?HQ zU{3#?9M3YI9a(qY_AKavJiUO+zuq^V8Ph7kV_I18+my-yapVo27s09}=+d>3H(0!L zaR3Jo(2`eEA8^0}2FHmMF>4#}Zm?`98dX#yd1yMiwX(3b-S17#Wqyq*y#Pl*xWB;r zEw1O-gRGQc`$he=bg$RdFB(`@uC3hp-oA6acIu6I=lTZM--z|G^z|0h22S($BsDns z!2#xKE@e$)p0_EJm1WE27(pIz&WAXwrA|R?l{cVW$}}-!ZCTLPN0K_0>fOO;f8Aay zfb3k>1!QgQ6cxlJ?%qVLloiG%ZthhvuyM)^l~+m`zU^6SAhey|A|G))Avp5vy%Q6XY^ zByk#ad`<8Bi(&9zWoW43wQ@H%Z^lH+h`i*p@xzQ;`bjT{*|(9tq1q%pYVbE^4kb8r zh;MKr$l&EPE8sw#^`uou<_@Ecd1O@3z#pP&BU^!psMjP_Zt^4CPU$QklsHC#3L1Q@m zXZWg9*}W+)y7U2#F)6J^*=uiojP=L0rOUoWfIprIKGfg%GkDd%R(+t_^o`}sfHJi! z{ukqgtY_fAOEy>n&V8Ad4WW(Q1VIigzRlA&9X4^1YIn#O-``{Y-uD5>An=^e%bEj{ zQHrI#dB#?#MO*oA<@(FGr2D1lx9l=cA3X%vb10q z^?78h)a$H^q**&PNV#<&IeJ469`WMm(ihjY`3k`(u9 z@9&QxzOA3ZHJ68YzBrK|+~4A~Z`Rv0q}jR2fi2vZXZpK|tbGeTD~&Jz?qM9xcvwRLv7K3+6L1CYX;v! z^Pk|4-m>pP9_MdHYwrn??ABe47*DBw&tNwjw`-D{i1EKpe~>NrEmEh|?ITfm8Gs)B zI}GzG^Mcl6mMN-Gdn3@g>wO;FG=Td0rL|`X|EtShW&rk54I$+xJ&@ zFMEsQg>eJlyL-CM^^5jfd6sQhw4p{bwS0l_HG|Od|0XH%4b{v+J|>7W#;h4A&|Gfg zC&Kb}thK`7nhOQWAe7y1l`+n#Lsv6Ew1&v-71e~z`s?aei@9w()fI7hOHO6E#J9?2 zX6RyCVO8w%W?o%g^!R3LWr4K8T&_XjV7t~Yh=@sp`&}xP$w9mhiwQ0oYxE7iBDYAI zS9}+GUS3{7WZBGF^K2$e-iH;JcSNaw(PQEI#UXYcI=>kKU-!cPg~*_TD9ejpYlU&8 zb+*kgVjYPy zRcfW*P4%`^z0S-o-~#1&{1*>C^70RqQ=Ey83t`0=s(J1?Ci(`1Sh5IihiZeHhZ;%N zP43QrsNLml2sfDAU=tfkdXN;kiI#j|NnBt;3P$2}MD?Q56&oA$D5qN{)5)s+0OK1w zpWAL#eU97Piv?P&>@@`BYGUj+z=@G7FMa}^qw|( zZQ92pbvGfdv!ok>B_&gWb5*6*9fWn$qQ%;^lEl_lSjZ8?e)d80tEgJYHn3Bq%UEqPf1TpHF zz^+DHXhxkl{ zxXm2uTlACkEu)d3&+UyV{E2D3zrt7i>Dc%F>82aT(h~Ral~dJ49Z>qc$GenbL>1{3 zUA>|?JzM2(@T#ol8Dy_N5+QP-#EwWy{+Wtlj#_O3BL~EEH@b(mb5)^l0rQXeXThB` zlJ2A*$0?6QR(uP>8r=TMr^Gii@X2>N2|E~Eq$2u-x}eHOE*R2IlVp!)S{&Bit>1a^-gMsSlX@S2Ecm@@>papQZ?L$!eiCJ;&NHulmyU@nfH>2I1^0d;3sn*I(OCd$hw}xx0Zv zP1XD4)*B_^H~hg`);36{nut*4(gFxIEykC=0>i@oD8)^it-LDHHW%2iPf-w!veis( z)ry$SV%evvis9O=6ci;yZ90_w@>m~AO;Oz3P8?W<8Y?1Y_B+*TGE(=KYZapg6uwzl z7f8RUos5<64(9f|LBd#7m>0t&sDE_?7mTwj;0PO#t79>c%PzLcjkGYA=6=&EbHCPo zm27jAJnp7uiWYk5BSf8$=K@5~yJSk~HVzm1`quWD*(8_#)WrMGMSTbNzFZh%7LYSh zO-4Kh8`GG8Qzul&E0|CX!fV=_ov5CQ(aW=>n_9&pibjK(hvlFew%YQmHn@(uTY~Ba zjJ$8NiTJ!t9HSIB0?}&2iu9$|%f1g}4EXV}=?r~#Mu?F&DV#zvryaTt#yG%ekiQU= z%hB~LR37?642k1gL}|vNEY-E9@!f=x>u&J;*7dD0dKDSnXm@lIYddF{MV!ra zUm3T5T+B>B6ZG8&o!l*`X5w^Bj;@yp6mGr z@o;O^w(sTuZ=Ar}{vYd;+*z8}`J{HX=jZfm(9;?kpirtc4WKh3IHROBXQ{O^IZkuF zjLWb}eW3}hIqsLP@$S}%tl>&>9TA5(bv+G4=*l53y94c|EqX{3}%n<34 zZ^!Kq0=(?%=KBUp;aYhhW9-yp;JyPL`X7{1ACy&9?{Lzg6;5MnW9;d4q{1qxqH3#@ z4Z8Vp1Z z1+(X0t>kUb)n~mr=1f%%=yNtgO-Ro40=>}gkZ0oxw@qvvM~~zPfTo-H;CC31;3qE1 zhmUCmN2fPCdYHH)>d1GdXrSp-Ikxv#6&I5}UB2(p3I-qFhon=ZIT(+wk!pkWvrhTE z^7Fk8)u#AMWpUIb&uyW?DcZkTd0{1e3xz;=ib`V4c0kkyZvO0(^!Le$ft0E4^76|_ z;xlFkqZ{vkhe|{-#IQtb4IB1!Ew@&wP3O`6bN-1i7ZMlTh-+de=kI^WMK6#8*Bp?t zay=LT=oqAeSvr2??!uKMRS#My!6|QUJa$>gUYrLXg-kBtbaR3p;kW3H$m>PdG5=KIu=a4|o->fI& z^4VJ%*k92026kC3EXCdN{j6@I`bPL(Yjw<@V^%38_R8$;1NGJg`~~Z{f4kng9#Prq zEQy7D12cHI;Uf(7z>gxtatHov68%A)>6xK>q597yS@z*^OZ#+15jZ<5)%7Sjt4nni zA*6o2vcjyOg{_jZuw0+FN*Zl%t5Sgq?A)q@3#)b$*|}C)5|z8WTUr#By^mO5tE)W!INu;*EtX(V@px-RxO8k}9M%f8P%?+|TIamYg5)w;GlQxlI{I?G zj-I_yH79;?chBzz#XJnmpgd1XHZouvMt!B?7~R-*hs<;&l;qx#@9^!LiJK?NUKWF) znP`HMoRs&ojH@^JN#CFZQc&`Jr+9Y*+mz^m5(f9p`mbYfgPDV_-4J&sbSB|^?_`KL zllO1K8xoogXbbkfwW_9_Fa&~BY6OYO2yl~gP+~fy(DdZ>M>7vLIZzIAH}Volv;2?;lIu8eQY=_-%sc&9a+9}UYC>duZPW9sH)MThs#BaUv`BRx1`Ka-*$ug2 z8)_*0u*GVJDKl`)B<#%@AmX_R&6&f7Q}Z;NLqUC;v3sa-GI|!Bpj-HU;=EP>8iBaq z>$-6E$(V$*({=1mO>72rzi@q2VJ{_ANYGkku#f+pbelQ$gE@_4Jr9ohZcc+wZKb-k z5e4t)nqr<$Yha1h00V~FOX4=z-S#~;muZ}wo3PPg)t+-or}xF_bSEl|$>i@r+NN8e z8RTWaEvYkYg54qU-APKk+4HB!4!>sokUMdwGbw#qxP5=rL(OS&ZAn&Aa?(zi#&)It z>>KWoLm>J4b7okK`iK@M+ZtS}8$Yz1D0=RzG2W;` zYB>itCj|F)H^y(dIXL;{^gEELZ@4<|FtUc}uuJj&b1b1abOvVhgox6u=ncL~kROAyiRpQnvN1+#m> zxwIilAFyEGH!RYqmjuUA9jpzAwiO(gD>LQOqv8aB$F}l|HKs`Lm^5N@Su0`r^ zD$Zj}HN>fquIpS?iv;XC)rw&-y*~A|n9OctJuIzirJ*42)K))VT`8=%T}G9v)PY@Z zaJ!Y&JrLP#uXk)E__4d9E%wd7L|K&>7Dgu%xxrp6);TAa<- zCEn&4u-wL1R+TuiSPYr&vM^Ujmou59S+>ROsKkSGyLSi`>HH}%vG35PV!2Jp-0ck@ zVcS=j-8peA7X7vwYLaUL$2NO~a?)0wk#~rRqe$AM`Js829$ z^D0{Qd7HZTqF}1(c;jvpUpoEko365YT~{{QDE8;OG+-PQ9}LY-X3-odRUg%oX5k^* zzI(fH!nO5o@QxM8KAWAgY@gSvG!ipxMgbS{zH+x~6Mq{&RXkr_BXLiIBjfJ9sJ(37 z)8D7o3`2FRvzX2{NMk?57OX$-Y2bsg&!PMn9c)V2Ms8O0pQAlk}mBmFOJBt%I6 z)N!=ez|Y3d0O9UJaB|kiAA-A^=G3G5v)x*th%NcVd}>;Gg3;166Cxk8vyE0u}1 z$-eM!QBI5>i_0R_OsB7wU2qU-PExdaZbl|Ph;>b18$^pB5NDfcQ)5NCQN=tMwDa_nNG3>$uN=MO z@clzfC3)bfiKnfa38^9{Ygf@FNi||HTfNG#l&LmY{m<$(Qms!#f)&29x*e+(X}~Uj z%2!_A&=R-ZN(+%|H)#(u?%&|vEQ5-*f-ZjAwOf}M!R=Zb%&j&ohx2dsW2)U^+&-R5 z2@WZ{p0S;jF0i}cKP~gzcpjq|Gu@RrbAD`pQNwD>musfwlCa$3Hf=NFeUaPIxk%`rmY-@=L z*D6It62rT`)%aN6Sb2rDBdze@E;Q4;&Mq=U-fpLT@n>OI{GYZjS?z%{)0y^*?Nldd8%uxM&Oo z=hZZ=QZ2d;(p(60K$~7eeUI~lG$oJlRH*_GOwpUXi)PLbf+jA#(oqNKbHa(0|DuI?tz$z0&x z@p1fcscZVp0C0`LW;qALN}EA_<&ELY-45(EqN)!2v`vc5KU3jr?#2k_79Qfy3h=2hTdtRf~Nt`P39ek z1fL*@RLcd(USZ}MjU28=#i=pbJw$P|?7+$N|EKR95$q5LRFJQdkItAes`{)1+& za2248jad%merur_q5bdPklK%X!o2Ufgm~JRk7chFZNQVmknh0yH z>CfH>284){hBGU!Ht`!n_4Jg6l_>MG3X7SmLaLGuF3%VGgL<@LZ)CAvE1w@T5K@y7;Azo z;9L1-TJ48-sG)r+HEPL8mRiLcNzVd`+a!_V@}o3;YsFdHzqh*Adhd35xBG6Ex47SK ze8%P{I=4QzRJ|6y30eQI@xi+=`p6pp(Zsui8;y+}wvPFp>`JSg+{Na1_Z)X5J}awV zqk^-RlRtJKxl`4*Mc{V7W|VV7j$_VXMh$LJ?elSjmO4EEsZ`U;E@;orBz+OdIj^#o z*4EE0eKgj`jlg9p{9>$Pv=(Q7EgD$gm~S|j27A9OgS3=B#m@oe@GCrO{Z|q>#6bOi z6#)wAJ9zm@Z1$r?$zk6T8B4TSSJ!>FCe)qNgLM;LobG4YGp@>$mCu~iG4r!DB~?sA zJ$4F;m1#$5`%>SP9rol+4gu}WmrH-a>B_jVQ=RjN2x5>Yr^Q{vepWBJu zk+u!zq4!6T5Zr)KQ<2i7o~ATVubNhkr%4{+#!hOse{NgSU^{*JFvR&-)59kj{ps5j zH&ZioxSJD=cuvtk;X`j*xH7+AgcFo8Jf-|_Dgn;9n@NO)KdA@hU7*t)+(eF3=9XHDIH|^NC z;qom?07nCxAv~u(j>-v$gUgu-{?j7n5rsCU=93IlS0!J4@8650-lKv(zQ<-UEE~O)=f-Jnsxd26xWbgk}=?1o&Th zA3Qy~x)^2J2EuLYlk0@SH8#pBGGUh8%EB|Km1|`pr_{AAL5o`YRr;s2-LHyZTsJ*g z%2kNmOv*Af{E~sv4qndC-0VXC(r0_ddo?mxVlkO)td==zX;@m@8V>V$kI^R~_v?8m z86kTtcv2a*`KD&v#f#$(D~WSw3QQv8Qk-S823mT#-2p>t4C0`nn`??Gdp-@6$+lCBvw3Nd7|sMQq6sv!NT&23F{)NbE$-Eebm z_y6tkiul(}54FC>>74q#OS5A%cj5LAQhmeP47ixnT=#OBt)SEa?`~%HVwnTM`LH(M z^kosC=IR-krPn$C#dc%OeGRVG(+%JYDTCJ`YyDQw5Bl5AX~uAy_XpYtUEbct`>x)t z|GcrRY`YJ;3Y_d3y}qy3YjhtXt9FepFIE}hO6QE!sPi#KnzS!4)3sCyY&F{G^mo?e zV-EA!hqfTuQGRSYGK?pj#IfXzcq@+G55xsP2iYyq{f6I3c6Izr$_RjR=++jEn$!_6 z+z;f~q)uE0{R2nrzN!tBH>smd+6J3}vIo?-Ku{qt{(MeJ9&w@P)KF-1>A3rMa}Oc< zW>AbUM0jG5PFT>J0Kf03#cduI<0qI2K;+qs7OH*4Cxe_Da0|P0d>S3lh1}gHlg%^L zB)DKFC}wKP2jCDQ4p0fPnIu~=Y&Ei}6YAC4^vs0O+qCC72W|3-JD$Ws>D4aytd6aG+p_IA}a4HE{Rax6!*3aV7=K zqnKMT=K&oCz2>m`Z9sZ$%(`hX1!G#<%pTediqmlkcLVuDeR%EUGe&aueCLuN8ZKnH zq0jD{DTu-`G}mTWnl4)BHotl~<9u{J`S62pU6J>AdQPLpE*CE@hUwD7jM(=5tLOH* zcEa^}LwbC;1T))`5La(kRBKrH&@e(Mnv57g^@zOQB95)%uG*Je2G1|nY87JXip82z zc!iCqyWi`6JGJDld(j)p*jlQUVMOgM)Kp{g`hCh!Vc+y-EEzb5U0zjFh|XfOs;oo7 zG+WoKAn;9BH=QDho1+{1n6cB<9;M{$@n)|IE>7BHA);P8#CJuVBOYa~VWp9Ov4}Y3 zK6o;J^hnP%`;I&Lcs-^_qMoMrMIKRuT?dFaa!kks**m-aF_r;pn#Gr$Faf@Z15xB^ z7A~KlYZ$|g^LF;X=H_zk<(wX?JzwiS;r%w#^W2{g@0Y2~i`t^=fmGiw z;~o%N9wOdegRZoV7so$=1pkYPh-ZHIZ;AeeHFfCFf?=NDq{dKcAa0INsgR;Uzx}2zj7|Y&j$E z2JnwIezu@(K^|xwXE#6lqw?&2;+nnkq4N6(fsv>wl9|N(U$7vAh~A(a`b6xfP7YLF zBwDi*C)2aWiE3@17Zae1dF`+ae+`8MDUO+3z?xQez?% z(=Z9Z4VwrNBt=*!zA1m!it-J8G|xp5Kx?PVDH%lq1@N65%-%sBJa0gsP!rEy2?0;D zh+NX|1;gaE+0hf)M4QlFhz9S41TA>{ohY68Y~0CX2r9xUXGM-4avosboK+L>oQpQ! z%q?(L7S4Crk5LSF{D$mOBb&Rf&@n6(S1(`ownt2xapJ>Uy9V}$*Y1H#Q$SC*lnSJ>2)A>r6s)z%?18?LJL8T`hP z^+U&ZVl2_3*{r~T`U35($ixkOW`v9pIb7vfnSs#*`*RMC8z9DW?1z`MkkG97 z7BuNBv2qoH>Eh*#g3vsfb5o5Noaerc2)Vc~QQ^(DrZF;1@1E#yP-_z(sVzk2@q}Wf zwv$}ElSY)Y1#^>Wia%qNG3Lqn@{4MJXoR&e&={JJXe{W7d>Zti-aI4~0kS}7FhNAB}q4)KP4ANFsJPkP_u@=o{utH$otE1Kzq3Nb;36JlNZGMa& z@{2Onaq~4bD>Acew9yIN3w`d^dlzX~{rYZ(cW8ec+Eat&v^v4=Y{v~8fVr_A=oVBX z`G8LYUWP1Oks!G__4O#!!XC-GNJx%Qx@kaG%0kE=lmf`|jKNt=avXu_oi1J64oMzr zH!>c+8!D$hMPY4YYAd-ANBZgx07`DAa><;n(ccu2+=qqtJ?{+8(L-ct%XLF*2+7^= z89%;>7)Alxh=RMW4T;iJ-XB46ng&QyQ-Wx6CMpzYcMS{aLQZB*wo&ZlX{mcmP;RYI zpR@} zhWr+N6XxagTLqs>nmXTj2sdGF)R8(lt@#Ur3m5^jEg-$X1>^z{a6j>EE1%pmD$>*3 zsiQ|C8=f4d9D|O_Ys3`k9$bXYHHoY6uK-#P6$@JqaO4hes02Yi#~69;{*V0X-T?}n zzdw%YB2;Cpa3XsiOTFk!k)KlMM1AkIv)*UAXPMsho?drx?!1^LCXVf2#S>HS@#ut4 z5eG!RJ+{8Qx;Zg0JUX^Cw7NL9F=s#r8LwUi`Y_A7stBSq|!u=Q&z z8~K&5>J8JiP^v+RT3ahB3f61_d+EWizRBhn*w~BU7tE`b5E$0$dOakgg=9a_Y+Kgq zv$;)%^%DK2!&!|&=lQZ@5r%(->fgdaM~*Kjfy77wG4ZmP7>zipqkg;~JIr{zoSvKt zON*S%V@-)b9VszuKggGxs16n1^__T|XgJVAGyIa9l$sWWBjCaDQ8v1qz(Pc)xzY&C zdOB1b2Y)_6E!EFwws|MH zB89+?oS?617^X}H$H_^$k=(rO)g52=`OnsA#P)KIj)K4G^pE%cE#w>3P|eP`PM zJ)Gc%9~$_qVaDnCTQboZI0+u8rk0z&fc6KZ@AoIGeKs$bWr3Vxr~>;@cC5dOvDVf# zAcM*#O-G&Ezwy9_;5K^W-#WBue4Y8}JmwpqTJONV{zqQwECqL%Zudqea`u;0JqfVPJ)OJwBr@7rkBx?iuG<|u1HXpg>-JY+}X2ePr8k!F60|FMZ zOuO`tD3PO(>t~7L@P<$v?#YT zA-S2R!}=#y=`PVAD1ZiX)=CKBcbTFIg66iyndrG_IPg+(Ckf=Rri29IsAgiUNjD^6 zg+gzfo|)bAn5=m#*4!aoxNmJg%Uh8Aq;(;1zoa)Z{0|)y;-CCoXt8e80p8e4d#3Xa zBRIo?ZnN%jF=z{;%ITOni~o(ZE@d?y*%`4HGU;ng3sSJ4f)&L{vgq{%HD$QRHHOU2 zTmZ4w#O%O&wUN2jg{L|Wg@>HM`>j%l+I1<`gtP5i{i@O>@$z*{t5+uB7;6r&tOnL= zm~0b~MGel~Xw3qabCv#FO^0t4a?Ol*8+b8Tf}Vqarr%-phP zzMAHP%{)^aD91x?m@oOw);uYmk&;+ayV6&?L3y*TY!UmD5em)50*a3y(-C*Vg?nM! zeu*1p#4>U+<1Bes&QK}oik`)?VIFn11k}{_`cU`&zsOKXS_F+_F-SW0d=iF|9uY8S z@^A6_Sj;V7jLHjA3{f0~XxY*M{1;6_T47D98AewGs9DWyh3JvaFTUkLog?i0_^Z5! zBf@>)-q!COH++>;ltq_Sz#}8_j=DxCLM+pB@#0o&PPT65m&Si@Z*BG-uj%33tGF{~ z`+q0!WcTeZPt+f3c=!8{rkA|VvvQ1H54HJ1I^FrRgH?4#W-VhfDM6nF{Fh zgTdx}-)$M`jg2{;+L-z~z$Ybo-LsIFR_($oZT4F2Bo$i)O6`YibUk$@6OYX7aO=?ZGf6toUp znuAbrrcKKlH*A|B=Ra`~;MC5(8#++7DT-Xos|GZL;vmFwKFq~OLx9Nx*$#aUX1|&g zwFcPe)OlPOWakGjUn8vhE8N3&-7jZhj5W*GcFWeA3ckm#_IIhXmgmoY>#y+nLAnBH zAGbZ(p95#sC+IOk@bH1Jf&g zk9TaJJ+-ufqv;Ry=V<@=^}|@kKtzN~GM9#_Gkp1z9+pIlNnph3L^bbS9lY zn+^%@CeEky=W%er6iQ(N8C-HRdARasFq~lLisW+lvpS+tTU`xzd{U;QHDaq{OAXVo ziduQq#HImdEX71fl?TOqv$!1+Hq?5u%-Ke7A@YvCMps9SR?6$d7xufY4%~i^gKoS0 zaAuan|8RZ{_g-$<&G6G4-~GO`>E7->8{PHpyIUT&xgFQMzg2VjO{SvN_&HU+MK%)$ z^&vc-MGx}v9pC-VXJnZW^`A_WrGh;(yFV~x>=KA)4e+Y^a8YOk1VsAhPw)j~4e4gVt7gh%8hwIuqm z<=?jfVYa|hvgCZ_wy-Jqr%0YEKM*5v2Hq$?RfE3BchOx#-os710BiK{85H7@$BMMx zh=aS4%u}o#g;D0*eWrj_QaIguW3P4gX9(~1kPm56CU{!q>c#G8O^|cxZb`?r1s$hB z@xxp~ZG$t^H!x=po%At|fHIK2K{q+dnDI<{%++?jKiBNwgu4N`Ms-P$KQ2xP`pvTu zM3{3t;ku449>Ap}lQcQZr6v@-jj;1(kZIEtWkLNWSA?=W5eWmOzM<#@9guAMCcZ=S z5JhfHAg-X@;A^F}`6!evgUBD`p#T5m6WH$>4INzB*Q)~?*=7uVLU*n%!kBQ&q!!n36YTWr=kWMWIJX0DI4^;{jj z+J%?quB&0Z+2ZOt^c2gpIyyXTqso0<9SOq9RYe&<*YTvUphLc&-7rgyzB)pT3`89F zcmtAUkL?a^ZNBNjB%GV&woJRn4YDCv>GGH~txbR}K;7{$2hp6en%WH@B0zLRY=q>u z-gnH8hT5gg1P2>45!##>8Q;X2a(WDq4vum@Nk71wv$&|AZ#&ZO%nfw`HlPvCh2ZBw zq0qaA8EU3Ba?!fdY-ERs5nB{Zyd>*8S0R42p3@CS*X#njYOo)R4iB-hiPn*lEmDaV zB}<~FUS2~&t}-q^DELOMrog%2QK1gUjd>?uBdH}6tl2d%yEZZ3*8QB@o4$8#b9ekt zmwCbVZ!T`ZUeE1+pXY7b-g~`&!*#9ob8c=qHNkTC``gea&Nb+%qQC=g3AO$zRWBIW z1Xdqo_~RExTc<#4zPpm-nN@*d_pVUBDpl(B85daG#!grCL#OZe`_g##eSKqX+snQ^ z5ora~>=oVO`JnrK&Ze>G6dE>yo~&gLI}$ye#AB~aPKeaijbNqYkt^`1{(UzV_6D+s z;)ZysZ2C64L&B+qyF)>FZuKn5snO3y7~h@y?4M8Rq%Ym2(@AS{tsCXUPJqh6=1*;B z=ehP-2shfcn&0&cuk(;$&J?wK`%-e#0IxX~m_(~DZ#MwN2a?x&@wRP7=4mx_3Iq~q|wk<9kjw( zos63d`=;HJSui$BIr*%@rk5v(0e&e%yOYiEVMhkmIG9w=X5qCIt6Zb zN!qmZo6g3RRLcVow_sjRGv`c4L?BrS$@0O$>99>zBpal~J`^!kUp+Pd2HupvbX%Im@;D=Sp$ zufa77>eNMGR#w#1;N>fGlS@f|r(C_T%V;)h30XGM26n5Jr#5?6ebB4+r-36(zP(wm zqE}{A;59L3R~V}pt=+3t@zC&CN(-a%c=*co@?pHznh`P~UtkME%QIK}F@@m+HkLJX z7~6bjVz7fkHMObM==0ka_Imh~^NT7q#P(gx9%uaU98);dld3c7R(*fosD4a3ajK)A zC)On)hB-Kj#>{Vw&>iV1AI}Xb4?vwtGvk+``ZFSFijk=?Glz}3HkrRB&6q#(oLWZ2 z<;X9v+SD#Fz{{FyBv>a2cm+oY$Pomo4IL;Q2cV)kv@`hdFsg-fCn%prV+%$~MnV&Q zOJT)J;ba4VOZmYQ*aQ(!bY!JG-%s9%MGqw-aOCmXvxli-3$!<&k?P#uJh3xwJB_g7C8)7jGMh!$$mEBXs=oBFRiOi0RAt0iL? z^`hwOOCduYR;0bwHJSn;i}_eLJuJQyYlZ1@aqIVf&c)r`w{VRP_a08U(cZ7QyYF*6 z4!irYe{FWp^*>JXboSprvg|fg-HQGQnv|=Gcu(DCwE%Nz!Um?6W?)Vx#5irruJDdR7ldhD67ar^AyJb(b)1!kwWU z^#^%w-9==4bl_2AGIy|Z>(L1Ljli7im&%8LtWR7kO3`Cfz-KpOf7Nx4YHoMrs71#a zTn!W|ms&V}%r3M`DN25sCM5dp<5$#Z`CkWeC@RQq&5{r=A|@^UNL63$kKp`{hj1NICaorc|l#7{^4q@PI#K z4L1m%-(jcssX^A_q^+NgYx#r!UH}l9;oT{r^)HCiR2zU8G6S=EFNoC;##l_XG!dEr|WHD`y^BPK?rCg;4s>9A21`&b%zG z!5^iZ-u`$u=U?GM^F5%#d%Pt&4)O_xBB+@0i0)9hndM-m9IDyrPwhyO9I?m6?C}f~ zm~WUV;--kJ{-#Utov?!SE#mofq4$9LNmEDP4Ngx_+l{a{&^~zj(r%RnkKaHh9iAa& z2$)PYwgLWY4R#2NVh(!EvEe02ktI9LAs3%{e4A7G^+iiyAOU&yNMIqRjRJHSX<^xMITxP3P zfjqcjC(9fUeLGg3g83#=o0}c}&1;*L1H?lvAF>GVhB3bZ!&;%@i4vwy&8c>6{r6~kZ z1fZ0JZWuJW!FXHk?4F1&v7+Nh+bG&`O-nJ#)~jp{r8fuL(ei3+Ht8LDF`m?sE9Hrr z;t#H4(RN9xn++u%d))gjK+pF4KfEk(-*->h-gfON_~On7MlGtdtTS*TT`p

FnV& zCbt=j8<*>7xc7LCE&aZ~N;|nZjwxk z<|i>WHI4Gm>&Mrs>2Vl5T8?nPk5HP5dujtCwZN-vY~rHA>=w4w4Srl+HQ0cKSd{Da zp8*!DTD@4RZ6*RA&2O;0?p!mJi{MrsJPrkODIJuuEc8Hm!3d(*I22iR1QDMkBuZ&h`zqD{e4`l*FVRD@AYNa;V%kC!;nTl#?sQp;EMw^4P(d7qu z-&rvP-azh6Gt*8DU7~IrH@*4M9QB&!K+vd5>7+J&H_=X&HqgyspR>M+^*YU3%bo*p zZamK7hn&IB5L;MFE*ZVu^GfD9k1Z=ZgAX+QKmL+pOflzEE;^a`j3&3AalgWjGPuyY z{95XnKG0fe@VE&|)@lW7`R(V0wGEL|-xPY@EqU6Z!hGUnm=E8cJJfl63$~GE(R;)R z*q2y1Xb>{Lx$IyjG=RFt{%&yG!L1Xd6Wl=*69v8hUnqzw1MW7-gRh`Ks2_q%y*UTn z3~h(<%iKsaR528yr})pc_RfR|!|Z|EiHS`3wtVk5D7zNICn(nsS^} zmz6UGkI-hN`j6G9R3&1Nz;AgttgB+oU6u+RA{R@!4ug^8uUE`)GC34Y42x;7It$TkURs9FYq+OY=V9E=tBP>Y?sxTtxacQai;L;m+c*}c#wXWT$J~Jl zNxi;(jBj}3Z|al_Zygks0O7uZOGIFO%s(-vGo?&ufXGNNA$!TkBR#-z%w}$9Y2{zm z3)PxsaN)Sjjdw9qIzkn9ks_qha4S*tYlsx=F8O6^kS%64|9yyJ%d#KG zc>DN2*7YiAl+YkOE2aSw&nKHsBJc@zi4daExO=+oc!Xu4rmRrGT9Pe5<>qeKSie5Y z4t89MOTa@`DGL`4g4VaJ<5iTTaQjxiKE^zTmFb$a;PJM2uZ%ZkPOjT^Y~ov58+moy z=(-=aChpp{af#L9aqscpBl5Z$8ngH(Lbz7`EW>4evG#CJ&gFi;wYlGZx1%#Yrw#Of z!1-R^r=O?>CwB6u2w&bdlXZ|nl_QTQK8i}QT>NVkE{)< zyUq9w{36!?9ErIlW~I&ly#-C5;I*0RGpz@G2b%Dkf++t!D#jA|YSm4n@JGU`3x*F# zl}%J7Zd^>4PZ?N}u7oP4L8COvDb199HK6?>|LSY{58C=O0I>ms6OT~@oulEml)tOx zp{%|{znIPWBl~Kl?hPwD9sy79>5*nG%Ca2^_4Svtrl)-Q3qqt^Z&kZ7)#Zmy-w?)H z)06^NpQVo-U?kGn*Z3PNqJDpXxinRqs78Xeu~{OpQ8CT!h37>Cx=_7zUUj zwVbCx!?wp5?Hk+X;DttZgNj>~)1QbAc+X0tE#hhBNg*WJ&xNEkIeJFlI6L%{;zD*) z-xaMQ^K-cSN(5c!NQ%^LouG~uXk$V&u#t78-&b$s+4a)zhBKwiA+KJMjzxD#vS zbd!S4@la06f%tIuXB^Z*+N_Jt^(7jZrqqdPNgy7HcuJA}w2#UZ>W)QSMC(`kzI%EcvqcRx4$gb;^3$ zkbu%9r3xa$Dl4m0BS{vms8ACTuCGz-M-&8GESl7oqjfSoYhw*pYZSBUPpJt-^%yFr zjuY5*twY0n%Y&)m*{k5g`0O8@C{O%GOwBHUya(J0ZX~gY z+pgBt68G%>P*YO6Cc1*C-YsnJ^^qmKb2qb_*pr>!+;8hLZ{F!z6qDYb-CGq@-Q3yN zlop)rv5fYw3wLST7&CIy3)L7M;{ZKC!oNp54>xtl{B}oI$uDqm4flS|(b3w&mIvMW zrnVpR$Og_=pyj^ce}DZ0(6@+@nEG1TABIY86K5{63b*~>PB&sJ`>s%}t`)sO-`1e@ z*vsn429<aaG$>^@(7m%gC9WIus`3E3>`bY&8XmHOZ~7DG~|uqt0qkkItl1xTNi z`|l=3t{g`?VBbaUev}8DtaxZ|-*=sTEwsGGjig_eZP1SY5^J5dng!ufUTLj9rWnwR zFiJV!U@M1ma-q?RzpZ-mJSd%- zFDfPb=%@3Vp#JAh;iVi}omyqiT5_BcX)dh&B`b6e@eQg{KYHyy zdZ2RbPad#JI@Q$GtBMND453fWqs@76yfk)doTf^d2P#vZVAnar4^;4$f+j9{SWBE_ zN|`t>Q&=gzVYet~yOCkIfV-jVsNe=~2aa_lT{`^J17$boO3itH0RD3vw~*Y_3vA$b znD-tGry3rhc1LZ{dBhDw*_Qza&_~~(6jUR@2BIi#I*&qkM2!OAB;wBJ8&&ULx}y|U z^LgRRNB{!;Z6IlA&OtRm)gv3A|+D zw%9MmuUXWJYDK#BX8n|xo+U)^#l$u0v}Ptz(6#OP%!K_s>)Klb3Ftc3S}1t31;wf{ zrOK65R>;1=D_C0n_4o)I%>P>}6SF~6?a7q`Wvx1vHMmhL9%YNS+qj?PhKIye*TIG{ zSS)tZc#Nj|USOR`z489f1RQra=9oU0jIpD7A`~=}(>gRrtpgp#K+GZ4XNr_!g-($)&CN=(!n9z1dvq$58RU)ydt}dE=U1T^@LwOBm$X!aJGq z5aR$kb72OkV$s9^IgCc15!sQd^@NaN44bZsWrJ) z1x={DFqBo~)7PTq?Whit4FEl2u?P^0*V>1i6kKoc#E8&|WcZS@x{DPKz1vu1EnChG z9u})7uWd}rRWC>83oCs?nTf>8v27e-)@^U`hdH~u zpPO{O_Hy6AxHpFT9>>*v+Q)tOn++e^P)+}E_q~bM&vS$4e55M-Yjfid$Xuwui; zkgM(zYKKp2ZC2|5q;8Zm<1K5Kd_wynsdkk~md6H(jZJswdUJJ8P z%&^`$?{URF@8#4vEsJl;t{Uq<$yE~nbz+m=A})N&EDrOBf$xpGV#g2=00000Qd0mV z001rk0UG&#wzu{6d)sY$w)^|r|N8&`A>h?o8dQ{0DWy_M29yev=fz&R?p2jnUT@vK zTHRXJQ~@v!Gc-gq09FP7?Eoi|(!HH@v%IrX9rC&8hfN*vG|ROY5*TOgmO)FCxqC8~ z0&TXGQ?pi^S5lQzSSJ?{2Gr|u5+y-I;{L#p_QR&%D*PPEbAE8nd-Su9+@d|2b6rJ; z;Zy18EIgWUIWj?qfLQ=S1^_fNS65X~W)7LT&Hh!_vfd)2+e(pT>Zby%2m%HIgj$p$ znI4dt0wK?JC%#9nKCFoUl`PNR3f4Do={I2nBX<5Omosbk4hD z$)^PW@bc&>t6Rs|6Kdv<)%*B5@bvcjb1c*A$+4oL{1e2(Kqs>y{PpUJ)xo;+s|=4PL`AC7;F zoe<(hoMO6za0xh}7xDjzD~_A1?~HhNA%@D82@ZG|FuH9|AZn5?fySLiSW1k?I)%DPUFw^ zb=uGR``v)`c;{>8zrp?%P!9$EqloKQxDc*<_({46=>r5K;b8VM4c!pue)2aXQ))4gRy_$(GQy0*qXfxV# z_FOw}$(FO}!TGH%luuurxVdTGeJh%5jY(O&7VZWDEpDhme|#MJ!n44!tvr!-a5PT-cXLQ4!Ixotxs;Nm}*}T}q-1lOKmHFL{)` zm6zU?`XMKy_;Y=%#5Mtsh6=1aS zItF6_F(E*bm9w!xn3qA#XDyW=XY|^vD;SD4fgKN;vI(g%{tn$K$m`{?*zx;Ymas?n za7y96|7f_3p*@`y@8d-0?x*o z){DG8ay$LbK`@i#Q%N*A@CJ(JUuEhBon4Og8t;Q)ia8`4F|iG2G!OA$&|;Fbk6=3+ z5cDwcs8A6gAY%8RJoF_ss7a{!*tux9Zg|Z>=ze~xDs&{f(VS_I*CU`P;M>ln^ z(zUcbJ-kJ~Pm-~;$HFDeAiaihZ9?$i)t=sFMZf}>hLD654 zYu)i1%FgBk-)8Gv(@&fOBDKCz_H8BhmuLs zAFG6MEIvcH1$u|)Db3)#8zHWRgH>0)FDHAzI*OOO zEBP%sk=kH>{)=7i_}!eb=5ROHOKYFQ!ZM*fJ;5nbJ`s%9vUv=x81DeRKF#R~-sTAX zOUh_(EG^5kl%2YCIq^~@R^a!Ur21Xb~}f zZE$aCXl&dN2G+{&yN5VAjDY+B2MpZn`#Ff-;cF-qOB6q%nn+KfVC+`LPMDr86`TPO@;sYk=!=>*abAq&A-A(>I zVR$t9?e7Vzc;Aa8ZuH_ONC@9{uqUuPARs?efYSIFE-#|?s`)jrW8hyu&vyjngWxl@ z!Gmw_ZioIMZ=i4B9{eHyfBp}`1R8_alC-ig*4<0R%z(8{iADaPiTw&~VtZ$T&!N@ID|ECHlL)mN8+**YFL@ z{qkn39@cL@w{6>zrS11+(vmm7x_6V3LYR4nqQQ5Fa#CvhO)&ddrB~t}$R=j0vdm3U z?NCsis?xRTvn6zD`64#O4GLk3(vYN`rP92s*tTmm<#C~#yS2r+!n>OV0<_$v#qtFD zZ!B|`ROaxrIx3bpObr7@dt}~3wL#_0=G!{Fj=K(b>heSH$tP&*H|G7{JfWXLi2~F&Jb39n{1mj|Ve(58(r#2WqdZqIyG7&5j|#&wtzgVn^jjprA?hF7dTyuG|C**gpca#gn&Ry`MXd1dZ+T^=;-?3u+vZ+87p!>eD{KFHj~Vqf1W z4BpolJ3i2RzOm&X9bA4rhyz*aP?-cAXzKSb9(-i7fIWrV1A$wYbEMn5$Bd_=O%_*f zZVt;=Ey^iOLXcOue{uUPe5t#}REXIcr1^SUt+Tilnl>}UYIT+yei-6k1DrQV6VNT* zhg%JDAPnX)J<2PZS%i-Ly}3YJq5Yh|Vuge>;|oav@+GR$!o+>kw)UAX-OowRg8zl9 zY&WGQjTo}ZgO5DLL+dTMX&PecYg${8+c0oOP13hPA#Hf1TeM<5swp75UejlX!LNEX zu9M+D-ThWqk@XP2yeJA~E%~pyN|9}euiC?rNeM?=3o)gn@X=$zw0uoYW@7)!R=qDN zQ{?Wsp-3lS!??J-$@n)!ch>wZ*X(eZ(#DTBQKV|Mg{;2Owek_k-#?_N{61K%kQ(4M zKo9`mMM{nb#p_H3o={X&;36g$pPT-Ib2=~e0@IUznk@dI>%3m=4#5=v5nh`D5xR^Q z(R>{UKiU*601eO?e0OM07om6Xc-)hUoA`t9ui~io7%xziQ+8rb_Z#u(G=7-zYznTv zR9`uc@S4dB;Ct^ZP>S@8hTkC`-{1g&lJAXEW+))#ofU|G9Ben&)uM1#b)o{i@5Xs zcLnCZIWj_FVw~aPw`8(%NK&O_vUlm*;!W)tD*-1bk1XDdXrX}+#7<@RA?}s?Y9a(H z*3}dsPt~m{QW`N`-_9K`UsEiirY9**nX)mMWn{65AlmKJ+w--7p-Y=(rO~lVdxZ(o z?am4Wj^=j-KuKU}G+FP*()vbvq36CX1Y|n#**RLr`X%7}iGdcvDPqc9T|qU{-c`;f z3itb_t_%|W|F*msElRw6sao!stHnQ4B_@r_>AVg;A~!?Ks3koe%6&bvv}W^Sad`}y_FD?O-%)4=SE!=C zr91mlGUaWk?_KH0jm+B^(K5?-?Q_SV|EjWOlbQ&7O# zrI!L>g6unsscd}BbRTH9=fLa)}h1K>P{TH|kkLatV}TB1k89Z{dF$j;!1F!FD+(X-(> zMDO#BAxbGxRld3@9<+tszR&C7$^6Q>BT|`1HY5_G%9wjc>=2qILVY7$MlA#MsYhsq z$FJ74s3hIPqlc(fGcDi#Vw+YK^vw`LmYlIG{j$PwpFZehxwyC zZ(ZAJG+B-wil$xI?;C$AO64|!L%h$*+N9qB%sBrCa)jU#Cz7R`k7|9R0ww{H0(ifN zA3DJ3Kwbfw0zd_rCAAFl2Pl9yE2*DA)x6v*VS|j*kAKD??l5ig9n!6rU!@-e&RGgD$n}~p3q=ELH2ml{yjNG?|hJ&z9rtEzCj&- zuzQ2n;T(9dHsSxSWzw`LEzi#e%;72-GALoNa$Lj{^6zhUiS_C8Pd&=7(EmAk0p=7f zmiv21-MVxz1|EF->C=aFoX&3D`!;F0#9fk{>MrI>O3DdQUwPi4H}7Q5RilKKd)d~5 zDQhM5sv;(e*4Av|CacS=?1ri9w0VT}ncdQeB$Fw_JGBv29JaSqR34qUxmFj@ElxUj zc&o2GK708FdseYFKZXAB#!3Y~7*Ah;1|gQUR)7}E%vW5Q!_H+Z7bEa9I!i?;G`;2$ z0->6&TLlMA*m=<#e+LwNh$IK9?a0urK3@BcnOl+d3Q(}_E-MSM@oqv(R^p4WZb*R!4SxOeX+;5(T?Ng7_sp0UETx!x6^sP z_jX=^$@#k4)ID)AP#ryyCrQL`y&QW>^ZI^Z`^aXsFA<(6xw-~*`dbIM>KXw)P1K8I zW0i#D-R^=W-|q@OPU@`)v?WgQk3rEoBZiLk_y!!U6f(n5Rd;`(EXaC$`|~->cV-;{8lY4TAXaExZ~Q<|SmB<4b8MjAa{&Z9x&!Wm!m)N>?oJH>8ED*X3@BNS2FtS(}z<;^#`y#e6++&bVj3Yz3VC zNDHuS+mHZv&Ct}9KX*J29(5@ne?#3GjaX1^0{OjLea;{2DsN16_QwCjQNG`M6D>2C z+aZk6MxvRJS~-e+K?i<^az9I|z8F=)rnv*;zc(7v-nLc1KNk-wAhj-AVbAJl?PG9Gb-V-lT81|HFTY z7ph}JA^F_H-#kB`s4tNrQo3Dfoy5z8T4M%@G;<;*3|h1&Edh& zXd+4XDS6gCZP#2+7LHslCy95b_GX=?hc=HvVa0dw-RtS*zHu(zx9GjQ{o1^G?cD74 zD`w^rL?kpsWGr-aysR3ydHLmSya`O+NpX_o`3SXp^4((3WHTJHSXH((1hbo$ttbnU z`F0#zXK?}_jjgdjK9QTbvphDNnX|b-h@7n7U})?2{o4jS?fy5eZ=32IDdx%XaL_`5 zJp|n5+)6-%IV{;jB3%}^V#35eDt2n%7!QUtnM{*x_Up+&OshI{T>K1!eN-Nz+b$0e zhj5;T+rr@Cw7B*K1tA>PzV+1<2;zLUH|YhV7EMelBe{$vE*jxvXOqrNj|MgzUypb| z|LKFEen5gAo{65qd_Ds5kH_R1gLz$MKTS(#WH#$e=QFh#G-mU8ts2M-eN!c%)11{1 ziD~9(`g2)AF>RJirl3+!C`(7ptgbVLM_8;MkRgxPY{%lq2U_;wu>-dnM(qEYc{3C% zWw7xIRk`%yyY{wxc5^_l95P#yHlnCvhHg1`8PccLfNSfAlS{1S`z`3{Vqz3Su68=T zkk^Jha&ip)meDh;vGzyY2v^qec%MG5tcVX5E?5}kpm@2)J0CeWg7gh-u9zkU|s6)Qu+QaNnox|%vO|b?M?Wp zy*jHMhIB_&NY55;?+G@O6!p`kQhLrGE#C)uc`M<~*e62{Cv;T`v9sEp?>2h)owJUP zz72y_{P%PIwo*{FU;i_G=gCGYZC*6a0@mT1U;DbqUSu>CS zGgUvmgL1Wl*8k|f<6Ps<{@wJ}_y*q%3Y^rF=$m{~{?qEs?G9}&w4c;}PQcDTT-5UY zYfKM>hi9NO0mpHgHI3wV@?W1r@WemuP~qR;-@&4JIM6ij=pp28f0=7a9RC>(|4F_B zR`A}G^QVw=zzv!`9vzwb)2#wFpDv+A>)2s68rvP-$Q(XDD46_B-)jRlv4&5Z(x~%j zYD2W`bh{3PGf`U4rCho_>1T=~|(Zpn{N^H``iZMAZE{={dA|?^jYYl~n#J5|)5aJlwRXy zohi+ki0K*TW{5;JI&%=ZQ+7vQvmuWqklE|TabLHZj?8wrWKSJCeY0u9vR*V3kBe4s z%Z?T=!$M*`yE`#f#VSj|m0RZgf~Y;wgW_Af9$XyRZC}>?&hm)it%#QL^SWR}1D?cb zH+OOqMM@cW?Duv6V4p2h8l3 z6n#*1hTtL;iO2aSGGWAqbxSR1buWfCV)Q-(EdmAga!ZYJS=4gJih0h_vQBfm@+fN!p(Y;(%nw#41KGfU0-9i^vR^g4fw zjWVbe&NfD-3}6qB<(t98#@!}zvN1ogPCY0Ek>>{4ZE)nX3JRLHS(Swx_gR#9(*~96 zvXXaxqexQvVu8?mwKFvu8+HC_i9(UNp)}tk8hdU&i^Iv$cJ0W#`-O#XZU?nV>G?tp z#{=?ke6XIDi1sJy{*&<8W~cst{V2;t zJ<=-lbl(kixIi%}iF$Ox9Ikc~nMr$n>Q|>PEimqT-h6**4mO3?#QS`c@sOp=A3b)j z>)6qJ=U?N06hF?iMeZ8umCnE6CSY@#`v3R-yZHI|pTDvFPrTuw@6q_Ec#zzgSFWE= z>d(4#ZAOV6Q5We!88qJfEnmr)vgGV|CvJlY;KTE;?m+kNw7c@{bnjfA*DifK*}l1H zZCw1gD0cXBXxRG`$VkN9+J0+Gy(P>`_bIl7Qrb6GhIYk0G0sdykyYnVqHe@yVWNR; zngRgfZ@03QG!WJM9&clT03DL4RD{FK<|-B7rnA1+B3zyJ&jPi_4{NsIU1UTY5DVrZ z=6gC&57FURE(K6d?hEUX&@S2EG8qu}`?fO^@fBC&NDP=RSB#H?z_YQ#LqWnWEW;%2 z@hptdMV@i&Op#PEDqhnT2NjBKxPYI;aRY{Na637Lh-`5=HHbQns72v>$0roT&WIrp z2t*{D=}2cX6iG}x(y5Q7F_&Zp1By)qD46D7ER*zY_o=87Wi~ZnA!bGMv7@&pV>ozm z&yqr!Et{4JV`jjtwC^=${Tp2o{}%I0*}QV49Q>xnm|=5vJ65bWnjy=Xc~E&Bb}W|2 zpfxRyex#%wCU(VuU+X%ttY=6zzK(C?)X2K@+39;!;acl`$pLdk)q6YBa<#;}HQgeE zR8M*Hdv&I@@M%KhzTxdMeOj(^JGeY`t%-A!&QRVvD3g$#_O5R7C?AFK4{-919X`#FX$hOV-KvN1PWVI%|R)dBrUd%F0fbzrsYz~mTWEm_YORBhVfMQ{z zeq1T_T2a~Np>HUmMeIwV-dCqYFuSdw;w8Ds+9{Gm0bX1bdSBK6?Kg&+~Ji1`wtC{N+ENLjCvi8Ntihg-JJs|8s_@ z>1z>42D|8)rvt?cO+vw2|0xNfe-oE^p?`jgF7NN{MP|fy;&Rix_28t=;$B?^$;>O@GFl!6A zC+>j>al|f6`3AgwFWqZ*(4TMIYd6J|m9>G9eSzt2w{tbN!LvD>2N$*pkd4OL?nrhd z*}Ftp-8-DzjjXx@c`*G7_o1@4v6P-ERZ>@gL0VU47B2Pe7mt|+*s5o;a#vOckaD?7 ztC(UoQw;(?pSv_aqp{zG&#f6&SfOxrZ5MrkbDO!o-@W2YI5X=dQ7H_l>x5nHk zNTzHF5m_<2=a$QtP-9TWckj0lhwpW{&aZ~SS35locn0ecgmThZ`uwMsu1&Kxn6V+R z@kZQU7_eHmH^Jdreuw*ZzWWD%{kn?GxZpSjx*j-uH)zOEh6O|?H%XawIZ|0$YYE~z zBNYmgyO15sHzLJG)@RbN!aTUc+Hzpu-YNj0&fi2atcM`YhUI$#!3q9`_t!7zozuqF z$NGoJ8W74cWxBPxb<8Msflc}z)#{r0cfq3dMVqyP$vWEiXaTCM9ofqCk`?W|@$x)r zzPk`7JTFe9P*Lla>5cQpEfKH6JR?g5JG2UJS@|O^T-MKaCD<_J{jK&vmdXvD%n?u2 zDKhK37|gxGm-n2t7uUGTbiTjFup8kGNkYias9hj6YERUZ4O}fh-j>96_7KoR^3>%* zej5oe9-4opy~26-j^z6G@j*`mz*_)N-ghqYU7~T1;u74iElw(uel?yHEb9$4eo^$QuctTCi{6+w zW2xQbp#@~A1A3J;Wyx6buKXKA$CL45e3&;Tg%M)MxUfFF6AQqF?_jI<;_c4s-QZuY zZ$sL)7@1d?7+Lby99c(c`sWgr(@m|r*QU02?%Ufo-feT|`MUA2d1!--T~}cnnX}ok zsgJ;`)md3jkd@&Dg}%pJ$d<&k8s%8xWb(1p)~0bYxe7JN_snx5%kVfl4TcId%J zT0-XaEb_4AMQv@a*~SiB9NIBYf`}4cnwx&4xJUgB!&kYLBwM^{I8XE%no58|yTp5wnm zag2F(SQat%E^4i%`spOG)Nq!SCXM?-iM)0u zo_Dj*$#2I!{FgNF=o+3Yp#G2;VQ?2&h8%iW^v zBhBa=miHBh7D&;+L4el;iA@Cc>)UeacYHA{)eWt~-j%{!-|PQ;yxbh|K(a6M*8oD+ z__p`KT6eomW7c*b6jvP*G{HF_hON|)!m$~lS$k?}r+nsV*S=o~I?(Fgg?^-1JF;IT zOZtxtT%IZ=S++()wjMzM^y1&pUh3w zo00pbPx$uTwqNSs;lUl``S)~@e|IGble`Fh{Nomo4SOwW-VRpFr&Jp;)H^H(bur$`0{T*1D>J2f#&zBQ2$6XzE%03m!N72 zH>0La7lNhyfBjbYbLeJmLzBN#%vnpWlsC}GnVC0$Ye zKDOf{j1@6lyc9Wdcm))xP|f7Ww?G^l8NE06ER(jy4<>AXL5YvWVcg&i zPvPL>WKNHYzRhYp-JT@%vmRe&r!w+h-1DK)!?|+<-o#Y&N?-3eGfy9qhYJxWEqQMn zwKJHtTHQ-bnlLWkLYrBgoa=~L-nQV@1^WPdI1@wR!gy56!7d6ky=xJg$ z-Z^IuM^ZH{C#&F*0OL^N4H^C`MnjYPj?QDyhe?{eWq|)bs^e#@vY5=$_hp94_~oax z#q;W_USa<+^zxkZYywjtb? zI67(e>UxiMxB)F(F)6w%PHtIZeq`wKQ*K-~i$jHtEH_L^xJFU+)h#ga+);DHSr^+) zG%{^_032|9`usG-(dJrQrY#}2I!E(nYmdvUbvRag0h?gWfNkEZlN_1)HY5N-w`1EW zyt z@8|8l{?gH1OX-@P{k&~l9(Nmh6XO5D?{-7~q8Gc; zckd2y1Wvqf_#^Ro@y7mRY3^J5P7sXa#D1qDaex06|122*jQ;=lU&Rsa&C;LhxOepb z=MnG0g!BJbz+ozGm2?dhe~@VAK2Cj?eshZZ-bdat#qj&A#YGo{OS$>;ic z?VqjvkKZymy9|AZi2ZBW-~jmSC~ zoJ}4(yHH>j?(*hzYyA{SrNzSjvbK9A2Val=K&z&KWc%IRB zj6NUKlq)fagmSuny+L8#FCQM%3>qqah5+$6nG6}S?Mc4Gl+oeV?qE>uy6nBF$?ANH zh5CSY7Pf9fB{el_#vCeMR?zG|D`IF8V5Xkio_s=|P*>j+Y9^h(oGCM)M^wx#D@!M; z)t1VVNNTlY@ne_ze(dv=oGI0R%VS=?$IctDVnc=|SK`EYS$YU`RLMmuwD%(Qm)0Jh zTHI|377DLEpK*zC&o_wH+OxO#bs1-5*@x=V%?b-P>&p z3|V__Qj)hx>PB&6CuL5(%)9$*$zHU}=O(3F(05zD+~hsTz`9LT1!|4b-?p7o#d*)& zyY2K>w<$=ys@zL}uU32J28cw?Q_j2Hu^ggPpHxYaE%blI2JMwrIid?{j{U z7+=HuKknki_`*j)dBDqo7Ki(yTaL6&)&{_e3}5}on)Y>rZ*H?}y$Fmr>>25!JvbKIw!Ql^RS909h)qSpQc6a#A= zK2ToY?}`sEMLl%28a-OOx+9=%tJ+#7M=LDa4H3B&+7{i|uZO?UFSC=Bj<^HAfSC=LyE&1?2Z&b4{gRhG} zn|B$i_}{Mg1b_D(?h{Ln{ZFR`dnb^~aovnD)jZLhI{58B))tYHb4v72kPMX}L~JH-Rk8vx&nupxF31Wb-1 z843+os}6yJ!dhKHk22q3qocd_ZK-6u->!Oadm9s>#TX))owdC{hNIJ7FG3G#Gca8o zAJx@TSjF459d;9xL<f$X^s%`kK4U1?4& z>FMW4iP?2%G$tY%O&M%asJ=&*{Yuq~%aju{Xw9-8Qna4`lP4C(z;1yZsiey(@&1@= z6eg7$C_~m#D_@=9zHG@vZ@JBTBrRjU!)g*my82amDurA}c;~XVnVl9U%J4ygUz$Terj&qQ8DI-sso`>3^gu1itaE0Jd z2xpw;U87`Pb!ailc7P8ruHMcH`>dI#|Q88_(vz81yxaYecq47tZhZXQpL+lv*1ed;18o#hwfC zFCogmrs~Qz81%kZ=3P?Ly(whmX{YkZt~;F2sHIbkr|TSNSkG&Z!13|rv#$IfdY{5Q zub1e0T3I%OKqwu03-a(iDkg(d2c0%$9t@RkDcu;JjuCbT7X!7!-9!w39^p^!wS(q< z{TX8HPADGu+zb=fyGbq7P*J6Hyiv8YJxgi>?FI(>SHX`5k0}x6>8ukU=8uy6QQx4q zQ{ib|lW6^P@W#|Ubj^v6jsy7)`n&dNml1+Vi-WM?0d7AXWv28AJA+_K$DnWcRla}` zkLeQ#o4$LdL-&1y07km?WK%bE>Rh-@B_7s5O#cf1KpdPN!vBjWuG!cZ&qJ9%nKb46 z*FNRVd9sd78#lv>;w74?&O_VdzLjq0+qGGlOf3j(YpiU`fGd@0m6jG&)D&!$D#}Y% znjK=puu(JjPFA<$+25Xf&*HITSu4xziYn`CTFV}-7`FKNNVupN=vd6{Q)$+bvE^=- z0?mY-n&O^`7ev{!Db6P;m*!vvmDK`Tw#-p+`hXRxR}9Tj@LB{dteaUY$`%w9WljG^hW?Bgg}Z6yUXS)*zZMAhss*kIuq8W-)Dr2SWj z#lE^bndbD`+#%+1-U!U0A>d)nOQE3Ne2F(`1^x|RxEP8bIa+5Yg)G8sGZyAsohm(Z z$}Dhqf68lXv_EsUbUPI@EPD!rhGgI@>C9J+mh|g~wC}V;Ia)c54n2ODPH#IyE3Va} z&XGs!+GS-4$eLQ?;l~g2`0Q`8l9a6@P)D4vxOb(uS( z?8zXnZ1*<@Gz&@{-z^@_4AVNowGO^DSzEHk*@bq#e&C&>FY5@-PwtY5Z+!5vh*rHJ zn?+@MJF_r~@Goyp7wdQ)1L z-s$i#>p|Q{c!QlB!H(Z=5*-B^ysq2Mx@v|L#rmbJ(&R+uhV+xNUf!IG(Iy@3>>PqN zx?8t5#cM5Px8_7FUbp_?Fp^HDR74Z9_r&oxDrRH`HIDqeHQxI<;$GACZ?$SCW z_D$N9*}<#7ra8PbkZQx!UV*CkpsF(f+C%^l&kP}=+AJ= z^BpknKy!NV@=o}nPE~O9L8o`$hSxuN*x)cX=cW9irzztAw0UP*&9RSk(F=a)6P@2> zKaQUH<%3r7_zqYcnlylC98@>MgXRK$vVk|H8QwhU!%s)Z$4}~G_T}Rd>(7TS^duvR zvQKz1zHgJi-OKg%x_0eb`<9|r!qQxiUs_jMSY2CHQ&v}8Ra9BC6cuW0TXq@{H2^;L zw%J7F}7Zy!Hrs@ky|6su%etRgMEhu|A-DGQysgWidDQlo$+Vr=O6qUFkQqvecNEJcf&Jz2FLvv54WUSTl%`T7o|r{x=bg@ng8QVIb19aCBaY4H2@{RV=7-+mzC%m#ct;$v{p-lnH+ z+vq7f;A54k$UHi}E-lWbiz@;-M11Eg1i zpi819@Xus)I`q1NoeJ32%8y@cdh*%f3!Q`R|0>O_6PhiBbO*aNHC&2PR!I9%<);o= z)XSi-+GX%yacyDIB(TJ`^S7%F)9k8w1lbhL0R5zXx*+K7sk=E=F?R?MkcRM{d z`C%6$A9(i{&R|1AM`0k{8AV2DK>2HTS|Mc zW29|FQm_L!vZA+di_O5F=OT(*7#IY%s@R9Y(KGIvh3QM|S zddRX)OIzWqOvC%AwWd2e|FWj}Vl#wT0#4K7~Ufj$|S4!lxyaxJJvKddaqU==L{~%EZ zAuI2AZ@8Okwz6h@b1 zKJue;poiB0YVoUnz)#I~cHyb-NsjGGI^0Kq2S-+beFqa(uMR0XMfWLR9W#GEyPxEn z@3hS?KeWMt`c~$cI&?B9cd$Rys?jW#YX>RA88?lv)%3S9X(x1$Xb#{iV}wt-p$EDq zYd&tj^cfd=lIC7>Hh=UZ-kt@spzUVcT;eh%L@v@Dr>u)unE1SYNzED*zbKO z>P)i=^`b2gvG|=ys_=&fr9}xiov_gK&qW)?xS=YdPsm}Ixdwn!B^ARTiR6wi0P#RBIKIqXb zfh_W*40?G|d>L0)XQmqZvcjyr{2*;}#d0CMzjEPOZ@Ub~zI0x=V8`-AH|`A@GmIxR zCKFa+BIIgPQ2^aA-Tb{gk+mO1Fk;vL@L(Vo~BpWgI0ujANiwT-hcd-VAtJzmAMqz9=mkV(- zjmXxPb!F)Ako)v($x2kNsOv1e5ESn&z=<(6?)r6OF%_7RGDCJYk?lDIm6~lv5@}HaRc%3dq;kbH>vsGF}Xgp&?sd3KP)5!Vpkz1Ygh#C-LzQkfeAx1N<(07sr9w zD@@a+2Thgk)8(Da!1Pso$yWgxy{&eg5qOTL)@eFAn^0$=o-9?RFQG5<;FfCX)Y$^i zzR;A)=QQUUGZ|vNlq@4>OC*2TG6iA^k{?N?sC{?fW6Ri;Z_7;mumPT=SiBGvP4=iD=VnJX*6!T6`Um745GcYh)^D|DrZ}Ae8_wOE zU}k9E*9zNGe7Lcb)z=3F=<=dscf^}w{WoIyxjWPQjm;UL&E@{~gkRaun`8Z*Nuk>6 zz4(0$5&LnYs-MK<)QkAyePU5XcQ6Fa6D?^nYir(SRFEiT0(bU~hNFv*VBCv1q1x?>j zP7N2twskfp%gwc7St&0Jw2IM&{@8{B^0tT-;)#8Ol67-_mBe=_jH&!4}i!{ zGqxPJqP6q)D5b636xBAC_4j)z^C>o-|Dc;G@ef{W(FKr@1P8!l+JuL=ZzXLCM5?MV zD+CKwP%EKK{v!SaeSvR*k4L-C(>p1vz)*c6G;&Snm^g{KI@(iuQ+LC#jhji!+k4-Pc|-F#-(jGGl4MfzJ=@3u6>V0rO z(DJ+R5jGPzU~hn)yZF-%boj&ncJPBAKKH$Azz;t6yP$~CYas5yaeL^S|2^CpPLH> zIROnD7a;=&9g(`+j%~>C_HFyuz9(xJA4C()9CGat0<~Nj#YS~?SSv7?x;JoB%X14& z3mkEx4BeF%q9PtB*ka_hqw0luEhX}VaM4PtIA5GOWV#eFehOkvIW-0%UUofZj|vGn zZ5*@9QBicH>#I2-)Z~kcDIwepdite%4*vuLf&zVr{d<8x#$&w&AmHNh9UVvqvoBrC zg`2Wul9@mwXeRLT-&?0f^SbrT0`s{nJH6p(W~=6FG@MkUCM-IJ@-cqx#!2jV=J9+Vxy;OfFIn1#gi)|$C1O0KTr>T#jWWzOKt>Te) zfrIb)@WiL*CfdqC7aPbJtE{J#y*9VK2~O=Q^h1_@dWmtYXb}!t+3yOaXr+ zX|%T);-qw4$r;nPUCM7iC43>)ASS5Kx;=$}!^~}H_o3k39FSR1Y^w`TeNwR@ZaO+} zv^$|R?o|9m2Ed^KvYTzFMcbUK=?69od~EKxDPRR@j%oA}0PntQ{_do_^cLCUhiMvt z%>&@xzCI{8KuE{-wu|IQNZan)yvg zrUysb$q$OtD}6TT!n*8hW2$hv(g|^N(3>8*K8#b+pS|b*ciZ71?1Q%)!D&EyJ|a0+ z)1?D`Hz@qCN&XALhR<=C)1JpEIjT2>rwvsx4r?-OZO=oNSm~t)sM#QbbdRr%YN*_A71$0_uqT& zbJsomwsZeK?b|;e`}UyE9(&wvKfUg^ulGgYp8VW(4?XX{=fC$vp>8|F#?7HlXfT(U zWHfowSr9IKj2wJiY}Ot-KDKk)kRt7}w%ahIZG=QDY>sS4(id$TAG8OehR~3(@gawn z4laQ4bV73uU2)#3ZxYwnO6xOtSCl2y+%|cDx2r%jdV#fCfkeY!s@~1Tazq;vb2a+x z;WLTQ1sXwyi6cK57mc=!m`2TGgwAcA07j-H0d#YHS=)YsLE z*)eqOw7yrG!ok43mzcsry}y+qpWn{EK=JP%P!mjEAi-$(PU7EGw0wv+DYKQ+)@RqLI+)&*(`6cKNg2*DOxP zi}VDnO`_Ct>K?Qr{I#Xq9L?Y5KtIe%XUPkB5Wm4-zKuzn#J+kr89R7t8!kP!n*jh! zEi9+m^68J7s60OMwszM3QY(7>EZ44Gy1ax$TZ27fy9-5q$HY?2&dol?{Q|wPGB{{P zXI1ZdnOW0<((5Tr3AF8feDvWRwpRRhL@LLo`##LJ=<))0+LnylZI=^;qrce-q=nWr$52IvlX42%Yd@qSUEu;R#t>hjyq7#R`^qHwos>a-=E2@ zE`3w^QsG*NcOej!t~aOw3&7?C$avInHC-~`;J?^U{{ysG9nqrB291$wknCSIt|dw*^bsHYcG1U984??+et zcfVQiW_+tRi;4K;H3yatcF`Z5^Q==Pl;bR&>ixS2BUR85Eb~xIYNPJ0ouBCsR+Zk8 z_Pt{nI1X3eLCsD~a)=znPxT$#La?7Z6Le6SjvqI1WIWj?W``YO!dGxN@XHs_Qj9C_}~lv z``x>bd+lqtJ@&A>K6=zwzjf8CzU!%PJ^s+Ecc}HtzWUZ{|NZN@kKXp&*ZKc`?z4yX z_B*zFQ&CIgagPl)e{4u81PIs|IIoBun-3EK7aJ2D0~H|^7a18558Aiw#rR_+q%mAn z_{;{JKn5@LY{x;d=kldOdbg{}p+mQtNovJ@@_Bk%Gu|sJOU++lt1Mw7xcqi4nOSr1 z0pAYfS^|*@1v8Og^C6(2qGP0X7Zi9PZ_@1h=-Cq%yhtcuVGIn zm*#$Y_+g5QeUG)diG>glyT5~f2fMw!6oe8VxMGh?nakCik+?+;$>9ahp&;H|&5Yq8 z-(Zc4AmLzO42$8Q-(N`|;5UNU*qae-{H_B8E?8ET`>6cQ;U7wd1@5{`a zFIOT8&VA=w$cM{_a;W2VjP1EpjS8o_mOM$Y!Ka* zi{y-bdRoxROu974E`~cT<@0n`Z{7gJnc+f_zUOg^VD+}R2^G4xs(r88#Y2jU*-oKr zb|CHBEog0?$k4iTPZirG-q$$ilAPX%yOo9)2$l-29n45>Aj7WLwgTff{uI@b*4io6 z*gstBv!if0nyEWwiF?v|q~&ant^Ak2W!{n#sW98z79#%MP8bT?G$HG{nyZcAUwkr> zce&{;Lbo%1Q`%g_s6s%3Ald71w$)S8L(ZI_9m2moHF1;e*<$puOImRq#`;VrEwfgw*@( z92{3rr&V+2G#kO+=>$HU_@M8A#awVcrn0~l&OKw?!PNv)cxhGoLP|#L2!DfarTMPX zbg9(QO(qre*Yv=&%^Oo3+(nHaa$n*bXlLrpuGFHR4M6+*UAqIwOA>vjwfZW!J;n|9 z3cz@7Ufb8swQcE5wHI3Mmb+FxO8545_PkUtk)SFGt*`0k(6)9KMkQ7_@JyUi4jMj& zpYYQPerBQrv5$!BeTTpHcDsIn^Yz`T6;to8TeWqk{#ewmI=!+`)LQ+Ag7vRo9j~bU z*YMvs@D7ad5%W;ckKRNXOIR+W`7n{ukT8)Du_MReVzGAHv293xqS1duNCyxg;b7w; zV3~?|C|ddK4A)swj@TNA2x7LX3X9!bDy__r-d-*j;E-<^4C^B^cr%J7+pQEZ$6P=9 z++aK|lB4cBhQ7ZMzHBDw>3FyqS-1$)%|?tq+A}&XX@d4bPYdbTg1i^9w2aCr9M zmCQG*@KDY5+xXVEHAxs{h*9BA3={Fn5IglY%7i6dbabr2E#2hQ#mS|;>~XkZ+lHvT z6b8;(F*O=3c2zrp_WE8DfdV9>C<4}bzY6+V=K z-8L+C^!6Suf?)V4cAnewP$Zswn5KD&jF!FTP%y`1XDOP^vrCh;%^iH7H(t$Gd&+5a zX%yT}?abAP>h$Rz2o!Hslt>(N@v4$=K(`Y3dIk7{R9hJt>cgYKU2ytMA zp67dxZ~E^zBeNdiPDP-1hV^i#`Ykp73;1gCfIPIBr9SyK<%8Ciay#>(tIN0ql?UuNojl6v&ExkZ`+ESNhMxw0|hNLhL`{Z2DOcI6~^@j1ok7(`AJc@QqXzr zE>Q8J^}Q`tS<$K$;ck%+-#0RFKM*h1oJ7iPrDbLWTX)$>PjBilUFz4|Af{`0=}GVR z_}dP$Q^fW54d4yLa6Q}f^^b{JiZ&_2l{Y=hCEUYxy@afAj}1w@s8D`{Ydu3ICAO}} zA1&^wGuO5A5(WwJ`ugTx(BwP9S%Vg-lbz{vmH#wOp4I0&a>A9AyX3szD-kfVmq!($ zz9Upqw`7^$e9c*3N}mhgG-2%e8W!2ks%6%KIj5i#u|^xZ;MDh&qg#<21d@-G|L$|{pF;m)en3N*?bQ_-uMFiR~wSWZy^KLcja7qnx2TJzs;1zp~qrUjpV zjCy%{e`owY@{GJWsQ3NPyo8*+NgPuhctgkWljNs14hM&eeCE*59cB+Ps$AWQaIH-z z6yJU5j{shGBlt{>pcBV|>4AiurqBqKRs8!RM7~M)qGQ(w<|{Qa|I5?lpOP)( zhmRKIabaxM^)7%fiOGxTLV9rm|yu zSk+EcGk0{m_y6{|!*71|udn`o)~auQ^{T7->h-#LePv-g(6Wh&r67;_Neuq@@#sV0 zBBEj8V00*QyCOP0B_U8CpbcO?oYG{>UIL5ka1=y?8HZ32P;4$Cg)9DRK6y60SIT$xVQ>L)y{sh^gZK-a&%i;i1-(N!+Kb?hs8f$ z-7er{uI{dHq{h$7*DuA48b4i99c#p~P*JNK6i!`7@z?L-;{AVGRPZZaHQ#FG72)o0 zjxg_Ork3{*0{+FF2m$%*8~g|l1Y+MbY}OM9DlTReXW@Z0H&0~22^*R^eFA^>c)J)x z)`rvjTsVGq>&sYNMo!byWcNgzWw0|B&S%zYOy%=*_4KB+oJ2a+{4ynEN{60HLV-zA zFl*4NdaIjD%!yyh59mE_v`x7TarcC;AB-Un*lfn)M{{=L49u|b<0rc_>)tK#e~8f3NvhB`*SSCQ_^#@|JIm?( z7&{nkpl55=xZXO(bg*2YXAai;Z6t`PJ-+X^=Q0u^x66|EhN0bld8E*{x@nE|t+GEX zTFQp-KVpu9=!$40s@a-t^=t6g{>!;h7W+|!;OVl^~l!i zZh9#HGj18$$xj*F?hJWb)o6}3>u&;x3fU0J%E;ovGwbVAkm{3Fb%IEt9NW^{HrYN< zIdFL&JOjp6oxM!!XfCLRmx}TU<}wx?U~}9)XB@CjH9^f+wxcx5F_6TD*naQ2viZQs zZ(Y~sTqF(;f;c+0nZT?*Y)AbM5exHt%;mP=7ty6zaw9jgXHEG^ z{iggp4`ThmUC3=S)+mkapGnlt3n-`Y?)e#qT? zxiyAXJCmjkH?z?sET>I87bJnWK#$ai*VX!YQF@Y{crdM4B`b#xy z7be@l=f64Yoijh|xlQ|fCP6;*@dB|fFUPJKjDd#(f(A4VfDdIL#(r}`wZLBF?#bsp zkjP~yl6g32gBlHV92eOV7wq>nS(#f)g<`{ENQ{z{rhT;qh3>wOq56GC{98hOS8S50qE@>v~xUlhBfPbuFl6uTR8j|f_L`jyKYS6rYTz5gq9k$FOnTH^0M3A z6qhYzLnJ9~tX^5UgW4LH(X1T>e&VSh;-FpQTcZ=$+NFn2k>YExg^W6BSG*SP9rVoC z|Bk!^_&p!T=!C!RA>c)BZ!_)`wejm6UI%-9vD=eg$J;Rr-xe0TCNM*|Hq9;egtYrCF~OV1t`~O_bF!9rG(rv}cfp^`c_4({sXSGpv`tEjGYZ@~ z%j|~*qA~_u-&z62d5fjt0*JoBo>#Y~qiA6djcc|$tys8aZrBMSOT5P_>JfC019#^4 z1Cln~8}?J{G3;mmg6-SKuWE#8tZ-dZEaVCsKdNH4W0uoLvMhf}yfal#X_sVrYP^(s zn(XZEU3>ES8vhoVJ1sY<^&=nOjZ7Am)|`Fx(u{e&6FH_to%B)K+4}}d(8%w~927Z_ z9dT2n-lbajSbd)`eMxV>B&tW;ZX~*q-i&Duo@)9nt9a!DnDSCMir;Iz==twX+>SsQ ze6UnpbtUIoT9Z!tz9t-*YIEkMbP@Upms9tgPQeqp;mxr})%0P<1$++wlOnkDoe)ml zcN#dSN99xDn>KVronYjsor6<_-|{VKwK!K$bJen=DZYa>2cO2Es?&GK|Ls-}4#Q_q zRsP8A3SBu7=?oZ0M<#76XU(j?KD9@#uO%$t;Sp}%@CNsbYhlC@Imv}_W078y7gv^z zz-I=FET==9s7}@S`yGG>2o3}&QhXdcrjiq3#`y6lj2s^VE`I-By!URHD-;|Ze9^W8 zX=;gMVxr&w(26FlFRCrsC@#C=zkhbH6Y{>ViOy!|@Lwo%1kl(+IXVSvhqLBv*!p!= zokyd{q_JX@cT>OHmeSST>-^bk&dpkFsAy+I9D;f0PY#JZE1u?VDG$QjvnY(9-LRKe z2j_2a7b{Rm7@QSsF@Od@bAyqzMLRtCI!}>I)_Z(3buj)@@gom&;)ks?*p?@!b8}S{ z=x{X5it5ma+O0(jT)h4_Esn~y(6~GE!n_m?_xq}-3?V|SaG+&u#MtHz#uEX+2gK~~ zK+`oW@25Elj5xA{Q-*PJT^q+o^Rn9;hcPEBr^%DllFi)QLP-w_WoC-ce+{!X%f=2Z zaE7RmBUc#9H_3kg<{*gLGO(T`M~Cffcc5bh?$@Hr%s|+{jNVEf%ZhiX{?kV85fop)a81mpyq`q(SJVx$zI%173tn6ZMFSA|O z-z*T*Xur@nl~-o!V&`*81?5w5}?Ri!wQ42Z*~hh_AK#-d3D{+$Y{|O+Op%5 zRx9zNhHDd%MGf)ZxFGLVfc}B9;r4L>9*9D<4Xkq!jviXFCVECnNLPW$Dt&t7cxW1L zEH#tnK+bUg!BRhn{g=7gH$#(>*=^3txY@N?C{VlM-b@Z>wto4y-H5EN+S--Jl@ z6s$w;Kn?u`!5=V$y8tiSr}|XT=Nn!UL#6kgwchaDK=Ne782I#N&;0UdxfwLZygzxUE|Zp; zJ5mEvi|D?r_XOvv4FW!Cb|fEwlYIlkj}qa(^uVFt&i8Jui{rurzs6F$x_6IWWJO|JVjQaL8?j3Q7TMjI;tZ?R&tqnKNXRE{_ z>2#Ls@X!nzYXyj0o#qMi;wKYeR>?5$CeY@IzXD0+t)gcIc#x|u49hm&f5bITG~(- zLm#VZnT;Q=?!lCQfd)A=v=Kc0Ag4%L)b9J)p4ZY#)IAhb# z#pPzFaxUFW58ojX8F6S#5AP1bYZl(c9X>3wJ*SDI>e_Qmcb!%P%Nee5gS>scwTQ82 z7wr}e*!XuZFA*Qx*$d+Mckd7UKHn>t4R(Aztf)J@J;&BqzP$)j*T9yjd!k_+RQ;RC zPNqutZE<0>CrIv2A&SU9yw(<6D|gJ@mp2r}9jR5E!TE^k6VOX(~IdwqNK!hcpbirU1n7zr}#rgYf7# z(E8N9|FpBl$5-!^j^x+ND+V+NV*`Mja8r`%af)WgPt3GW>p!*s5-B|+;y~GH);7~B zH%%zW93C7;G^4l?+IVWr1Ly#pL=H762lOTq2OVp{h|T?h{UyKG=9P=>&o=WwOYj=W zH@5k-zy`Js2pu>t0AQ5yRJ!HcV!C;4U6!^xRgWs!ai8|x1JC=*zSll`+jajY*Q#zI zp0ZO{S(Ozd{4x0Z&aU#y-^%45Wq}i>z02`SZj2M(#`!NrOpw}BHkm89XWn41mepnF z?{AbWNch)Wr6UX+E@O`TO6s2OPhG-Y-Hk{ha@_RnHK|^$ygJ8EO=>Ki&9j4H=d{%e zQE!?X#^&+IoiFGC8LV&mR}E!Sd32TIuRNB=yQ_cL|i zZa97hF3(tsk1##Yd2JjL)7x!q7DQH#te#O8~kyo4p>>8YE#UVT z<6Ryr{=fM2fZ{K^IRbV)oyYKRPh>gQ#0m&^uCsGyqOKly4G*xec61Xvunmhm5J)u5 zz&`CD!v`yZkd-Yzf_RH-Y}XZU2?^_lHx+`UUh03iMnm!Lu zSe-MvXAZ&uS(xDUaoVJ0tJImg2C~`2W2AQcP^dDZ?yJYVb@Rn(LwQzx221lYgAe z;VoRApA^+g(45ky-^R2Rn5UHF6RMM@80DayUI`Yl=#SeqJzRzjHq*m-lX#_C#H@vV zLMPpYn7=s)P~ToW z*Toy{*0yh1TT>WKa@EH4XoiU62HVmk@9fd}Nd|7f*GFAGGJFsR3yXI9qwPBe2uaJK zJ-p^7VBmn+gTqHMX53geo{bS;!{5N~Uz=CDrJ3HCtGzthsLaW> z;0?GA_I~?d#AvYhs7`%LlhUP{W=S?HR-1dthDO-Mz0SdqkaT`^cof2#SjtLzs{a9dJSAd znVYU*{5Zja(E#y61%y>SN4saVjKQf z!}wxD9W{~SqDiZfcnNMgH_+Pyn|+gtf=wuN78I=Ka;WbVGrC7B#4Eo;n{O9EuU!9w zPFD682evg0=w4?JVNG%|3z0lPS2WQ;Z`QcDjUv}{1aG+gB#k~HpljP2NL;;TD-~+O z$A0CW39q-bbl>VQGuj$&Ncsy|5i?g9Z7Ej3CZ>eYiEh&LlaHR8L%2HcHht$L z1#_xr)JGSAyQjM#+P&{-9^fQ+5e>vA*~0gRL+_DFANp>r5~nNl3^$$5>C#BZnw~mp z{)85-=>xf|zFSM9)4C1sPNC^lyj<17D)H++xU%Z#qXV_{RjTQlH0A$UpoJ=*az@nY zV6#?e3iT&@QB`_)&j(ecBx&E5UAd#CfY1YY^g{R5cWNH&2LB5p;(i(dNWHsmU29jW zZEWYMWwhHc?a2ad>5|`SVBlvwZUYEHiOwRpflU1IMB@?ufpS_x^O1OZ=pE`4C*saB zX1sYpu0Jo~Ov)TlU+Cg+_sBP}^ZsvEi{pTI^unsQvf6LH_VdR&zgxT3trV1%E7GcN zEm^bAO}dHN3wQqau+tu<+Q4ieI6Q8h3))Obv-JB#enUTx1IwKn=6bf_<}VHlr7V|jk?b@Z<3wDGCXhYoaNxS};%7P>mNkK_oVH>$ zW;0i{1cIN?Ua-MnX*8G@$dK7;FPC7DH9?EtZ9FS)MTOR{#EcCj{2zqOX$O2M1FWgXZym_Tb`_inK%K|QaBv<^%C%D}Yrt`DET);r8L{IWUi8 zYtxbBKO?pC@z|CIKStv)yHv~(&hF8j*UWoM-@uwB7kPxdZ;YRn!f1WRd^@)`Tvsob zR*>79ce_ih)zwR#s~WrN#>DpX>?-)4ESsY?7q?dvmAa!>_iWXr+ce*JhW;=Z@U64u1}HEG zF_d{cyc9*5;4YY3>B>*xhYu=MuJ@M$CDmV}KeSGc`=EYgT74t%$e7dKKEID8t_Sa+ zz}GoLUoG_?n|I9kaPxSK?vO^x-PIKB2|1t^4W}mSfPca0JNh;=-2RVKl_|AL`P2Au zET^p5p$``zWUp1#XgXck>pVKfDAzQ@U_q!>w)~=?ynq`Fo?KYlchzkn#Pw}&rT?Ys%1a#c!c7PaQae!cY-{CWE zng;>4;S+k~zDc*9-FgH-4B0In#SZj@`bnClwbSOCsb~W5i-XdM-{+4}a24(DPIYvk zCqcI_J3z~%kAJ}kEW6Kex>~!Dhfb-M+Fo+HDAjZb_g5Z-<^HvWsO5hm`m9z*sb56A z(*6V{X;!(=Gv6JeLub_U!=U-sj7&$D5aTWFW`c530G1jgt6cx&rnoT=g&^I6~6_3_Uze(1Gc{e`*^J_Jn zH4P5){>OT8Vs9BLAb@?`RMI)lg?JHpeiU{?=BFXYJSU32VPBFO$hOP}Krnsu-p=UT z@O7S?6MuR;$6g+h&}6c!OrmCT)N1hfxr|jRJU)<* z;K_Y&8=J}taqSyCAmuJAa?S|{YWE%^RZ=&c8Q7kq1ozP)}1 zXOm>NmOUFkyJ9>(kOt_5qIyE74!otxX6xM_jIeA*FcDXthhD7NrT|=V=*Xjwm2~((J z?y2Gc_j(*D>1dPZ_74>iox4xmIX4i1Wz_7eVO){!yf;JP%TFiJxlhjsPT>txSZkjl zd!Gcj!R+^D(FFUYi<4k_b)zCRk0dAY`KUD;LB{`F(HAYFiZ+G{dl}Tf?eE_@8}+0l zpz6^m<&4aanN0i%GKJvp{k*rx&Q~>Wb@g~CBL`LO?jvR*yHhA1__eySQg=YbEElC| zCVj+|ko2P5&-~!*u;Rv=wqNeJ)3$XgzhL3rK8{&*=^*bN0fIP2I*oS)0UOm1`U^A{ zuyF9Czixch+9uwf))0mks2(gQXvp8i5~5ym_Dqyo%}-#BLsEc7R7I$X1M%q7v#^^T z;&BcA#x*dys*2?`El_TWc&aXDr+b1iiKQArgoMH>^r#l^2;%hhcR_ERYDMK;s`7iS zfQ9`@3xB{=;zaKvTQAifcJqU;SEfares|tgcUsPJa^9J3HL5c?<4$Rno_^dsnZ$qK z=fLhH$3ff!(@Cjmdl6$isUzidpEh%A=>RmZp%$p!1d`D zAPWx<{oONr-f@Rar&de)lt+51VNyBNht7Z31m&I{osT%w-xyAzOSrn*1%PY)n?V|yS_TCw|eU8x@v_Owt6)p ziUIXHl*Nj{VtqtaXM5Fk?^f;<6*lg6`w=VGhc#hbiC0<@0pX!F%boHzE=DknW zSTEPx)-sNP`)Js&^iFPwMm7+MP{9Y^gv8!NKigHWy%Q;9m>CL8pilI+L#rtFBQ+|*6PXg z6AoZ_K8L15NUk%RClt^n&w06;V&VHs$n&VjIXc}jUM$`?i;?*)+3a|^ejWc~KooW} zVPd~0n(?|#`23JV1MU6xp|J73dxmNm;`5(!I!rnNxgi>t*~>@ciz4OAcd>r#<}%pa z-e~=LaOq`+^7Q=Vw6gH*(DuS&_tcAl`lJk4p{pB!uaA&3mDiWClk8w8m(-H|zwKk(C=$IzWoPxWB!*?xb6deThpd|0#h zXEn83^Am-QyRJaP8to(}R*QM#nU8;_&_Jm7xlYy4%WL4)D)gTicZx?ke{U6LsSZGYra4XFY#_(} z>)N38S}i}ZGC5Zfq@~2(H@cHMLObD1@4qCenmqqZHB|mC7#x}_ZU4J$_q&5T>4y6` z{b04{j;YeHR74Oaaf`mr(IAw#S|~I4z1$?3bEkYt<0%uwkZNJ-c2n!uv~?TOs~;j` zcbM_JgbZ+vcR)W%qL1Id(TXEqaFdVOhGGf2CiG82H!Pco2QtS-4FWet*`p+ zb+0}C!-XDUjU{WuhikSEww*$qUf!Jr-WrsA`g5U-aB^hZ7t)n> z`Tx6B-K$p9W^t_LboLwcy_k;XV~kWJJ|1l90s0O)LRI!?WHFr zF3UF??k!a+GBQnzY`zrVOk#YjsA&tQqwhV%dyrF4=NX-D@s+ zQeoYeOmVJ-EOSCIpps8=Hkk5`FdwFy10#f2!*xbdlp=VmyZ$^ zUspSYZ`w!4OJC@2vVHCwh-0x%JNS0RXMNzkzZAXM{&YZh3*1uC8n*!KY==Ahc~8zFyGxT~sOZ5i`8{4{sp> z--IhrYHEciuhwUK-q-+vpuMhWxpxd)UBAT^=Shw~t}sj8kG=n)9+lglivNuFZVoFn z{Ia(~lw+c-cspTaUB^`S%Ur1ydizmB-qddHii;E%|E3aII<#P8OO}$T_4PKrw%IJ! z&OdoCKN3^TRR0LdVi?|EUM~HZ9Pj9_L{P@3NKtr zq;999!Fo+fC0M9_s=*O-==@$gIx}@+OIY!`bU)Q@ARE^`a;Mf)aN;pWZlC1mjUGV$ z&_<0Kefp{g4OCVZp}V4qTT%Y6iarRNf3kkMsK8$Gk7dw^@?gG3NhUUJt)KK`9B)h4V&9u-^*Smkhyqcs1VSOMk1KuP&9L@3HC9}ICI9H31re7 zTQ0G8Pg3{sH!sMbLnN(jA){r7E$lXThlXoP_c!MUOV;FNAP{ZVG7YG<^$P)ADd_4h z$~hjMNm3Q1dV#G>v-MdD@D?+TU9$man6iN&v~gEii53$ow2U>{Zc5ydRdD}LvmLwJ zdHl3n(he8C6D3@@7ByDXeDQ)0`OUW@q2ktyHvh2QI{{<)2DtG^IIJfKJYT%h{{%;} z??i_^aAO))I{IQ`HqAPXA$(?wmXAO!deo-POo?oBWV)}>Ym3MlOyL{(!tHl3O9S03 zImBi!mP=l)5r-p@*KEV!2*}ymU|>E{YP1bk2_lV=*+wO_)kx6!grLnf66|lffQW zGrj|yMTz?G=WAR;CqeMh-6C_1dLXYh3+j5}Pnr`R@32jevpT9;)7w78)FyJc74|Xl z`rd})uy$SXBU8x%E?(zSEpp)Q(Ia^|UJgQzr^? zNS{2z``P1RJl}u_N>Jv1J=1X7S@pA>lUE*2&REI0Um;Y?m z{21V4S|oisl|f;P9_ng(mfT5`&^4ep0yoK1+WdfPf#7rjYzZ1P_)PxVr0YA0(*tkx zmyGKhA!+LU&y+Oq)Afy8TIg=E=>swF*%R`rzA{|_p{44)-PqILDk^#40j<>!U=00G zSroOQ{_1L^wR1ePP;{@s1LX<)P_QZbrroHQ1nA^1JA^$>sHN@-c#R*;07QP1qSkT$ z3N+`+%|Bri8;$V-ACsH%5&Kl4Q#@<*MM{pp4sxdA{h?CO?DKn@^p9V5B30V6ok{X2 z?0yQDGI*Y8#@zYUeDnIVcr4l9e*4*`PF}m(r*0tM0I~sW>p&e)8z?w%_lP(e_s#!i z>NP7$zLapK>nv z5evkK$@?tkqgo5Y+SHmtdb)WTJQiexbxnNctkCK~Ue=V*;!SqsfZ?K5$@}B<#nl@U zVj{5bC_-) zUrx6b)wrhz#;}<8ji^lJt1d{9nLk}xw2^>=S}xk{LLryyHhXXeZjdnW1Khum;bK3& zPtRiGyo}Et$eed7&%145EP{-i}iG*oBr;J9sw znJiUBg$wl<00`o5Y?a&lLcp%=wxV>26&mO$YXj=8f_+PH=J1PEI})?+UpT-Qg{+=J z6EL?ss)O|%r}jjr(AhIpJx{d>8go&w3b{u1eMaE zI`C}rjp$7(47g3l6h=&}`dDqWJ1>&vNbey1fzWhQq;NIrJFKZN=~?Olji#ykIfhQ+ zppovWv&)?}O-}fr?ylzk9ALtZQ@;+Kr@>5jOt_(coIS%WHWJ!-syo>K=~kxyV~?0+ zFYZcnlRZ@CM5?O!Vuh-DuggwT2k=TlU^jvrVZiC_9L-qFWI`X_B;cni_k>sK44h`- z#_^LcS+*!Er=-6+LBXAN15F1g9-W&%whYK2e;;Cfi%T`4JGc0ErHw!w-;MOu)A=*ybt&r)zF{5_O{a^@A}d&?%$X97>B2(a*vIOfh}m22RC~fivoAuFj@4iwYqz`mWko& z*wpjeB0}UC@saC4$qUDs@ku-5t=%cC^&9%_S*Y#t?g5hb57RQc5;XR<64Zm{T+;Ro zdB@-3$*y@as{cQO zHajr5MY=5x>~iIE1IEAsCJp>9!u}&^44LuH6OrC$9>zSgT5uqHzg$VO{DOCy=nrp^=*H0j8+0AE0$zh9EKY^OJd5RBYXCd7AZa&{dV z{D|C#L*BEoy%;_EqS4rT|3)9P*MDF?k98`zoDunT{6L!tlbZfZa`G~f>A&F6UoPLe{Xxg_jrB5 z-*;$dpW(Z&zW#<>^|u=(hU-do(~|D>73&4J${eYhBqN1 zFF<_jzZW@oi|n8dJ_UP5;~bD*8W4gPBG7l&bs)xQbm{NTAGh2m-V__MGTn!g9bRF! zg(|X?c^kb=f$(#DjOfkim^Lp~Dps!LUv+eJw7bTNUT8Trq7lTf-U&d8%GxK#+Pod< zaQ9tCq-3;){7OEBYUypSx|)uO)9b=J7OPOv%B31F$8>%7QZzZ;1^)dg%5)Q+iSh#! zM$l^d>8wo$6ouFg;5#)G6H85ZurVxueBYoM$~~21rB$eLIvLB9Rrp;C#B{>f9(UCW z6I0Vw{9e>mrABrKd=Vi1O$Q*~P>z2*5zD3wH+!^0-=jA|f5M;W+cfRv;AaBsUN$wG zT2%Rrgr1u2oP%^In3|w7DRn9>dRIW>!D#9`ftAjmJ<~H40ZvD|rL3vtk?FmD)7@qA zNjsI-E!CFx^fd6ElNY={w39b^ClOLDD{fR;w^iCPmv6Q^ z1t-Ucv0{w*bGE2Y$K}^x)v0Q;t4le}u&2z6j9n zPGWD+X?eak6QPl-?35j|1_p>Bquj|6GbU`ZrH4=%SZ_$Sfc(Be zJ75PclLc~%Rj$;8KBCahY(Fx#Tq!zS*w{Cav&-}gvioFZVzju~qva6uD-s)U$W_7( z1nlXOF8MG9L$Gjga3fDpIN1I-#O}L5Amj2}K`;mMr`=gSEdmpm@OS6W}UEA%Rugz(}f4B(j8@|uE_F?wAso`Heu`A8r?#z{fZ?1dB$Z-o* z`)yK^B}$p4NeGTIR+L3IN)E_eV@5UKr@9MVZ`%ZA*3+~^HvVd%CU~w{8MCmkY({5! z(Ao8D&9j;xwke^{juj2WwrcNyp45xCY$cw$5|UPd0kIO2R>1)Q5>{4;$r7$rb|gNHA?1S*hS2{3NkO`U zX@9@;j2dU|X4drZJb8IEJuGWp9Sw))sjQn})SYKW2z3DW0LTCU)rcXOTETNa+|S$J zw|jfLIOkxoc=GBpm-AL9Vr~#f|J7wt1cL<{BYG#(B5MJp5CZ%vdLh?Ezb_lu5S+e6 zi<^lbl@$|w)<=k_Dbw!q&!p+oG5^CrpG=(&b@JwELOu}Es?|yJoH=K$69NbT%Ihi zE~_f6t*?qJSzKRXi(9-DzO=BoyTE@Sw%=Ra3L`7?`4WsV?ox=+V`gVy6lCN}BqGIp zRP(mHysxS9J}LJj=SAjQ*?-KfU}E!J;$i6j?*HkpOP)>VU~NWB<@@+eN{WigY7uFw z$>hHiPh}bFoBg@J>NmJ|R+qe$ebUJ=YMz|Dk&e&Z8l$Z`{6!H! zrWbSP&qS9^H>Z|6=gIl9??^(Y$V5;7)?Ol?J(EN~T)!#o<4fsdMA7~xr(%1*duoBRa^D?!+)`1e3(a0mLq-ies9yDsUVKYs1U-n+rqc&fGtj8j-P zE$t0~a)gE(`IPXjsrl*jLYpgUaz6IP*?z63>$yeHQ0yRG1`r=Yg^hJRgNPkKd~mmtBJ|uND=f)!`#>sz`=lV5tpbZsW+QnuhtpOBkUU%(I85M zUfrsBocX zhJ5(BiHc8zk_LMlq)J3YjYjiBlV6GC(4~^KHA+dcCP%7rbSi`E#ahg1S$!6w;kHx- zfn~O*R>HPh22~1Jy>O+Cfz4Vy;?UBSlIZ)W(G=A{L3H#uyarc*K`E8PQ*uC0qrHA_ZqhjN<;^}70i?%OK^=zyN}yd)_N zTOYQK6!>0J8w5#lxblAwh-03igUv*6^evubHC+WLbv5M_DzVB-LgFk^;O#?j#5W{j zHejy13reJXnxeO>V#&L~TVN$vK-yue4U`q26)>>qjkT-{tt8AWI9npWocR?>5|FpB z{RI8V@`D8T?L1Hs{C?_Z#V#o)lmq;ENRc(@AQdi&hF_N_guy^cd$JwQ4+tv8w) z?^P2r@62~G^=Z8f_dvtDdi%T}B<*Os$otz6ue z4+e-2WX7^_a#55S=)Lh2)p-saCQXm`ThA%+?3R^^IJ0QAU`-{l+O8N>xvZ+y-?d5? zT(G)&2dYnB?KTFg(cKlIxh|+}@!Z)c+Lh~)X?@gVjhU*vV#n)`tZB-~t738KEJ?L> zB*yI!$-ZJS+!)I(jlx$KEb6Jkj7$}E+I2FUdH=Ev+qW(;uy*j@GsSZ_SQpj(An6?y?d zZ}Td&sXzRC-{&U|sm&ph-=nL+&D&kdt)*{;aKLOHtK&Gc&FHk8F3A2Gp#3g=SwbG2 zjPEJQ#)=Hvl=SsHKpSG7t@wMs9MYro2SZpZM3s_+0h#gULOK~I)M#61BNZJen*HsK zlpeLro~d+{Uyhg=%rxP#SQwrT`O<3L6|6RZhWB5jpKl>+qhtPd-_AsB{ zK;PDEA5+Jbvf|epx8%#Uv1GitNxnBDDW%GX*-Ur7mte}2l(t{vI_u)o?>DYgqNf07=44IOL9fR zrMc3^4l&$Wjzbb>F)wk8Va&7JfjQiGZWF?8doO)Q)qRi2-6GA4UpEc$l|F2yNmd!N zYni0*U$9$J*)OY>QSJk$kC!DRH1m1Yc+R%Frg@O;bJJ-`CAr%*+0x1FHZ9BisU?an zu-I_PU{U6^?U*bnWL7)olM;a?iaq1Obfg=`n*wbejbUv86@7+XsJMp4&akj@Rm(5W zvDP-MLrgD*Ef5r^f~QqZSVUG8U|BP#sfsSNnA5zM1qN{HGC1XX>h9Y&T?+5vVni)+ zUi9}GA1kq$h%Q`bpZRDdkH`?pA=gwJjp$5b71}ezh%AR>TV)j?-{Xj9uF}+EVyg4k zm0K%_H<*8{RbOy2p;z1y1@{J%gQcM;YYrU($D?6&z7BFaL=#kRx}ynithK3X=7$hF z?gnxo`lb;^X%AhLUsCBeqasucNTMXgo9^K_Jc{&kII+49IJd1RXB$M*Z$0EnQmXP@ zP~mdGv!9c%@V23IZ}Op^wf5ELLATAmEB2~R>Qe8M&)H0c=A?l^{OhU3d`DRSuW_L%IC)8gE?NW_z z$7&-Ejp`#?UDsueQPXK!Z5}El<+j11=Csuo9?g}c66@WsS;Ok&&j(vOhV*e#@71>&e5{&D1mtS^~- z@9dbr#6JHipAT_Nq)E~l-7zV}2B?YeGV$M4^ndpIsjgIR0S(;{bC3;u9l=DlEE@_| zQ~n2c5eE$vJNAYXg9d&FlD+KOJJxLl^bpqIQm>(>ogQsmn3k=(+QQxq?Nbo=Nq>W$ zk9o$vIX3f!hC%T%9}IfEg9p{4x|w`$dvM8Kl!&W%a@r)mV7Zs$&>GFI~P)w zPMM2Wv~KL$_UQ9X)YbKR(Slpzc5ThO>(aX$3VCtm z;<;OK=EgG8v>7zaT$E`(1xr+!xEfv#a3gUrmvziwyCR~nl}rqtE@DO z;Sy0=J8v(}=b$T?E6Mohv*hker#8QEty5EXA(?zNYGT78aeYR=+;(jI{M6leK&pUp zb3g`x@$3iEA7=P2yXkOTrO6dIyS?cfJLAjg=VQ~#Ih;F1e`)WmIjw(n1tGQ#>-L=u zZVU>^R5pJd0vQ46>>phbm34jBlwE#iG3Ee=pXwZcexW27{XI?bF83ENYJpeFom(A` zqep2vPAygq=Eeg&j(VpBj5Ek<8s+(LjCOGj2eWIKWx%i6G4X;VM^l}LxoLe=U2ADE)A5loA`Kc$nS?5~ zo6yWvR@^{n48$^4w;L>mIvL^=L{=?1bO?yvcRYU0J6{;4sy&=hw^b93&_i#jNuj8t ztce|3Y2M>4>)2bQ6u#bsF^@jUq{@wdH(Cp{|rOCe-xNw8lSc;fnI3?MFmp+ZB)-`jC;X<@dd_J{3nBA|9Y4SS4Q@B^xg*jsnlg>IsXY1F<`;o}PZ`k{ z=&=j57JMP@?@O-(I;a?}-uaqiyYAdxARDb|tM-y*V!>Fn1N~R+&%1Yt+Pl_ld4zZg-n*Fbru+o?j*KT| zBn26_Q=MeKEK}T9i=~+k&TO)ZF(hT7j@DX}gij&3jxS?FoX+RS&- zX}poFVZ$SPD2L-4e#5~5AXApzw#+hOSnacHA7;}m27ouzo_&UCpvZCEW=p8JTQjr- z6<92qSwg8Tmh1+l6w8iy%cxdgtz|N*3Rkgj%!-L~^N=wwB`yBZiqLbbdGxMBv4F6Mjtsy?7+F<7k7Xc#?~ zkIj;#^r}avM$shSd9p)ss?+Kj43(R;HSf65Q8o1%n=+0;KB26SqES=oX++9XRC{nt z#hS&nrLuqy{@Uk^@2f|fx|`lXRa+F3*v&dqly$YPKXvEi&OU7V zKO6JERN8kY)5N#7tQ3FQ{NVQ;r~Z9;F}~En#*#l1@L*ecyH}kFQ?x01N5E!e4KJI7 zQfj%IxJ@mutT(LH`gOwPXxCV?<*U}3dHrL%_hII9G7$BjKd#I3DfE`McMHb*d!}3r zbKC3rrvG?5gqPtK_b(01_4>Vj_uVVGEb1ziGi~OdkhwW-En1ipQKXW|l_vMx;#(JN zz01+!vSZO#cB@tU`>wor?%r_M>~2feh9%>@-PX#uOL@DG#d6bR#hZq!=FplfpbWu1MrskTK3jnMHW`VITJR-?0*C;Fvz;mSaSH z^~Py9I)2e_@5#P}I-C$cAbzv`JNwwsl}{n1@S)z`J-o3KO9$X96U(mC$<7y{dujY^ z*vf9*@bFh#EW;oj#!lG4y@m$d-!hb4hsgVe-vY^=$cx-$cX9Q$^D5Dkl)JnU@{t{! z$iSYa;qInA?^2uyD^#7*kMWSQjDGv zpwwf_h4hwJQ5o5lN)f4VHOvO}@hWm&y1KTChrrGuS=OuSRL!R4l6s?f(u^YF8I!xJ zMGcmLw3tiB#S&Fes$nmy*HbAN>ESHjg_wuIfAP&MgQWLD+}-qQ!8Ud@O7*Zn)LgCT zl#0<-Z#1nImA7E1Gs^3gwo#MSPC3aX%~(*)YQ%@24vACrR%LK9GF2h-Ql-(1BfOPT zx*6R(AT@V9iq92Y%vLF(l{Ddal8qeUz_d zkYIz;P;K<;ru(PWL~mi5QmyHt&;-=p>m&qlJ;m>?yMyoGJh<%;+V1ONeLMhY{y1m0 zyoPu19o);WZT>d#1c8aMO7X@%Qg#LdXR=LaUaSq`ecz86cqDvt4h1LTPJuuMuv?p~ zRElH4%LwASaB>g-`yfP}MX%tQCz1g7&dV(g<4z&`)-z((l>7pSx=`w*a%! zmR1qzKGOe8zQPmNqx2ti8p;>_pGC7E$Kpa}z=R8os)GDOXKDW4VA8l-&g`82R5`vm z1N#y&Y|2@)M|Se_+XmB?mr_$uB;Wob&62(wgHOMKt9q$G#S(7JoAO?{TsJ^NRjoj3 z6W=%HIKbT?uHE1^0bDEJJ=HU*#M%Ewxp-?36gRD088=sN**Ba;V>;iem+u~r31AwQ zHS(uY=uiInrg#m|U);_`o%2gqcG8dCSz+Drvy|CJ7VYtU`()0=iRt*l;#**F+UB-w zHA^3feg);h`SKpzcJ{8D*9OIV@LM~17cPY^$9i$t)=9?X5}RRLQ|iiDk(02(1=I!Y ziEXy>^uVfodg$k_V_ewKbX&%i8qJ;q?XXhE<#slx(QaAR2lSeaW+c?too1}mAG0(q zES#FPi!N$9KYXtl&MdyCgvBpErv!$s6gp(Hwww)Xy%}Q9+;VXI_uTL7-<>lKGx`CI zjR(+K^5;O#p?x3KXg*W^$j^AtgKw~5zA2lMc)AgGJG$0z<9na5Vhjwfo?@%h$DL%k z?y{U%giMRIK5l_@bU7)7UhNdyCcJihu5l&(_wH$!WWljoRyiV^Z9^OI+3ad=&WHH4 zWN9nE**r*ayJwi^neL0`J!CkqaLu#Lvs=~-)NdkEvoRb7555u%%WO^*pGad`w-(>btWUOep=msZQpo> zoRU^;Ery0_xZvYt#UT)b^Rd?)IE2`gM3$;GXowI~4$r8}&SJ?VBuPrl4P7!_x`bw) zq{7Q)rsE=kdk9&c%d;it6Pm@c*&&Wnq~t7QiA>X%p3Q`m32*Pcuo0fjcNL^kIAEAb z0_l;=WX)TJL8rPl+t^-uXU#7ftqXTG-c>$;_iap%wKk@^p^{BuF9^7DV3&9raIlCfSU>2ZGLzhjg_zq)XjtbOTI z;x3@}QbN|7?jv9C9BQ#Ey8+#pCMSxOfq!h@^2)q&5dHG}Y26jGo7*X~gOuid8IUsH zoj4&c@+50Nl)^mM``;u%&+vCd;eWyq1Mj?kFW#&70?vE+UcUA&HLKE;R)RV}$7Z&CdL^nq!7G$J{dLa*a zEUw64A1}+vWI^Yan~|7Lmaon$qEN+-P3-hqjmE}LkFDQ;&VBrBpm8~V>Cm1|XSEw| z#{(FMPksG{hM?ZLuo%W?LqmVamUAtQzvU6Y+-}$f$lZl~OV=80d)HQWj=d%i|N5H^ z=M=`8Wx38WyjX@?*jC=;IDGnkN*G|{W9(OU*(gtkgcom5X2GypZFy6?&3a!pcSA7A zX4mf8j0&N)RxC-6-i?f7nC8jumdxg)d}Jl-Ikn1`eN$vrD@Fm#3%eG5!m6l*x+;1l zsJw`_x~{f-=_rhCb=lE~jA2~SSygUnxTqexstT~K*;6T(nsd2Ygl2wMYLUd-F~7}Z zT&cCqhFZ7YD?^&Z1Q?JoT3)P;3K*eR2`U8U^QtTBa6QhYo&DK}qP^(2Xy4@=ad5kF z3%NPFx{GrSySZGtI0hQoPno#!RKi7y%DxK!<&U!nI9&l{MmRSpj_^Bp*>c;!a% z@Q6dDSb1~eVzV5DnQD+cj<@UzR%L$?uc+(X^Nss zN;MkB{a7;b`h?1xrZJjPx)c=`?9L%;=D;JXZM)so{JOh2&g6 z1sao@f3i1n*F7Y+V{^HZGJT$DL&bD>8`@t&m{&t+YYthZJO4uURUe&@kw@HO;9(X z^cH=IByVOw{rmj0l0V%t8x#rUpj#mVC1~|#Xft+{EPo`FTiC~mf@e+HUO0tB+c(=1 zQ^uQLSZZ7ACqw4n!mqKqpAic}=Mfe(o!Jz+H{45hqx9wVGUNYMmZ$q-Upt1+zi-*P z)8|fUO)Cfg}u-&^?4#s);Zo)c(0Szl2`$6_VljM0f-hl%vy?k%qi#Mii zZP2V)KXq<{XvsRXUJ*!1I**TzcjKo$OlQ0Av(sB+iZye?TetRY-MPH7ui)F)(#5#v zcC9gc(oJO1-m$l?azNLv&0FERaJSnS|8-&`WWIPUo0Mc;wN{Et<1K)#C{*4VY1j;I8? z?KT@i>N8d|5uIGeFLZQTG3TL%-2%vhlOHL4O6q2C_2P|&IC|OJgTuS&n|7RizB}cR zM(d(=ozk`FuP5?bpC0$*ug}@gFEkrotlN(@d8cH&5DN0g%~CscXVp_qvZla3sOvhm z-nJ}oWB=PT?=%4XH9OmT`PRjg5^g;mP+`m#mcf@!*-PQ#pI z&D9i3Z$KBCS`5F}p*6{1TBWXpAg)oBmseC#RO-^iSJ#`6F53>ThuF2J?MMD1TC)M; z`2q_Ggs(mx6bb}r|fv}yk zuBPnqJ9)~zDKs_KS9Z}SQ=OOjRDl9*J^#+3dzew0#%%ab%%enTBJ^m}^WmQF)AyCV ziqb8B;b?S;{ti*iXk$NKxr z-S;c^@}ywLO5cx<2h7_m!Ph01qz1Gb!tegb9Pga_EzC~wE_hBLN@*r!r_>Z4E(XwO z%X2mmvNW}}6EnvMO=VN|i&MxaqnXN*%FHc&w>@OQae zXTE(4S?U=hYwCgvz8RGZ#r5`LrNp%JXg`ay16Mj_!NkdKXF=V(C@v?+>ePE*?@TkP zK&+_^t7f|??cB1~r{&Eptg|;qchS4j@x}&q0VBJ4d|%wS{Y1XWZe6@ncoW;WONlO* zcl~a7 zo0g0vS+BSyZQIM1oJ9>T!;+C;+&COYJg?ca6=d_e!F6q9+!vFLmjPzHZC0i^EL8Q9 z99L7dvd*rZqC35@uc21FUDqkA2hC%vS`ldUdaei5fIVh0JI+P}as9C8n9fMdd(P-8 z=+U&XNC(heowMoX@VK^Qt2d&Dgi5$9}1;}8w> zhx!mst%mEv($4F9H^hyxPvq5vFBT(_gQ!7***O;;NFVpdP`%hv0mtMX$ao zuCz6oh_C#O2+7c7geJYt8d+rDKGh-R*&o8k!oKW6P&ROI?h%9D!j0_7QT8FI5c2qY z`|;iw55fas4Er5N^o_bm!K>$Rz6TEp$rOZ}gZ&R+D9cEE?V_=X}I*M^zAZIbk{AP_;?Jw?Wz3kI97)Ke5yFrTk=f{fa;}6AD^o& zu}PYJ2FEv7^TxJ(4^P)7@9>g$n-bg9g)WP}dzCt$`*Lqwg@foaG%#yk0-JC=iFB_rRB6@iEO>xyLz)^AFEI1k#fB#stHEd-nnKt*{ zHOEV%l2voctXP_!T$>gx)r;lIws&vaJGVWU$Y3qqtG2qWch_6E#6hJgI|G%%g?t8f zJjz`zy-VTJyLpLRw{CVTZRwij9v&LwUbx<_T3sluhX+@E(@W@4KU8VyUO75j6v4A@ z>Zg7?uh0AL%6{CFhW2`u>y+104-uB_9gFGW#BZ_U2^Zx(c$vp_) zZr%NNyY{uEZQe{*nk|j_;3$k6T1(`=cCu~c}=M|yxVVVgYk|CXwY|K z7ri*F>MYL79;WpWj~(NOX@6Z%F!?;oE9%n0U6{ zw-@DNvYrL#v)MN8k#{$i1&!Zv2XH%_ITX<@5Z;r@ZyXPH&!KGwZ*$wGFW(xQj)j4*Dhw^ z@LKqg!z>3@(=rBsI{P|9?bB25HJ590r&Z%3vWsfIjf=)&F6PtvxSh1O9oR6Tj4kFk zq8mBmTeJFM4TCUmz$(k0T4AkVESD_V0y+`9!}{t83CqyZf}>a>>M9lN(T$eX>X&P4 z1=UsI!3Eh=-~*ILwzd5ZgK=NIq+hPDEBBr20=s7Cb17x9>s%Mw#`@k>k)5VzT4WjM z`Bp_%QEp3_;+R!x0k}Ga_x71VP^ve zgt4(7!U7*EykCnOrmH;>vMRZ_aWQj@JnG`pFqfn98bW5GChX{nA*N5v%Djqh9YRFa z*%r!C`F@pWVJO{kj=i|E7oYwiykqcA5jPzi^arRGcRah(wIhk$H09d~OrZ7<%A2RW z1M_H<$%UlVp-I>13xuLjCo&FrSZ$7dcfe2&g@9rP^b>rc;y*_03iCG(AI5Mu?Ab&X%iVPZ_dI+77PzWKav{(oqT0zJp+vbuOJ0ERG<8(lbr4BU(x-cYnukNIPM%hO6CTyMa3<>?I--4>J@hNTer@S ze2!NdP$dE4B$zCm2RK(5@{R&85yzO_@>y;&~Z;2@L5 zQuwR)yG3y@StlG!o^tL*bn$kOZF|kCEg*M=!6|E{>aVK$i5WJ4+(3*`LFjsLi*9z~ zhrQiny>>US8g+heYjQtVHap)#OCYy%u-Y6`?SiW($H3nEp(A@pJsRJBS>iVB<*Lng zvt_s3!msVv6!?c*WQC^1^4N&W6*u}?iVL(-#e zv5<@W$vbxkBTLP@bA)o~BE!~=9oL;=akZu9ZWy+jMl&&thV;0KtLJU9aO;J^do;D+ zAZ$<7Y6uCQSJL!0l;te2o9r-NJ3YJRS!N5Xb8Jo>A8z}< zUtQ-KPw23}oqbEkY=I`t)-&1HOHYlpiXo83x+=u1`yFxRutufz3U)oLZAG7cryJ%L z&~+Gbiz)uA@&Y&b+uiR1DR8xSTVVz5b}g4;cDk-Lk;$!NU1nP7dDvCvWS+HBnH9r? zVszFQmkjlUq7C$q9QysxsFV8fkK#9=P;dcop>M)R#1g~sJnVzKih=9bz{l;sNZ9ZJ zl26SUW$=OBt4~p7IS@lwg}T@gSf*p9Fz>xuatxo$a2#GRc0G$!EczB7Jop&A7N5t1 z%CC)dr$VJI5l~E|su845lxYR2t(rH5(Xd%LzsGN`)cX)YkCG)1uS5$UA9j6%u?cAO z#Q*i+&f9Fd88n7RW)q%tv4y)VsCNZhG6R+6cH){)>m2K(m_q|q`~c#+2Zt)HBzsuG90(29Q^kyzN-zQ&c&ABF%6gm5WjO~z^eN$?i zZdo*!f?dCxnjeR=M<(=mnwX(>Ej%-+LC77vl*~Noo}VkDvj^b5e(JN%{QN$v09Bqn z&xaQIHGXM!+uSNwv1zR=nYbGspT2e3zCV6%XgOy|kIL_c^NG0S+GaX@{qEB}$jX`p zrUuLeRu2R69kwG;wV?OHbHHEX&f?jhRBTDXXXq18c%7 z2(%B3YbVuCcV);rl&396ZDbhz5o~B&QhIjSEQCk4a4r!DxBLtMN5@EHXg4=s*WPad zV&}`loWADefzt$#fl7A6O}&w2wDS4Pz8`PO+d+AJxefVaau$*nmlivRyE~A*(sQdj zrR7K89cQ$nJUhn5o!V_&%}&Rba#q$12S;m*xud9g+}-b)D{dEfQY90+=nOBXo11ZE z+3ibgSB5Vth!Lq47IA^o%WWIy0kOX0YgDDSw~E-`&(k&BC-wDVco%mg=8;hD!)DqC z9o?-lv>5xPdNC)9&ay)9qcV3LWoZMZhLuhOGAF1CFs)Y8_T&Y%Rq^FDdg}N3z=BG_ z!4xpu`!5jn?OT=@_G6)LYk6j->{*r?7Q1dWjd_%R6<|+95K&VlEVEC`b^7};;s-R5 zZzMxrvR4J!`@4L6hzD3Q^>E^Dg!M2sp0HBqV%~aBQ$E>(RskKH!Hl;Oy{Iv zYM{sWB){aA9mAkncX{&xif^|VY(Ku#Lrln`Q7(rozVHCoz8M1K^$8QZpOa_F+|}^R zw?Nsz1w@FoWOvf18UY?Cw1w*J-LeC|5sp9uCUvl0t^Cw%1mnUS&* z*PGlOZ~?+)>J!@?<#XjhTF$8(&yHUkGP$1IaZ`N@{D^}aoaDaoJCoJF20RJxyneog z+@0M?_01jVyVnnzs?QA`r!X-GOPnxoAUK29OLnzyZdwr6?o-GlmAl!t-Q}OCwRP^= zdp6rW1$6~`Z_lh-Yu2J|WzX2KRxIio0SYVS?!2D)mS1U`5ju#M!(pl3IuuPIlg4-4 z4?f>}9d~J;_S>CZz&~d22|MO?Fc;ymq`7d%0O7n7S=fury>#*Rop&;8j?Q*~Vs+TB zkx?70TF^FZn!CC^dGxtr+a8uMiad9%$UKm2Xw2ZGs&Zp4p>aDeES{aZJTEdG9X@Ke z-47skq1}SI04+*as!qJ=aDM*eA$i8Hk{jgAIg1SAzdL}C1rN`hqp*8w&7uSXV!aXxN~UBE9bLo#BD`$bI|1# ze%(3t_-e827ISvdYjlmgylFAIT;B7FXq7y5#V~6K9Ant>-1aQ4S%wkMagM!8syCbB zNpT)7HWb7vSQa_#`<3^;XHB4^u4$EUu%S*U8rvI}u)bC|O!pR3Fjro>=c7$aDkxQ~ zTluB;fYX+5su;S_%ZfP_fo!!Ei^}B!eMy>j6u}h3zrr!vb}u%23^Ls-Q!`v2z0fk( z^(*U3`dqDoSsk-y#4Vk=WIHA-0+4rKz+cD$T~iR)2G#v;_ni}og57=d!{(Uv9jIU9 zTlPTJz`wOgjy%~h9l=XsXPlu`=ax$So8hQ}GKZec#3T}@PdU@rmn)E}cY6NLL}$2o zv7am17@4}6^=&n}8;V(?Qj<=1-}E1HZmzlpV-9*}A2YE29vBE9Y{KG0e&0llV|NQB zS9&q{*!ot^3`+kp{@8It`wQ>rAyWuHLIO^ z!AraE``|SeM>F4*sgE~Q=TcF*v*yeQo_N^v1pc~GG-N? znL6~~&;KCFgWB|Wb1vqWjyj}|_olk^Mz!0eJ$6lzeD`|?r&G&Q{B~07a0AF$dFRhw zp}p-hd-!#w4iQkR&Zl+n6?wKAUX4CHu}1A!cBqP*vL$==!f&uwXzAwpoKq#^Fz)M=UV4f$Wop*n8o=#O|qER6He zmyz~3k=5+tX3%=R#4*6T`^g(p3)$mA7fz4|>d!QCWX#Rmrq7O)NbcZU4Bq9uyQFbL zKzmz|u<3|4-TEV2TooM)ngjKY0OeXVcx z`oVQj#U>9^uX?B6`_|l5v+pIIJEhj9PM)Axp4F>8+rpCZ4SZb!Q~tLasEzy9vLOy+ zO%0ek*mU+6dn}Leq!DiQ>;38)ZEa)JcS)*N9!HTdGO*$d72Pe)5YUIbb7%kj*PC)S zh<8MH7k1kk^)_Wwu^_4)p7n!6Ts%THH{G2A%6UhfyfkIzecS=lsmJNYW(MbH=QZdJ z+uxG4WX)Z7?E5nomWsizOV?#{&qgdw$x25K<6YMjj%gdQ*#uRy=2x~M)Z%)byzvWk z&haf~Uh8<=#G8VTq>n(YCIPQOyWNK@najE5L+#oD1ZL2ht)QyPr>DS%v*TBtw%Z}; zTh`R%Z9sfF_=sN>h=0#Dsg$KIk0;=k8bc+*%iAz zF|sl<<@-b{kAQZB5&(n#6(&Eh_@`6KQYZ${2jA}hf9{$RZ}zyS1m}nOgZ4Y~+EU!v zKtezh{f^y6v(&oaxvH~rh}bS7rD@H%F+q$5snzYwNR47X5b-U`FLvaW*liQ&J|#7R zK!I@mipBOw@PPTlFLlCvlL=S;vvv!xL_$87gZcPdu&M3O1`yrzeoZcy{#K)xPl~EQ z*wKCeF&&-T6NI-^Fu$C+ zY)mW-r}8f85Zb;>%uJs(3G6oNthR$~?n9t5I4GOnbO?Raj?%>8dAU%TyKgi_ll_ee$UZ?1bEtjzRI*m-P~5(5L1_Qsvq(+LlHPSDJ#xxB?V zFA5tnh#VBWatYZh+WY*JO+(g1wy+!Y%{RY^Doao!aJYt>)mlxxDZVi?9Yw!@K5adQ ze%I?>^obp9?1G2@Ic;zh6wm|PH|_HWcYv1dZY=LN*Kn5Cw_%63SMpV*SmT?$s%rF2 zsRGkeHK5=IHa~xyH2Ja&7aq1EE0E{GDH`G#vK)6s4j!{R9>eV7C$eHqa}b+xw>7t- zw?aAT@2Z9RINVN!WS2P9F~}iE=wrLaYnLUIEH%sWeqvp$tgH&Ks=k9Bal3o7>@Za3 z7G81M3WEQ;xLr)8T>Hl5#ns+}d0(#TU>R8jdtMcZt+|fVs)I8EHVYsiJK<@yWg+xh zC@%;BVazQiIL^6gE}h^;{}&D<&T5-C3Unp`kC5NpI(v-uBP{Gk>`IQ~TwP*34jeLK zva#-J#5IQw5i*K*y2POd2Sado`?tlffxbI{ofqE6Qd{){|Hl6ULw5LC=xQO^lc|!GS}7X2b`)x0 zXnx=A6;g-$hBBy#jC+DaTQ5M`Bk=@fP#j>$ayttEZ_MEAZ$&xZla|ykI@9?eA_y+} zW}hW*DqAjyz!BkuJlYN8uhb+kr<6=(-=H@!xL7FT>1B3VHetS+B{Vlr`9Qw8LXF4D z$XJ_ea|5>Mo9BQzhzrfNeTsZ1kvr|Z3jW@{0ACNv-UZL@M2-yYH^7 zwyM=SH8X|ku6p{fZ$HO8Jz2GkxWdy4jka%kWG(T!hF*vTleG8{vTuw;m&v_-yASaSn;`BfcF8TO} z*M6d=Jt4wG#Vy#(-|-K`+9AC8q+Y+xayF%VVjqv2PiHjR&8Izs1z6-mbR;bH5khZ| z?Zi!P8ul5DS#r~7cf+~}-W^_E#V-d3U*9elUJfs}Ym2ercNnXxAu;&4iZv(uTdtDH z0UKg*+~Lb}oY#1cJQUB4*9**I!7`iJa5teH*lySQ$K(9XIKfmv0hU5c+(@4Hym`C2Td zT&=Am)9-!j%~)4tdd}r^ag$UM{qgWU{9k*-2N2+O5*uamXl_DJ7~bm=F8mQxGk+iF zefLj&0kh^Mf}#EJZM7knGY)#{9tCs=lvFeKd;Mipxydi{O8^VT<- z;Nc+?fump7blLCk)7Hyt!pnzka{Rq6SCfHAqd>?z`~v77WWSqxpvOza5%eK8@_$N8 zxP1!e(Z{`xZ`$n|l{h%0B<4dN-yEKt93dJWpix(=ki_+RL~QjNqMks73(vA(I|~@x z<-5AUL2$+xI8Lk?M=6VCz&Ns5;tWoDEn7xBC#3KCrur70d`Db~kYHqMHmaR2mRB# zmx7rz`4xQpm{YmlX6olWUnj4(kmO-pnDQBMpS956fMiI;$s)XW$=WkH1{4F$KTp+` z^cI*o;F`X#2kxd-F3H`)^GgI~&QwOY*eHUO4HtPhBh9cyKq`(F=Feb1UN1^YP)^0! zq4j#(9_z6&%Xw7_71Lk_5kBsNuRDpTsz+$nz#tnS` zCe4bzH|Ot$T1}@_rxj{9A3fy_+7!>}^4~q#cRhD9WTFF_Y2n{h?uXSERh5K|pd=uK_+;$|5whe^> z*%^B%p-LE)ASGY*((hW%07?#hUUa5a&Pf0qbFK@G?fdxn4VVKg#vVs?e-1L zvDdbnhQoWsJ(A_}=6AzjdAq#bl!_NqZ@(K2;`;hqHI|ZvbxLvuB@FL9FBgZ4oZypV z#pOkg@k}`kalD8@rX|B{j%3Dh8y0zH?6ysJgJS$;(y$tKxhBj{Vi$eOf6tI!H5!uz zZ-Mz{mu0+f8islHi`YOL2$5p2ME;@QK+l*Rh_S2e97@T{ZB;D(NXDyx=a#FEd6W6GUln3l zP3aeGjEfyFi_~P?w^#};rFXH5N_ySTnx0>^RkUcF%OMZ#ZPb&r{||(YxA}>`!1Cdx zpF;#dWWRpcP=dIc%%@%+qB$0{hBD*B{LauKvKY4`w~jq-3GsqKabjvTYJrB&L?=Qj zbsl14ql*)g@S%yKPi+V3_G66Apkin=qBle`fmnB+sTtcz9 zKRJWftc?$&Y+!myPoHg`JM$Mlp&vwQ=Q1Cv4cCP!0${1x);o3QwE=T zV=-g~zlvI4HdN+sq_L1Q=*5Gu-aOu!kFw#?o9U;x)iNuLo4j1o=IEO_RCiYzoS8H; zwNiso&Z4EW=iIJZU|Grdvhv3coZ_40e7S0gpBg{46LKMll>x~t^73R}&f2dZA(@?cO9X}MWV*l2PR{2z(pC23@pQLa~17^)rtM{qTeb{sUbsN6x zt{%Fdf8(2Ppg)X6O7KMw`0~&eEruRvW>U;TIbWMYYW3q^UCTTE`aKGc*a+J(+3~zxMI8CUhlg#Dxo^vO%?^?y(POAVSUoW za4}lwiS^iQbzQ-HuNvPli0vQvv?sty;q#I>L6YLIS&NY7yYy@L%pamT^1ah>p7 z2k;aT$LrY;Z<~Cd;tWbLd5P&{;ENp>*ukwKZ`#|p!%<9xd3<9VI@2RHlyJ@Y+}oBz^7&;QKCU&C@O9U0N+`MJ7d>M) zvpK#zGRqRjWMDBa?E_i{ipEDb=6`*F(rt%P@v*m7epYfgs;K6PZ#AOe2^Z5x>q)>J6J{Y&%+oV*s{XmY3`C_pK34Tm zsnn>IDZPr^Os~=y`8qk^Hc(X3qc7L7Xn5F87&iu!fm{0=?%*5pZgyYBklS#>@8Q0P z)5;K)TkS=*x#X*pi40E-E=s{|qJpcE+QE|x$HjLEVwH0Y5lYjW%;fbT7QQ*$h~1Et zH!m9Ha|&PFX{XXgc-3f>TxhP{u+*<=<3VPx+S=hU|EzYsJ^c4HjTm#=>;qbxd`1p> zGTqx9>fiJ`O_#_`kbH*hxXk(EX$f>TW#fs#ah)#^vFi0L~DJy^xnpA znKR-^=s*!_fog)oBHnWOoUtm#bU?b!ai_q!TDkkD(k?;PXN3U zae@@eXA+K-kz$(W$}X;h?8SZ3VYpC+Gf(`6g_Mj);#bYeN5;)PJDWBGbI+ZvD!Cbj z{9%5%o2T_g^Wx)KxqLGGas${#d!7Iv55tG%27bPWME7k_B|z%!B<2E%`ezCCrVs6` zQCa%zU(+0>zW{ghHA{oa6LM|Vn;sHlE|+on0JkhHFeV;ql{&58m+sDK&-(F%{}L}q z%z}(MfgZ9ktGU^7vHGc zGZ4$*2BI7gVdZX*x<#0NH=vVf=yK+C3(XvqBYW=9KCm16Yr}5bvHC=Vk10%dz}MXk zcE`k>1mI(eZ*+X~uARKnVOGt0bXbM1J?qulmIwZ7H80HKq1MDpvaEISx^1?thFFx~ zq-l~BndXDDCmq+rX~2%wrZaHd-q&+ec)jlx#c{Xj;i28#>`9<;L#)%Z(Uh-zu;iPg z9r^qDMjkWyya3vB@YMOsImEu>vz%bkG2`oJB8~upJ~Cd$S~iF4jX9c^5e~0&L({jPJD8( zae=mbk7v$TlXUEY1ZiGZMm=Wz4h{2hVcdK_mM*E%F}q@=#Gcwa zIcrPKBXeLN>rME-hiI~it!@yF<~4_~!*{+b)eR&1Za6JZ{e2rodWAOeuDqz{`I%VA zPyk`Yw+gxkCp1x|Hz(tEm=nbZ2>gr80Bkcu>+LOs>4wn=s8nrW?o2g| zUWV;T#srMs4;}RW_apyzrtu#qec{6f-%Id>n6$f%I3IyE>}?4Yt7MlA(~)?^8X8dw z>L@VvP?Smia(f-(E;0qhoIMQgd&Gap=G}E!XYfo4JW{n+sZ^V*1m#E+N}GqU_p zA66!F?@+lbWWmDm-+Wk3A*KKp`ceAetn+_vpOe0MfZYx^OA2w{xi3EpDEAs!TlLLPW{gdfE{bxnMnjk z^OgbR=26!D_%OHhs-Y& zX_;5O_jJ!_Q?mx@ub_IRZxT1yyvUbev6tQFEeD|4XURU!ag}%;3V9|>Sr$K4`Vaew-S%WlM1gsq8G1k{M&|EKpdb0Lh}uC%)FPdQ zHoWTY_?%Y~&>uN5{d+g(JE(SiZf)a(=J|S@=57p<`gqrKA!_)|E#I=(7g_9AG3$#( zV%@3S*!p0A!#DJGJ==BNT@N+@o!g77a23-@$rW)`OiO?|IuV0*@GIXOu+A(h?_S-A zb=eilDYVSSwf!D;g_4%tsVyYr9IaKaOK&USgD`b@zu+??;lN}NwsL%jyWi^_1_lA^ zjc_%e8V5s^x7DSUex%H)R0~KkeImX!3r}=mP^@~S38_142zcE){rwOlGr&?1ji#NO zl8;`Zdy%MIg5w!{$g8gwQu8-xzin9Eq7~iat#ekEePzQy zAJUFlw-cScd2Je455isen@ToK6k$5@i{zJYY?n+AMEhRxoJb6aQN49nUu8Ovt6Kja0|G#O;e_n zYeB~hwa>q^l$GSpptK(E77X@CJUy$&u(QPdev>`aiIYG6uE^tC=rZEw8%ZULWD^HX z+DT%f@`l_~e^za37TjsG#Irlu;5_kxE~6Qgaf{pdG{iSil~J5BGS|Po?xXdHOf`T$%#A=VH0Jr57b`rXT4- zy5vUsd%hF5s?nfy*AiM|&Cp^;4h_)N56QmXG|lq-7ftIu{qC~as8MXQYO|y>+|#Tg z8-w{#Y`eDBIcvv_s^_C>SU0*QQBzBDQLlw-x@<2Qsr%*g3NRAMH&!gY4T)W%vdZb{ zy3(Rq?S56BsH!T(s$){6W3ad^_g#&;g4@aExbu?NKhVIGNzfY~Z{y^9pEoFi6#Dx; z9UH5jw!=UlbkyGs=)QN?*PB;L<6FUEw5jOt?A4vT%(pN(aSNV(wv{uN&_ZoF_o@Mp z&^^~LIU4f=6{Zc8Bf2OCyi>zGh;MJQuQDVuhhxowyKANSHZ1pyFP?koh~* z`tY^D5>i9{L9R;o+y|igP@3aPbe`>~5{=qh`|~7n4VHOHo|UFHP9K!|9@lgc_+E06 zQqIZ`+1qmzck7<-ygjK(?NOQ2s)p*5^_)Tk1qw!s(A?D?985JOagP{4y8!vJrEojeR)zX;|GD_25x$%{3!tiv7Wd$H?fdh1~TVP+h=jl zy<`sKVw<5szW+A>D1YZL$-C*V42BYYUXk5Cwkf3&Y5T79E-!`k^cSu>G^cNB4%#e! zQ6+aQPaBjm;@SX3#O=ir9zKsQ9o~7iDGY>#;3cDsCIU9hR z?#QoL+8KPDk$;J$}CmCf`ND0+xwL}10(9Pj^VO5t&h)Noom3J$o37$ceHWH7zdkf zHyhAhT_mSxVIyc589IJv2gWJMe7M8ex1IZBIP)pm6fmh=iB#mmkh-RY4zwRy{{{ zNu916$eTm`^%#%GoD^JL%VAL_uU0bH)IltK+qkT?YP>Oin3YJf+U>e+Rh)LaxL!&; zVCd6$)nsrW5ae$c@L}K{b}rmh4)zE8r&tnSSyxO*6B<%y^3@Tt_1$orGc!6@QXVes zJCXQ_4rg=@;@zveeyU-EgSyjpuBk8A@YLr+MDbC{P($%}mfW4n)O{}1qSGX=XIdEYYWo zJ+JJ&$$bN%!0LN2q%JOhKIfdix~il5?8^?M!0FQUy{R&&Pnw*f8la#p7ryYNb(GgK~H`tom-v8HS@@8xsdNbG|Vk zKBn3CjZpc5V8z0`&zy%;t2|CiM0_F*kO$vVJl(a3Hi%Wm>;}|*`bTptA<(wwl+OIy zj5BeiZf?XS@<{U&oq%*H`FSP1B(~h!Tj&qJBA+Q~Bm=j^>=c zd68O}${CpGQGnFRZbF2`%bt-I7(_C(%})7SGRl?(cHTNiCol+QooN7`x}=Y&N4euv}1ACym@d; z1 z9q#kH!S&*a6DjDkzH(rMY}~gldj?Wu{7PMbt-uKrn~xXX&zoIYSn))0&`rQ zACEaXw_-f+*{sS7h*oU21o9e6qZlJlq`vK6T&%3tuqC8jS)_Wmx?xhSUN0;qn^rDf zpUav?GzP8*PU6PA7Ec=+VrIwqpx8Z&6#FDTkd-*a^+IG1GM#{3;BSlvQw0Iw#?y=U zG7W+g_tlxA%mZ}p5Jsc<(K%|8X72x;{+EBsbgl)Thf93D5_f~C59LjgTnohTS?dQq zT$>n1ALD25+BozJwgUakK7EMFme70u#Qi$BhdnlS`|wT#1pFKRQ~$FEVyE|zdv3Kx zS7Dw_s#cO9nm@Ob>Y=FL>vKzKX|^X4IIqc;nATd zc-p&2o^x5>PGV=D3BJ+RwcooLv@sJvvO_X>PVg@oGUqBhmVxnTaK3F{aZp-UZ1D;dinKz|2PqrMh z@vv>VS)Tf*pW!RtIiO#oTlvb33F@5}-SsT=1~+EM#O-|Ux~;Ez>U)mooqgkV5BJ&C z2FW_9!CJj(v{m0~&--=FnIFr?YM!ezVU^ty&zfmQUNz76cfG6o8-F*9P4f9~&=gkTv5bYlzjg%D>?>GlSX8{6)(e?}E{`!Y*b^&GvOQ{a9q1`Vx%j zD3?QiPlMLcAM6HQP$xD}E_YQP5An9cIiMBJZe25&lKJfPrRH>16m(|RG-Wg-Hp?$p zKv;>ZSZfQSXH8dFRqJWBtj0y6I+Jj{m(dDved7^O(<6^Ny`ShWJlXv{es6b$%SSGA zeIEQpqZ*`@){D@hPL*gq#1V;9p>*P+6Y7L<;O~m9wM6AZ926JUt=BSFC(RGrYjqtg z)%QE`+9f6!%cb6PMdeW9bSF$eSzTIG6GER%eAW=rqaW;{7XKe37r?y-FZwyRwBpX6 zoU*0ifm%6U&BBY!W$GD>5|ZE=>Cu#>55T#NJ*KW7I=;Q922%VpVdxy?@F0+dz9I|b z&utC}UH0%VgKPK3g*bo-G{Ajt-b*<8=lh1>!NCCnR@$%DAdc9|1dFYuQ%^)?~G4WI51xpe{ok)z>4Jlh2zdza- zQCjG835AOB$`OxZ$qW}t-+Y|MLgC?1Qas)Z{rkZzq5#!};7`7q{?Cj@EClwS?0h`* z9L{3yE;T+vZ6n1% zI5_a*ApQ1gucKa<{nuT6gWWNfi{t0}^f%=B!q}G`_Aiz1yFRKu1yQBbs&y*5YLp$- z(y)G%V)!d6h0lH8AG6?z2vzMWTz8kueCHagSkG+EXyaNf_cwU1#~W0IcV~$c4*ZF> z9pbk!QV%rNRyil__$z9U#(Ts3as%Blq{KiTPM`I=W3fD*Uhf!~gYU1G3zhfNYvr2J z>G5kI60U014Gu@2>~)LAOC-CT-mjA>&c?SJ<#VgS1;Wia?sUs|YYaCHzAVR*Q#Qo2 z=h+R@Y&U)m;T#i>;WfyEzg9r5dH<~l0*V2;6nx2m=VF=Y(!io?e^uF!gpzF-75u33%d@b##3aY6Z)vp?m z&;U9(ZoWHzM_+HxI|d2+7SM|vkRo=$KlN&FLy}^*IkKhe?D7ytsZww35Ms8V>>Xm+ zx6%FRl#*PY%5PxL3pNpc)p3Ae zpE(IuBXe8@mHf>lw0LSzer9mSjf+fqm-+mUPos$qsu#~E0L}SkqslLZy1_H1D>s42 z>U{&aI+z8nZ0Dx@KLN`kSQHF;xMrpOzkiA=Lb%5vQ}j)J9MZ*|cn$%*6yU{dFrT@d ze=`yfgliU~-sz^UySU@bFSq{cs6T$zS9SxQSKV|w2Xxgh-Qs1bQtdq|LQbpHs%C21 zl)bsTT0LyzyxHqx1@>FLd*iu2kWC&;v%MW1r(@sI6y8_d5$N?g4(PM`@N{>!9K%RL zAx4NRPamYd?`sc+f+scvB6|PDu6Nw*Gc}9Qxns}md`7O*c)lZXx;%^N^yv-}3=Ow; zhq-C~Jn3zQ-SYZ=w~5cch%36B;IP#~PEK%mDis%75|g9B1(M0;&R*mD7FGM77JMFRvIHG%HTO`|)(YCi6IPAI3 zs;6+yr5JMrG8i0JgIX9pywQaP_r$tYp*F?pS{GYtY85Pm zPl7jY96aW-1xmEVSr$PCSeV!9b>qwWFf3T$+|-ueNk`eGZ~_fSHH)ByQ*B`}Rh^7na)GyA^=yhizZ zUlM>s#DJV0f^JA|NQn3nT*X<#t&upAdk##aZ%DqWe`%e>J&<<0dwAi=Fmszj)uKg{K~@P-@h5=Np7#4S37qt}D|dIQp)Z z?cu5{lTB)ZYcZFhSGQ~ycl^S&T^WlOX4E#I>khN6e%6jV9GXFoI&?nR*4*3e?Y2vJ zw{Gz0g2mQrg5<_GPYkd5# z7Qc#9Th_^Rm7`A#|J^?Uc_=ZX2hyrEs`o0?0^W?wRq8Wi<~S7Kdf#>-4z)ov_cq#f zsN45p%kFf6cTBs4&J-Sn=*P7iMX4BjL^D%OdvGx6Px^H5W%f8q1parL(CS;q-|zf) zX>SVG(h-*TSB%m96i^C^jU#o|j7^^VakCGVzW+`W@v@RGWNRVd%8Fts&;Z_{trejZ zq_h#;yv;b4bv&rkalQq+%z7JPSute2HbIb}%yd7r21Q zgaK~+DH~5uOl1p7`{kDFznCXGH=iAk8MzVXGTb5W$gz~`5DURQUuag^4Mxu@CVl|* zC=0nKZt^E{OG%z^o%eYZ-;zZHoFa+78`Lg@@7k^E0e1t^@MG=QuNSCSXv>q%YMzhF z=ZmxEpwIc_R1mYzlnFkD*NJSq;j!&S`#GGjY!u0&+w;o1ZYjjgyKnO+?rxiSLf$v$ zdKy}Ufj0$jpl^yHyp$k_xqiJ>xAxhk-NZjrsdTDUn!!Jxs-v6`-8pa;TVhp$r=?5t zURj5;Rcd@)pTlQmoeZPYF>chZeRH|gEDD>0xIe6HV zJ+i-t=bSe_lq=r*WrTMZ3|tYNU+i(*3DUEI;K}n*d%U6HM||5s?1Su8f?6Ml^Jeoq zQ;yV)!7xo(+DPQ4Hc=1K<35Oq^!$yT?&;1iUFTkZ!MOVuf)?6DwG%e)pK>V}H4K)t zhCi64W4?3Djz`g$t7{jKCtks>pkL(5Me0oDdBJA=&yH)%ClSJ(wD zlrpK~ee7r4(mYFVuZr&#^3UfKg}49M_ylj4IZ+Cq9Vrd}xkHu^6T}&r+Imwg-OV-Y&cQtObHPk2z6#7_X->13&MK#p@pla3MdWk4 zIM%cELpY?rUuQv2RVbxVvKh`@DLh!EHjG)9JOF4?p!D4U?r~ znxT3J5G@2m^yjZez2HO>7jbDzP{l9j&y;uX8$>*c&*>vafOcE{*CrMg7>xMaMZ-dR za<*U?=nk!R?N?_Qi!I%5h{C3-?Hl9nD}wmGB)0R9x^NRXVX?}T)o z*^B&>t2G|te%8dahJwt&nDzgH`Qljc>#f(V9hxp;iY}^Cu@zKx&eq!8@&k40$bT5{ z&TWIX{4H49^g&?hLGAt5MYg`0vL?0Eh@y$7J-ZogEgLVfG23A%(*62M7Jw4voW0n` zp)+mly~)&bOjPO$J&TD^qN;00(P9^j+g^>$b6_p7DAjsMFeCgq?0zJh2=aKd>LpA>oj*^>+l0lkLgW zs2FLyS8JBtc`my!)A(*#LMdkq^keJ1^1jdM?;ka?goQdAICbjiP`lnlbK|}z;0$CFZf=Zuzo4pmN{s(+qbK!rFm2#8 zLq=F^w>oXdGIHtJ-dBK z)b|ZzzMRtIKWw3~Ccpe(4s{`Ex~U?A{hF|{D+Psv0ertk42P3)y*jYzT=-^~ptJUu zN1Ph8W74yNsHD9EZDSuX{sd#X_LMhy{~&@PKLJ%CJ6!f4Un$=`_BW}6;QmqVjnrTN zRq#Sftzw|J{zEK{JYi zQ_XbIrj8O@n8n}NEf|Gj}xr01sdpXL&m(y_&Z+y^%$E(`CH; zgn0uoR zIvY0;5*+pEm5`AU(uYtjoCqBi{YC?QhC-s~(U4J}PmxXl4R3Vz14Jb72foHTf0UB* zH;=~=u}248#-k8f-bKd`=~2)8$D*0&p7aoiCk$Ev@^I$=be%tUJ~VjjdG6UYcg~`8 zX1qC{!$AYfnm+K~q5GIz{N_9EM?gXg<_M0*JkdQPJpsLshP2T4S?9|OATILwkH-&1 z{*LgoU7YzZw>+Mhd5+3*=RDa@)|ey5J96d>Sx3H-9b(2faz3mRL&b*hV0;+&1%VL{ zzqc^h>t6i%4#0VCUi;V9#dP=DxU9~LR*ofM%~r81&G|F(+}Ba_b!;hPzLzX|N<8_o z$4r*8<#g#+s_Q4&I)*GDMK3RpE{jJCOWz|b-{y41M+z6$8_YXNML)%rWO&wKDzqr@ zQL1YbSiaiqOMLX+$=ad^_>qMvQZ{_uf7UfXS+iT=EyNUmK{r5ORKVMrE06%yUXIT zG4!@!*|eI{w)JYQtQ$18ExP>U(zR&(rdUfHy$!vXjEo$azOQf`^T>2$$+6gMovl|T z8(yno$;jSru`AbD+pkq>EH+mgHRfZ(bg-_pFmQRVCavXkMaxNP1F6F$uPjE@ZK;P4 zi$;S$A5-@le|F-_>Ujh6nwn`{+i_*kr>LEU-H@^-xV)&z+0Ry|3_GdIPplpBV))9E zyxy5lS(O>ZQq<)+@jR7nMh#C?oaVx?l(l&d97AcH1HDu$A`)Hh6iu1_WuoF=iQQtB z)S84mdM_CmIJhocz4@GSh+a1IabC;RmfG>wt1_L9wyv$y=*TQ*35f{krq(G$1az~f zWV3X1WL>jaB9*4aC?+B2uuG_FruB5RL`wQi3PA-9Z^b3K!Aqzo;Qhl21OodwINXoU zhr_M^#%dW5Z~NR@oSHw^-9ElAk4x$(Hd9?B8iRZKT6QTQ@no^A_ug=FpX5@`-_tnH zydbb_7voSB&qZR{EXSeG*!$x*Z9FGhIn2w3VEBEpmi9Ym&VSoM^+Uk1|hOyo&csKrm31G$; z@HYJK42Bb7$3SoZ1Q0ZMq_6_eL=i#Y4*((~g`Xikg`?61Aq>Il-5RyGP{9Mz*HsPem5Ao!=b!4*y3PuWz6_Do)#1h`@Vn0 z4K@EZZ1)1dhcMsUcLm0N>pQ*f-Ah-pjbqE%ua@lfI^JyFm%-5lscpuYF}-z{RP3=b zC7I``IH@S9Ag>T>w|fB#uN~{6WkRK5q)eaI%`0Tzlz6Q){OPy(l7j@k)#(ea=G~Q* z_f-meF6=q7fu`(PlUqkk*Au268b4{$q!p=s8oN7t?$)l`gLHQ8K1_qCG%(t?2G#5| zXHlQjJLDLu5ggcY_d9i=shBCUr0N=VHa&%!(e%D;*>;?>vNXTnSnChXYaCF<71F9% z>+`w-XTG)^+JjfI_eI|B;H+!zEzfQZ^5EuQ3?76kr!%x(o84nISquBcUfXV0vTU?0 z))l)(t5(6U+r3iL>kDoN%4} zz~ys!#ioVB;JULcu2tXov@?Z@%ukl6DwB7~RF!0*GW*V>Jj{dODpODAZ+449%?3`S z`fYe+uq?FJwpti#>$QzW;eKl?fmJTC z>!)eX0VnxkR@JHWRNblK*&RiGmnD|Dy+gc#U>-i;c3Mv@x0~&;_AM>?DrMbW1+y4^ zZ0^;h5W0)?VkterX0_`qK}BRQk(VHmYqbmB=!i78T0O?y?zl~+Pq%HkZC+54KT+%+ z3n%+HG|Tsj*+pA);^tx)R2lnX;YW(fXq3?2)X62Oz30OUxgZY9|LXc{a2Drja%c2$ zYkX@ucT)WU(w<<@bKYeduxtTEs4u)9c)rJX3y&ek$8%@_`pwbrhPiSs-b{Dq6%On- z2-yI{1>(rAFadcTMBM;R@Dua^-5@yWn+Q=HUYHxuEi7Qb8X>qJaRE<<*yI5XC>Goe z%A3Y8lfqnv+QV+ocfhlr@cS?TAiHr^3jFQBXoJg7jWE-oJiF=N3?C0}V0Yl+k81gD zBG8_G7=hV~+Mec!7vzgldvG4NfLm}|=(g+{LoDV|8%wz8?S*-Yzh1zv-J7@OrEu%p zxHhetGmh@I18m)CbB$(gg$oSn4%PEvt) zr02auZ_c5#=M4&(7C26twlZGW2FgQy-j_~8isGqsG-gYcD$j&ln;bebp*D!^xI`-O@OGTz`yJEer;k;9) zF;`m-)wP%A)$t7ryOV*Mski@(vd@}}IT`RcvB!{COjkskn5?YpTgD>pzNxD!zTqSE z6y+GcOjl8sCCFCQOx-m zq;6{3+9kHg#e+kKXa^^&j^n37>3%0A(4Dn~w7&7|-8%3e-LF?HMIqbQM}@TIpptCN zBPEFBn$}Vp52M&?mWapYasI=7vsAeE^*6$`8w4h~9(K~w2%;T!8T`<1u_i^=X8B;; zkT^FLf4Ihsyu|%(iUS9T#e8h{TFh$`DgFkaaQF9l+{lq7WV)ghsh^6aO5c!gM_ZQ- zmV?ymS;c%o;={qmVEAz;j35GpPz|II-@e{=Xd$M6o5FDs<*+tyx88RJ?ZL;O@)2Uq zy)C$~czolfJDz>C5dVH?kx;0B08Bu$zqct2xzPJzN4xZieT7|wEzqS0B^Xw4S&-)t z3Vk5!M{s0cBNji$fNESAFB13Up5l*b7R=1EEMDmPTp!sFpbdPtSLQn;%n6Xiq2A9q z2dSIXS?a=jJaerJ@AA8JZCvZtoL#-=rgKZ(nc=z8;wSvnCyum))^l zY_+Wyi;U-%!>z4ecXF`0Tc}o8Osq;9^U@zw9bY z`!m4?<(SHCu(A&(Ri1>`E}V&Tx31V!XdE^ETuy)!Ut=i8&|vHm>1TshY0VbW!nUl9 z4>)~KsjD^WG?hgSQ8fa0P9#w1FP9<|=?;8&qX2reH8i)hVm|BzwUzZWa#Y66vyi4^ zT`s?X^~Yt%T#T+sfJial>-U*rOSk_GzkAtkm{p{ZC*z59-XE8uXDk=XRdpgEo;HbC zac=W%@mA!((ksM;+%jm@{n5`*phj&eeh8UA?H`(x^xc zX50i9j_2XSIS6)N@L*wwhdIsQGtg{E0mXr`J>NOu^Wgo3xgUGIFWt#cu`l<&Q@I+k z0&w&H2XCX0=dYondj~v%&*2NQ=57}3DZCX#3(1Ug?LhQJZ!#`JP<_J#d%kdn4}5HU zi}~E+d8PZekGlc9-61!wSk8CYi9009~?xQ&fR$N-huUcO6qG1y@CkdV{re= zX!BjYS8jbv*RncjSFeo?j11Ih>P{m`ka=aunQ~iC_0?H&lN^w$`p8ew+q-|ne^~nV zd<^P*WS+XhQo&IHQt?yKQc*-vV@~pg{+lm2elIDXz8A?8>eNrEcc@CV<}5X7?gqgd zWlY$TEij}`yVB;aJcpZkXHL44cNecwOxu_)eai0GoW)bthq2uBw#c z3pVZc-I8R-bgwGdHCJ40Yg%w=f47d8uZ<(S@r1{ zd5cD=Vk1jVvZsy*+Q4f?d&3rJYgSEE9T&M%yTX0p+|ZtdAqG z94E01sVYgPXsN1E{n`MM(WV|QRJkuqI0rZo93upbEb`0*(u9ux;EXC z333v1fdmwdf4Nym(ylv$z5z7{2X$z#E#7=LCdcw!8q1*+S<+cuyL>%R?~|E!;_qjB z2AkKWEzEw{^PL!s8&~k{>RbaZtBhs1;A1=d`{?@5u;~Asqr9$B3s>TWZsV9Fx4sF6 z3!@i&g9HJTOaQmwI>2rQ5cs_D;(}Ok+P`FBF-}4DGV>Q6Ci&hS-wQBq4yd56e@qw;X}YaM7CGP;_(A(RC%;6Ha*;1&=bDvaOkYB%1?5>p!u;s*Y%OU zi{Q`VY-D^3yGGyB4Tt7~aKV^zSnRjZoY2(gHm0f}ZU}q#zLjj_+O*be@663s{ioW z|C)dM?EZtl-=E}ls~1fhQlxE2ITOEhlCN_0zMc+DF+6?Tz5Ra*c|FdV)Mq^ku~NTk z-?IE)QawJtjCWn~0Pm%2Qo#eTUC? zSEcFn1oq2x`WbCqECn6m#44MLcwJJRPfe^zAg5QPySGc;)_~lC&%e_4dJs@n1|hBMyKEcMcoTFJRu^ zy#SObhKDiQl>X)CnYfOGh2CEEeN`5Xd(8WNU*j!9C~D{(b*t#GR~~u^VyFw;;FB z4bk&scdr$^_3FBPZ{15b^PjhFZCi5|39Dqy!o*9Pb){L7swYDdd~~7Yq;D>g2de&e zuccLoyM2BZ7X!)Fkt8S`Ar3Sy%b5J-fA|B7Wp`S?WB#AwccymPT2)S+K7UQ0Qzm37 zSFRGzS^Wpf+G)Laci*}VJ!tZzJatW;HA!MkXTZjFvDWoRwydb4rFUMX=nia_a~H&H zv(>t~m6qLPbz53lRNuGNRh7ss#5Zv_ykmwe8~P%?q1tZg+}Bt>4}E(O=>FVXwA$Fl z#A;g4Zr65>>l(#Q;AX4H*lt=X%eCeetqqHPLwtjnX5hjr7frqWljsaW-HG8*-B!(cgC+8$C_oU3bJ{pTqRL%6jM3zYqjF>4}PUU z&wAdesq=id)*|ATv8Y&DSKUnZx}=`_7$i6`nA&m}hib`(^j2*x{eZ|OoQ|k(uTN6x z2<&DlX{hv-K6sXRPHt+>RMj;Ry>x9-xzP`{{h5Wxg1}FJpv@g#?!IpN-+&4^@ok2N z2gl}CuU(RSD38%t;K!i%%Q3L%{CtJEv;;hp=`m0p%%k!s#-AX#>-L&WcK5@%{!kYNeE|grgV-^YzJ%tm`|{Mg_zu8fG3nH={D>vp>BBcJ zJt8NNe(2vFJPRJ#o*_y+r5p9v-A{wX!)u3!a^EM<1YW@#Zip6Mh&1@o>2&|Ta4E-Q z=?8|24p|VKFSVt3gOc~1>jQ7bLF1in>kZ8j!$S6r^9H?n?%aEK#+7X2+O@1s`ju|w zQmxRaNjrx0UOub}r8dzUa*P1^BsfUm;>i5q375y-M|r&=-4K5PXa-wo9qy1K0!VV= z`}qU6p}uHPIyF9ZoDZeTz>@iH1mMOW_Mxx6KC7G#b@rS#7y0hAHEEj@t(h+Kjccq# z^kl95JNLOysN);fM(v&d9;sBP_R_^Qlh!>YRppjduCQXtzO!31G-hX94jxz54O)X& zzPZQ-!kFbiT?c;H_dNnPnt67(Wg9V@*EHL;Hj9eAui3O+ud!I!=CusWLjHVeejBXj zJLIST_W8OB*uX6hcTH&GYsn7uR6$U>?OPoWx-fdd> zrOU8PsH0I=Y!jMf2f15Y)e-@pX((R05>;Z(uBznvv~PNY!9Kg9b6|Mkz> zcR0SmRxKD?*f;e%9PHc?{v|E=0^lS32+$Ydt{}f3{;Ss<%?GA~Gno5X{Y*}T5y9OD z9o_a8Jp2*Kfx~jV6lZ%Qr})9dzHHFuzZNJ)CoV;g(MBtgVs~aSXF#A`1a~Jbu(*)8 zh+FJ*WASg?JRcF{ri`K^ULij)b%-54FXjCz2O{i!{BExi_yY~yJlJpxcS8~z(FFJq z2oBgc#S8V~xpHn@`xdT+XVuuTbBR6;N|(x%0Hw#QWZu8xDhpeD-~p+BA9nH<-6UMS zM~X?;uq4ELlXSy;u4;YOP1+M?B_9Mow}f%U?xmMYo(Nq)+VN=@??0+L$|Nvh)CPoy z(GN2Y?YVmU5;Z-EPoC2!yt$0`&3&paX<-|`b6{Mx^*InHK!az$7V1sh>o9E!MUJ4S zgQ;j_&$3QeRo$6XSAGkL>xsJW)I3o$!F|Qksm&|e6Eiw`Hi=;!C2@AnJyxZiU5j94 z*xC1&F(z0$S?~T}kR_|tzh09N`AIAC3j>o$#Rq)AY-usL;Vw`Y3h{jT&Qcg>p0BIN zj^=Cpt}2#y$X3BU4#e4aJ5_=16hENny-`%>7;aQ$>IDpV^@6s!MR zwd-yb5#^eL7A_qTv&z+vTD@$wjHfDf} zt2{Jhn1=lv_eSoOm+bPcmHQy5nkeolYU=~ds><4qxvIwj#Cx0WH?YY9n)1P;%?|$e zDiVD+eB<9`FznypqWXQt3`RQ<-?+dN;@lR_mDNK0?xAN%gDuQhUgP(;oHaqlD`QrW z3dtSFW2^Gqp6d1f`v#>jbBDrpXFD*H1$w57KUJj{yieP@>#_`aZepHd(!Q`ui)`Hc zkunYWC1vM8oj%HUT_uxGkd7>qsDs-&4jBn0zAh6jURw@x+IO0i5@Ksby?1d==x&B? zO>sSA@MMEA&xg14USg`b$e@oI8Ow`Mk{1>W%Mp4u%|gMK*|ifj43T!*2IqwGYl7Qn z^z63NA{4Uield;5NQ^Bqt#ARN;byG^@>@m8BR9s$p4YMwIkvzwTQ_?J#9qZY#@&4j zhHc&oZ;zw3v2E+p{CJhuTUa0 z|M3r5LKdILA$=db!MiW`DSoK-LPY@tIN>kxe>r-C-1`gKC3m3>pl`PM`yRo428wO$ z$D##f&TLq(Oq`wOlr21x;`N21C(Py2$Y1CJ6dYyVB{NmTm3q`n%EWKH=Udi;A&ZvP zV#DivOV)e^slp^x0MC2VvK#Tw!(Rx&9dmwEOhMA4bqJhK8-_u+5BzRd^Ln5@lXlmM zvzNS?ZNlt2ROrEayuItfqm<9#Ijc!s_oYTVVYFL2<{fsVS%+guR5LVX-cqQUjBdA8 zD`xQf!)v&|yZe5i`__!af8XFeZ5hvP8Nt()C3ek`j0XcQi)~m;BQ-qd=4#JjvmkVu zDstzzG)*SlUVWA4!%nB6vR;>}Dy&nMoenUeXksw4Ts70wsqwTps}z}L&_11r(QR9% z6Htz=QJFRM^X;cH?fjY|J)M|tUL3eZr^H4JL8*WigUH(+mLa1rmdAD7o6oKz61}lK zPSi7mNbbLBr!lj2Pt^KgBBPNPNKl1zG@H$0LGl4}dhs;Mecw;Lpyz5pV-!!E4I=Op zPj=J~qa)(>Dt#IKJzMvUuA^}Gu$k&Vi1!AbR@@KiK)-Y>WVRmj#_tksI!ku-dbwS@ z_ilwt=i&!&B?iHZ0KS6=0FFw~4M4Ad{zoB>3at>e-GH3?7%@lLIzyds^cCCj_WJUt zDivi5HTLH|@CX0jHhU%h_E#Pm3HOu@)&`e8H2XI#DeV5n`xxK4Lj|V$+zSWY|9ZPGE!wXVsZ*I6#2A_J2q)RJtxlV^ zu0>|g>xSoc%g(T30+hC7DpM@v`WEj+U z?0q0^v36lp*wI{EKRo*;;Me<+1GxBQZ*IN;6*pUFzGNaP^1-{TOa`$VYp0VrS+P+O zxH}{-8THgTF8o@BnCZe!rXDh2`3jO7e`c!+v88ux#h1*LPEtEhxT+=}Pu*_QggUL$ zc~XK(##_{7*(4fTT-V~8ojFseJXyNxWAT?`uS_aTwYMn*xGsbn^Jpvrgn32&cdlcJ zhh05=Xl}LMYd@27UR!1VpsItghIX%8l0pM9^?W1Tl*c5Hr5n3@GrM!Ei1c~)gR8sM zj`)op!S@NhumN_54WZ)gV?W<)Y_FwIq#I=+bDQVllT4Cl6(YmJ-M+YW z3f%4voDVkj02&<>35gLNA+C_C4L%U-CDv$G_;iGF34Ni*uFcaK=!gwi;rFp>RFD&< zzOWZ5uLWw6rY=MIp>w0$n0O2ek_{#caNyX#b)ah@TR08uJ>R^@)gS5){6F}`33&S( z;@2N%{R=PR&%OWt_b~gv4!0F6E1;(Zs^wxSw_wG>Zo_=>B@FrUyMC`-8#gJ4F@(u_ ziGc$qaq2#L*YoNMBs4{ziKXJ;EGTd8nl-7@lT^Jb8(I{jU2V;(24x%IW$VwPdToM( z9W>)^p|v#wFXrd_9SAk>+wdjIx`rRgOH?7fe7EG$W1%TK^4vCQ%$u{G?OBluIa#_k zXk+ZQFKvn%i)W)n;GA_P9V-oi`-Q#ydYR3$@r;{{4 zf}bnVv)ve~#3Lr$b2V#2Moq87TqO&(wD{h))2*W> zOIb`xH@IxLV>)hQb#fGJ;oP1N4AESmq83nECn8lTl2U6Z#fXiYXDOsj66iEm*fRZX z)v(*onc6|x_>VP|XQQF3{rc8oJ|teN@A=+1dbX)p?WVMZKdWOaYg@06^t2AdJ!5x?6NXoYa>*8457DOP3~y zYnQ~8I)j@_lX`+qfZq3LA8*Oxq3_>;Iduu8Va4CKXb_M`Gq0f!F6^?$G&^u&GEeV%u|MrcsMXg7h&LiKyx7Qk9b7v6f!YX zT)+{o@D3_Z@cr+l@&r<)yhzwj#P9FGcc9RIzcl~aL!A6oi)1DBeFI|BvN(-D)vK{; z6)Wca2QoOBu&%0C9hUFAAMl*HX+uonQmIp?Zb-W1(E4jbTD99ocJ14tu7z#Ud$iIV z-y9}ka+97H`xWmS4xUSF&?)n0CI6E}c=YrQY1W=L`%bhub>5gSB~2OAXRmkL8@0X_ zbglNq%+^z7rzKyjGxQ7d)O^>@L|Cp@?x_hX3R~1eU%^>@-&WRE;u!_W-O$tFMuNGU zmRdh}`zC7tc%UCIeO<=<8Yk#tmTuEwJ8xJ{{`b6Xbb+T5&dKJ|#yXrW9)y0g+`sp^Vp zhZij;r?A%%Zcq_~yw6z1$Ti}WZfS#UEZ#Ru1Jy41N$Xz#pxKzn%aGC68zh-M`QXjwLju#frXA&+K>0PU!n(SF|rwyOnf9o7D8YRock@dtm50;FFey zH$9f)lqsfamuOQ1;=EJg}h-!9pRp#kjbxu^VI3z*T>61QX9f_BKB|q>&Ag zRT{TryRq4UUO|-KpKgDWDv{C|@=$`KoW~0|FU}wOtNai4NC$3G&CjsZ182hb_~V=) z>=%B3NN-8J;TXN3czFEdGA;)h_YUMg>BClDx*BgB$h=hcho{iZhg*mDzCq6PM0y8s zN`0#rN~zq2hb#j&>6$LZNs~DB^Z!EjDD%}7kBa1XNAVo*naE?Wl`5%HiWE+@Tc0yF zSh2P%J*%aVEnJ(HzAb3k+qgHNAJ^d>bDWvLUq?yT z?z*10b}gAx`qV&sB#XM7G+j%Uo#q~`Rj1yyR;exA;}S8EYRNV!x#M2lMA>7z$*Y?C zj?$g#*Q^uNz4lce-&P(Jb)BSX)tJWK-1~#xaIDm<<5>&6=G5rl2dd(O`a{Qe9rJ#*!vuma)3Y`{bhhrK81}_8V4#di;K=rp|L- zS!)V>=6PE&jX}mxsGsy&7b@~Rw{4q1(Pr8x$+PqJ+r%PbnT;ybje1D@SC$VKfo^B_ zlcS7-jXqcA2n)4@UHWKe)qlN1EiPp&;_!E@OvrlI)yP2e8=W{l6b1MWgH#~ z;za90?*^QSMC`iWSxo!?zYzu6yHE?jk30}tlHPkZ3_dYYIo*7|YJMtzz%(3#VZ%-QGL=JFxHjN67@Q@H%-$ejS2mD>LDy=en;= zEYTOa7(!jLSJthZ+iU6@F0!XTEX`)XCHgXmxT@FjXO5`kma_E-`c|EKd~?GPz zD26uigzRpoQ9T~ITPC&7bhh#&)f+y;ZJkg+IL*eTr5lZDfjyY z5@a03uk5W}_l0-E8yFHwjF-XVAJMzlQ7)XHp8IVr|8m!Jy6J3i25-^(v$>)Af3v5p zAF_DJv>Qk<`aM1=SDS?kfG4fEGIo}BB}%X)pm<2|*fi~14)&0>fTaSeBTAq*=%H;+ z8u|CTtN1P4SlKfy#qkqMNO`^+RGHrjkmCRrfpG;fg|dXQp4)@QyTre62LcI|;nGQ7 z1O7kpzvImZ9bYtqeh*&&F@HQ^`^zoeg8~1f&#yfjF-Tnvyna32FiZB?W6c_|85yQcPm{g=-1|b>{PRKRZ|i7?ne*Jd zP@%PIaVmNf)doZExr1$64@JZCH;Nl7nt&Oa<;ssTsO<2!)vK1kzdTWl61zO9P@0ML zh~8&DPT8GjV~>?FW=h#I-h57nsWR`|Yt#xpfvr^0O$C;!%j#t zmFwTOdh2z%ydpi__{}uU#l}logVfnv%LkD>l zJnb*`@XSd2ED}F1gb0DyLU0SLcZw+Xz-48ztznb#z`F_FxK{3viuIUS^DRcPl&jq= z#hS5U>sKwWYL|ne^@o6_%BPi_TCwvIWaHvKRnqePYEVl;)W^H&aByUCQjK>(sVIj z%9EsJu9|6#u4J-YyK@pAmanHou-dv)qlLS*>}7k(erjc})Jw&&l+StH$)I^(!WJ9C zs_9wV(~_G}XyDnnUs^Y8ZD4>MFW=U+bfPDNzRFdY$Bi5iS zbvFJ=rFcAky;10nZ&Z2?3ndMy_0a1|PoZeJZBqo=VcT=^eX9Ma&^nub7H1ah1^L}6 z72UovDCxf1RrMHKIziUxN({{l4-stxn1K?D4(B;zTPh&Ur1guA_gcu5j zMS%FW+;c8BVfx_P;`d|mx)6?GZ(&=0eScH9g@g;x_w=_W{%GMGcdzB_nUIju2j?Rl z&>K)&0NuNBc#Op--sJkPBgB9rThJfW3^o+sxoT%F)hLq_?}|cg1EKm^zY5vrB<66e+yW61RcAABP|1G8v@*|XConMu}f^Hz%2 zik9YsJ8>(s`|Gj@%S)sGHOExrSH8>G8T+AxJ?ne!ZOZ3{xLNz;Zi~}EhbXd2=U!`- zPi?3vtFtNZW;&8O%w8qdmWHBt(YJ79RWP+5z6Dc@x8TdT+mV7r_zXUs`#>N3BSb@g zbq)sMu8UU{>cL6e&aH$P^eBrV53Xu~*>$_Q6me^N)X+=Jq0}Sxtxwy`K#{mNHz&8qq_0A{Tb=N5ROZ;sO zx8X}<9uwooLrZ#QkB2~finHF^cvtJ2*cbA3344CUc^j!kG{Vpt-M5QMITKu*HYaN6 zZKw|4$ZKXTN;&q?^bSRsi}Ww#rcpwAT6Y_KhPVWc*u`UsRYBtf;&q~x6&L3VuoN@t z#$ft|@gFRI#e{q7d4<9XU`^wZi`>HKXm<8koiLmG-}{H_L&k_6r<-L4T~5ITjfyJS z6u42T_m|J1MRtnbUNvFMt~nYTYQxDuROp>358{1W^$K3lZ%7qJol3%FV6n|5OIEN5 z*SD2xrL=fq0C)9hL9TXbZ$+q9w%l@Idj^iFm3;q_C_pG zN|Qb2d#|QWZ`rFfCq}uv3}tz68Je4oHM7^cjPwczep}S-Pr(Oy%FI?4xUv?_YDb~M zt46`J;ck4_j~_FFkvBeG^LlL?|82+x-q6*=T$c;0b&bu%k?nPVV|u<^cP&NO_DohZ zU_~PDldlcP$5WdJ4SdSjcnv0{Kiu#WCU3*1nRsMhNYKZ(?eR{;Bkpe&Wi+I__BaUn;H4KW!kAFtrO?zZEG@9|jO2_6>@FqOP8fYKH9 z8VeUrEyPnyu(M=V2xS_Q4B8dw_!vz(sAU&-JjLxFuONKY+&AJ?@DRKySx2k`K@V&= zRQ@U>_)UMMI>XNdDqx_tT&?V1-i%<#^bPM8?GdD&mQDllQG5oSigg~mikFyx*Mr{x zS$pUoXo1y+S5&2%oIVW>)^K#PUUONtpw6`UGap;IBCUX}+vb$`QKuGd30vw7H**S` zS&Z>9sWILSc_(v8VGniI-=OA8DxWW9%9#BmVUL?FDN$7BJ)5}qOcmz4mTEN2yx;CL zSS|XR%1)`NrD1kzs|N8bl%*>e4ewc3U(7x@Xl&H9*bTmO#}667^t&G~!!hO`4{5fY zo)0()YF}JBw`3_S7T$L?T@~?F15v9M%9x!j*5n{Ej@_}ueR%M_f!8bz)8Re}prWm+ zi;8!Zam{se{uw~I$!14!u0>ZO7_5}GTCLR_zK`53(h~a)YPD@?_iE$r7-VFn(bN!G ze7aZ9oJMcCz*6V4ZbG7qR{RczWErqoHz1hbf3tvZ7UDjr;)cxRT$kwErh4L2!tG`$ zbo2xIS2RT(VTnBYNH)P2-y4smAi zGrS3d;mN5^B*h*#0+VvY4lrAgDO^LFL^JNyPAiW+n#o1(c&T5cflJefBTDxi!UQ0i zx0`(7eM3@yi3|7+cZiQQbU4u|<3d3D88L$>$?|z53ZYV`6={uXv_fTOEzA>k+ngKUs&z}sAGZxj#nT7a zw_)CtG;1R*!gtgpH$+Wx7wrkVS2s%5pdL%#bEae|W5V$*d|i{AP*rAW3U<4F-V3u{ zTy=&9UZu^uvwMyT{4`b5rLe3a6ICO3wLx1nj^CBlW_L_$pY_bWngutn?*>YvgAT*I zaiIOf?E8m5#C$s1!5QBxu`TeDrIEoJU}4+enAdHHY|)KG#w;r}x_D*-@)qTJj@)Bi zjv>cXRAUA5I4W%(F7#|pr;VwnU@N(Vxir82&Hva=$MMYvJ;YlY8^0SwHiW*lW!9Uu znmULiHK)3F)y|o%ti3LW>jZv_Q`55Dmmnf6Y?jW{Z;Gb{ZKi#o+gq3a(}{qKy{LoV z@ps#97>s45sFd*r8ED9t}IU-*l^cA)Qb z>ROsp%eTk1|JDq~j!M2!Sc)x}= z*2zYZ)S|ShO~PSgtvI^Hp;)bCiYl2dI|jqNtu3GxK7 zXvoKwdIESAo37gkpx(p7A%7bJWBB=&zNbGgw9uM_0oxZ|5+*Go3zKxkT~Xh4bjCxXGVG+mOD2q1w3?6tuc( zsw<}(A5Ocq=|2$u%SYo&=x-8>CK0@@?cBHCE&R~%0gB!NlqCHsU$!|gxeNybey>qG#obg`xIJbhMRaj3^4|aiEIPBg}_lcR9gSV zIR0Ki&IBFb6&Eoa(GgU_y%%Jc?ozdPCN);?43^<`(C* zzqP+S48MoV`flSL_mVG~+iz)89v!c6nj7rdE@!KDh&AXNhBH+T=$wh?psQEk4USmi zS(vFxJ`emocLR_ldPozM`UZF}c~kDxFImc&QzbkZWul)rx|&sP~OUB}f+k#qY6doiw!MR#fc9$HE^r>I+@u1yNiK6H9Lf zFMu^FY;?R+HAKTWp?HFC2HeJOZIaR% z^xbUyj#fpYg}jN3dCD;;!12QKF@@DCTjVm_!hy*C7ZeV7H&F+!8E6jFy)+doCw*3P zWm1iEdP}LQ7rDS2xcJy@4KkXSKi#N@3D3tu)W&G3SYE5U;-d4FYp0keops$6MO#>f z;>9TwI`BFmhe#c`{d$p#$ zjVs005QydGnCUiy4edWpxsR3`Y$XoMK7NkSNm%-)U~P{;eFHS_jE#vG4l6} zqqhP4k1tY}6sekWDgHpP0387fCb&`8pM4`4Xo$Jws4&mdccDJi?avks+%QQ8Muen- zxC2BU1WN1i%%Q&J?pZKzqUY;|Y;gv#+!dMs93J{R`+)lvlsut_M?CfX4jW|tG{PQw z`VlCBJM$vU0I#kfCqf)~nY2ju!wSMTKjInHY6Mn_>|4bhOEHCFghTx23KBSY$B!h+ zL}F;3YQ-Se_szZpXOab0^Sd0oPt+-nF3m?|_;3YG`To6lZ{8~xzJ*e$#;8!1)D{af zq{A^!LBwrjzTRM>$-DCGM0b1cb&8TUVP=h01gh7DclTwh8=hHwCoCEkaQ$wf^l4P; zynxNSS7FbmMBscm=Y^B7v-N}-Y08^3<;&?(rfgAGl&V207>(D?xk-#>(T?`0B$`mwK$P?Wfd?2Dcllo!Eoi2Ih=Qi{>WHt!tzan*25}%stxIX#XXvrF$7Z z5%j&komQVat7?M?2Nnh+BN3~|r+?Kwtg_+6Ut-_a5*Ldxym{7QR%9A;G%BpK-g+Tx zF~nJ|mSm@L2Wv`w<%#i?_4uQFjOSS>0$m@Tr8>-v;-}c6qKUy#lxKObOZ9o~|4vVv z9=u*DYP6lVg%bJ9Jf)clSc7mN~?t;WW4I6 zy6R1xIaw_>dtgh#(^#1K7K1k(-0`{$l6}YsyWY!tp>TUG&14x(Rd*6U(&Fjca-Do_ z%Xx=5k5Up$wBFRzoZz_ zC|{*Kazajc77QBr(D4Fpjno+$;Dxu4&|pOi&^_-4M7~FkXSfRnB8D*WBIl+H+!+)X z4C`|}>4?!aDkE^m@9?;wPB{F8$lp31Sdl_UA#t+TcPv5VidbVE*3OtS*N6ulzCmBW zH*dwOJL&{eL$+O2g4yDhh$S`3#axjN# zOC$J9RQI1!$76%2I7i=|-e8v5FV-l_)p+i_b2*4P&REuHS+Fa$H~1CW?pr=_n(w<#ROd%;_lfm0n=7BB`}#DrsMB&jl6<3c9M&bF z(O9Q76HnEul2r6GD*b;&yLE`xC=zE2+`*?y*$;MU@(>6P;g)O+6*o6s7jcHEZ?HCr zV3U50hN8d1A$v8;yEU!xTfjK>;uU~&bl>||yZ`fs=*=LO+GVSrW#_>xclL+UWs#%q zL^DM1i8M}~1!pN1Nev3)bOUiS4D@e61?s4Hs8>vm6)JedD^(HDae!UIIS`eiCdtog z2g%0$*gC=ta>6e{Jg6!iBlIEbl=32V{d6m$#0>9*(Qe{|-XS*fXep-%$(8uLz8mL+ zi7|&tlziO$_K5yKJYP3>MTq#|s4Pg^xpVJ^xW9gpe_@V8-+)&>cd>N~hO`MfRP0eN z&I|HJPZ?hiCw=&?G_3A z$Roiw<#D-^TT~?xnR2RhD^Jdz)29{cxD!^Wm8g7|{UHWhJ$bb?EYhksF}{`tv5Hkz z8QqE|iH$pD8)?K)T58{*23EGOBRn~yHXTdj791cBb6h7j%Nl?aV%z=dU(JG9Jy$Kq z@#mR~D*SV{u@cIdIxm%3Q7K#nX+D+f#8gydCvmc3IEu_XJ8rqI3+3w3^R1&k&UjuY zb~r+TdhT+ioe#0T_==gBG7aX$l7xtGU6UCd_B}VUDw+~%Nj+apDIm0^VN+=?4{_iB zyf_PsP=XDvHA32o(7K(LJJUsfo3z?m^_QHc3FYn1PmSQf65Zd+ETZrxxcF%w@Q6E0 zmSF3Rrf;tut9V^%EJJV$W%ut6uLN1RYT`vAZ)}-&7zDGCd>NLw0}>p4{D!12aKz-C zF%->lqDA@OTbSe_JSQmR;SnAJ_wkK+Rw zj_F;XbKHoId45Ktv0?c#Yfj>^>2m6T4Q*ZS7w)FWP1Y1JV3i1;H4~+OG3@$;6C?7b}0>A0h zRpxWjkBS;!Da zzH>`GpRr**l($W6xdcg*uB~f5R9ax8edkK4>#3;|8p&>|S({~MRA!?f3^$zrU7ihw zz^!lKi}qN#K-%PYS%9F$TSLuODu*TFb@L5|I}y{h)1VB{!Db(RlnXg0XKrq9^=Nla zyXh$eBEw)o<8I@E&@n_BS@MI zxEE5etx5wh2JEGRq6Qn4Glz04OdC2wh=srx+=5wo@q@deEbizXM|R88$tO7kh7N{l z-VS(G`vx;XVjNZUOr)1Njmi%8&^IurV~B%iV1j?Q7|ZFA%o{gwd~hw;L-$X4T_?DZZg<|tVq`#paOkW^ZJ}`NV_ha^F|eFbjU2)+CxF8=|0e+^K4` z5*J)e%|ixd^uj-@;=-z^;?0NO_14 zEi57UQ8|)SNn|o!&f-&f+Wupmu$YmDTx=g5ko@Zj;_0cvK7oTmSx#p;Xnv8Q;ogzG z!)`9Z|KdO5=;CWa@LQpR0+SWr$BF?Aj6;Y?lknUcTv%lv1|!-DPB|&sGT>>jHm^;D z6uM#N=(}=0fJ1-g76j+|xN-YV$tijh%z^@*930Dbf1jSC%1)CkYE)D$X`u|wC+r&# z8{$*H_Neh1>Bsy;PosCkH^c*}u*hFk-DBWh|Y;S@tDE zPn6}yX9c}HE70>sfG4KS^5ERs5=JUEGj(sUd85mp;3jD@Jeao5hVF5vKN&cjq!VpD z3y%GI2sD;{#~Q3+ZDLDht5GGVX=~cZ_nvHsElpy|xWs?PP(!D7l4gm*@*6cYKzsT;vUu+cbSg072PnBw!7qTzXy0KPsZJ90`|R`I^@P3y zEXm|IDxpIWLOPbaV<}UJ6`OdD!3B4RQt`x=F*w-QBQ}blmxdf8$$wNp$A}l$nl!=H z;hW%MptmsHP_U=^;gVZXNg<2+iDur}hw6LVRNp` zAPq?QRl}~cZYX-HeBJ$AbvjxWt7kK<)>Vw!+m}Iy4Z#NA#%?-t40hbtVryKvUNU<3 z!x23TdAasxkFs5XVbJs!g&559?n)MOnzatb=B&ZN%?_sWBtwj)D#~->7;Dl`kqU0w z6bqA^sKZ&GnO5pBB*=U>w$6j|(Na|2n6$j4LPDL5N#e*f8S1N1XGt?3Tw6LBo>VoD zq$PFKG$}D&l+^K-3xx($i#vSC;CrCz5fSe0oCDzEHqeHCM`?dtIPCvAea0fr@rp^h z7_M{~5d3NNZ`V%L8g}*~a%8scuz=?qhGEnBpWBN(buDlh`{1q|^@c4kM2Vcp#}4q} z8vi2Ls5zV~)+XN#2M-Z=svMpDy+WBnjBGq^2c_^`kXKma*hGPcqjJkA_yGrR#rFV( zvj2T5!a!2J_7r44bbv&DiR4+GMjeWa+NIIqV8~7dhjthoe4`wC;}eJ>*XZQnRzYOL zvE@g;kaym<`fcDFKVEL`eDE#U<@3CJ?%m14i8A6?3vrmh!PRf=M8EM)_q4S}SbbEg zb?ZWt>>C$b-vVD*b^w}RYB>|i1>JwXS|%{_OquDrt2~pfJ4^RD*=>8!Ys?)SE78~Nn z>!l-Hz%=+}$Eq#9vNR%|v5-aJ;1&9VeRX|MT`a;cXwQqoRT9j1?!6Soo#w4>h}@rA zNPyPgITdAD@mvK#E-K%CvwPwt<`F+tgTg^8F;`XJM0J*_aprJuQ=7b6E4G&;n9kff zokqOs&6p2DJs{tlr6r#aSnHDd$NSo&q}8Na^)!=By;M)#l^1!RKrmF$TOb1P6m|lcLv+N(nsQ-TfLe&d_)u4O z2i;L~9uhMVxIV?DJe)#{%yp|28|wGbHaOZO$<(RP82|YjOQpXT64Y8Lrjoe4GnKkT^e!?E9Q{q?1r zzB0K>m?kY5L(8l=GPey87YYoNw!~^j1VVraaDsq{@Dd3E1PCI6AVi4qk5G>Ygie5i z!92iEL}A5W)7WW3ybo&P5n-mN@X-%p!+0?kT*Wiz!Q#$%a5fwP2k?a)y#5{X{Jh}* z9)1I`@An4}9X&Y$h0#%Y#S%D6PE5`q4$;x>|Ig^OLYW=vcT#4aC)->ZaFnI3gQt&i)Y};v-0#n zgE{0)T8A4r<1-$ln7Y~hY62hv`_bjbcH0H&tDr-4W4z{xEz++ro zA0=dDSd=JE#>BF=Qd-E$zPM^$%FMdDVph=8yldq}?H7(Uu(BE#G-uGXvC;qWVeGu~ zb9*qeymu4Mx zOqYS6)8uN9!Hd3@?7XrM{?Z@EVM=vfYb{2oe`CaydTqQ7yRwt6CC^$H(`jwJt6~D7 z-EJ+M%w@Tj@k;ED1K2HA6zc1BOEJ}@)v85(#%)ziDJWgESXHHDj`dnNB{5xMO>Eu? zt09A(KAOp0CQRR!4G=E0@Y&3~KxdwJcN&~*VvpwJYn}8b{`J@W(et=NxAFVWx16b8 zU(Y+6cXQV`M_yn03CsR91^s!zc;Lzg{pG7})7BoXQ-$7@9&YW-mzr6`&tL^nffG;s^BFL&M9S z_#+m81I0h^;Myrnr382{5rhrdPt(en@ye575TiP62cimUih`N}Vo_aTK}A(fSq43> zvMr`bSxHq+J8DnSXsc=}ONPUqD?fsun*W#;TJ&U8#lPflt!wG7(d~)9i2qm9)3B5_ zYe0M(_rn`P-yh%sH4d`)Bpf?GwuS;p3LeoZ2-n~=phsj4g5i<*9%=16^hi+iJ^lCn z4)vMr3n05LJiGT_)&{WOVEuMur|ftAy8Q$&LvQzydQSd3d-9#1yj3UEskQ6fy2Fm= zVYcjFYtKEc+VvdAqTgf`sFVbcgnl-1)ja^w^!4!Q9rElwcTb(%=Obru$v0f0!!+_@ z-f`1juY9nx)z7ke)Y3k9nO4D>6~n4kbIgT><-3LRLE6|EbYFCZQ#@}w;?(cYn%UR< z-HLy{^Re%~;%3tEwrOU#c4RXayWTXD-Psr6uy*@JJd^F-5n(dMyDvP&bnb-k7=@be zf;<@GD+dN(yYhc0o|1idz#BOS?%gAD;l4K;_jla;xN+z4j|@9^ipN&ylkKuBwobX6 zbxpl1lwEe@&c)2L)2!y^Y|JZqhHRGK&7UF}gZR|mxD%u5khn0H-d@4*=(Mkx^WMcv zkjl5JBVr1p>Q)8?*2UBEu7&#J%W4#>Yccnj<(G;!b0TO(?*nK$<9`|SoA@A;di<6;3U(ZH(7_}?M27;g{b+Xp4(qv|e!#xSI9IU`_ z;)Py4Wj|~I=p&ouY!OL!F~6!R8Vp;D{n-&Gbt(N-x~IREy76R!U`@9pta<8!jvxBFpMfDQj?LO#M#dzaY;ym==Gd z$GqDh|DM$Bd4)3^=zbibedN-O$uYdU;(W$#KZwJzynA9ShWuf81;b$2U2kwM7$^U~ zg>YpCo(EUTfN)?t8HM-nkvK5k`yC7IdGME({a!`F=4{7pWV5iX6PmO&tEm{1v#_kP zmyG3#>lacBYr7#46LX6`QJ<08J-&=r;MgvITz*OP$NaZTZ(oU~w{$NHN)cDLHhtEr z1=?7cdYanJ%35eh#qMBcV3ao-QYFgrsj|cJmRQ9q0<#eUhW|2$7IdMLx3kR&-|(S_ z^9kd1qGRWG$C$mhj}w)Bdw=@0UxP8`e{+%MZdgBDC?c_--gJ?|yi3#1m7>ua9NzG$+^!SA%c3`S&#o|OPjuC&FYn7%>X_Hh!`R*P``tUf#4e; zIG`H=WPe&9tUlTyd=Tu+7>Fkye(v-UFsKcy2WsFGRS(lt)pHYN{?r4pQ(>CG555N- z2c`~Oiw!*bV$k4VZ8u;|+UEO*uw@bdRZvrdR?v^h=R5sE?LVY18cDx%#jp+92Sau! z)>=Y$i?o31`~xmCV`TRf1XyHyDC}3hK|$yeSm0aV`r59Ca@LPqhh0BE4sZ`XbT=Sf zaXu{l4gc!9^bD=dS?-XX{jJ;5JAL#X6~T)eiKFSv-BOiz1wczvlCk_SA$9Pxz@3X zf6!-FQO+NgzePo;dYZ+>m{6+TR;#3^*v& zBD6Gh96#m#&~QP1|Blna4PVs7-h-%8mBD|0z6Zsim=1cy4*k=Cjdt*nLZ@JGks4w! z@&0ZE|G&`bPR`G!of!BFC{fop1oGNZ=%;TVP`*#I5Bc;N{d>~bhut?_m%4O1-ILC@ zqIl5+F8${$PvOPmB>K&R6tsvnuAbtka=mh{T!{mLR#+so;Fj&!TvU-br@ z!p@wN=j8c|`tZPad`TnHpT0PqBh|2dE>GR?ubTLWm8gpA*{1!Q7EydzN>-r^*lV37;N8(j|)E6-5jRRS-sI>G0Y!$FM-9`?-y`u z_WAw3huH7iI|bwO^6-@QI!6r3P}(-l)XZtD=VCd=b+w{HcIzCv5g4mdilZtY{=AiN(_ z2HU8`e zx?R*r5_7b6{q^4{&%eGbHvU(MK;)shi*myo*;xlL7!p$xs>(u}LPD|eo9_tKnD^h-Hv|{`8VD6= z8&C`Y6b5nd_yISx?9-VL{hA%6&`g~913=-VA8Gh>KyJWy#COO$L^X6_{VP%fj}0_5 z@FdWX8c_BIJ+{0YFcYY}8+oIAC~rXEgK>y2^rzn)5x@2|zx719f1uOQd%uRQ|NHm% zClc%!Tmyc-Y0D7qh~VC18eg#P>TLfTqz5Ku>(_^E*`0o~|8d&zH1<7vvD1!^vBPgX z(eK#STl!pmJ3+hqSB`|;qO{{ZmwjkJhF{>5IX{)Yy?G*<5~EZTm*`Ft=O zo1CN0;Ac+hv+C@@dHnAc2Xe;lGmaN44Q;PD@YBbMEA8tQOw0LtIcX=+Ft&b8tWp< z3I=D2^C^P^Yr|=I7K*X)^t`Ij(sIhrnwB0*t!in)@7W1-_PDWWnhE5CtFaOO1f7}Z z9;el(|7D^V-|*E2hkGM8s@CMwCTW{{nn|p+@53n8*G2Po{$Ko)-Iqsx{-%Gt|BYeM zNA=Gn_P_WqS;^zm6HWSf0C>qsQO=bz=O%X~tR>7rQHmz(8RSegEDTIUVj4{TuP%e+FPupi}`5g9Xdb zk6QL;7~z+gV5i*06YEf}h`4xR+PCi~4aBr%1H=_5v>mqwIsV{!H)p{}o&mV;ADrrb zgTQ*>_b6>epU$r4DC8vdKHU6n!bdQ%eLcL0mhb!%un?Lc_-LlzjxfF{dukZySD1$6 z8_649=6Qd2xT<9nKwZ7b-#o{|AAk04UA{p(Kfl{Nkwx3!MlJaJ7fHh8ljp!c+9N%y zq2iu%YB`8GN~u$-R7%w}r-E``bD@u>-9Xk4X@^35K91oIQGElPJowH93WW>!;nmrV zA1viP-S2{>g%@=X&Zd@0@&#S4SMkAgx5B!1-i@`fZI7_ZO0N%)F*2`^k>_fGp6)VD z{x9Os!#Bhj-%k@<-Mxf>d1l;!(X8;gYtv6xaXy5~u4NU~h}nBA;O-#nKF4fL z85!8&T^9P>uT-bI!pC@U-`y*4YN76TG?q%CJKu(ME~_cCc9fQ`wLW|EeqlvrwbfBY zC3?EbfRbW8#b;#kIvma_rqim2l`3+$BL&5EZm+fQ7_m$zBAW~k`As^riA7;Yjn4LP zj`83l8PE^6_NK4#Q`{}`8zW-xbD$CZ8NxH)VKsS1#P@3HpU~gdhrT2ly01O&*pmJ1 z8+Rz>@l0gB#vyQn*m6gQ(GaAVQzkoyOMH0s1;Z|1i!r?8jRJ}L{&>rgv)?aYPeV8e zpH$?Cgx-zxUU8Bp2K8;9v#;mW-Q7D6p&l0d;kg@PfLQ?>1^^YfBXZKJ9Py!f54=<+ zNE?D0>93m2X}d)So&W`}A%{UlwH?Fn2GHid^9N`>%2xA;jDvLt-`d9ziEF9#zlB)t zlgE5-^M3O-@7hscaJ#YA%fB=`59}X@fZ4wBH?933_H^9RQHR~-e1^XQs%R+0Kmt4- z9UusMNYm{fAA6ope7?PlHSBo<`|rWpqbs^bnGEep&Bjp2y@n=x(^W(C= za9elMdT1W#JcD>Vu;>Y$s{;WZz7FFb{_V!x(1!`+6W&IxlT(B|(}S#eOAnIMXLt3o zXjlLJFDv>DfK*r0Tf7xSdsmYT(__;kmLR|6Mw${Ti4g@5aKsVhU~ zScdAlJ((xi*kF9CZ!5=TjIlK7T7c&(2&HU{YqP}#;Gv)NjM*_BcVyFZC!=u@uW|Nv zk~6Vd-Z0a|KpX?43^scjT3HC3ne6O0u3Q#Qr-@!B*+57iAcS z&R)Z@==>{s`jOpkHHy=~@hAWg5HXqGD)t_0?KXb!lC0S*o;FyINMNs#L8; z)sOQ%-*$P zBC2l7qbU@Tv0lH%@^e309uNiZBIl}O*%muO9a$oE z{RBN>WGM!r`&(>D*7aB3z?hrUh5InlbZ?G{<8BjvWD;`hIACYED6EkmylaT2D7Ud|456hr95Jn4LgtJR!<)ABW_@&8 zlu%!Z-Ms3PlojoS*SULxcjX7nT^z#5VV0R==jVBLSFN|lkwjxgqVT^nK2-esAZxK` z+}_e3o8I=BK;raf!f)ssW2XBh?XMl2xpCzia~xRKsVOln^?DgR=1DFqczX{t_*bKamlSQtoPi3wEM)T>;nk z-W;+XZb)l^9|TV6#!!SC^FF4Be#E{={IRS>q4;4Y|3Y`SB$j*o6!g30|JMEurZ8ZA zyR?zO$oRnOA<#n`N6nA-038Aj3G;mL%SZG8-TR5OU~i2v#j&_?jIva*zrvoo@_56I>1bL>Oo>=VwR*Vh(hScrG1m((7&OP1T!GJhOZ zM}k$ zR);^=;wo#Z15;wAe9N~P3oXp@tMQm}yw2DyA&x(d9Z2b16OBVAnb}~4f)UMr`(YU1 zi{eJuEgX%8cW$Rg6;9k{)9XVzyRm#K^Eqed+17D_3>k-3l;@$*R2AILrRl1w4$VlY z$*JvZ^QQ8vo0!q8)?CIR@Mjj3gG@1^nO)^^z^4;`zURrxbL{y`eXu=;Y0Mi2=d;x= zS(|)arl;>zuuiB(j&mIyY>F#3*SprvQ1h;ZS<$L2cQlDSHJ3j>u?yuf51^$(#@sV6 zG+S2cSCtuMu6CV8xGuS?8ifsOLvKT6pBGD>C%|GAXSwQ><)x;U$`Dq*Y{OfoF^T`n zTsV{i38Sw93LkfMkX_6EvSyFDRGQP^lzfshyXdD>f#;W!l@(ffXOzM0vVh%kMB;<# zN8FdAC3xcDizGKJ_H}h?nc1YLj>i?H^0^ngk_ZcOud*eR6#5tyXyqFd_yve7l1e!t>yPv>8U9Y?UZvko)u|7(Cn>}B==YT5s&vsIg7+trTPoM#ws z?@a>rn5%_;zl62&>J@I7oVHvlL=lrK8;b!MQ|FF-9mHhh)_ykEX=-?C?PyVoB41#X)Y5tBu4h^62!*cQ84Mre`+@Y4a>cd?#yg45bXP z-=gc(x?zJK#H=^{7EA7lq9E-*+_z-migeVS{vDCr_I#{Rk0W}3qt_9m$o2vLq|>m; z*R}yXpr=oiQ`9?$`lCYJa0MrF#t!wjhx_Mh)o(4NqqT8u8sUPsNqOD@zr9{^kYlI; zoUpRHFw#88v?5aIdu^Rx+?8+W2}j?5JZ#WIZ?&#l1qx`-v}@%ajaM{+Cl}4TtCIcO zCEMy&2v;^Uds{If1Ic>M%2v3NCYH7?cPP(-qwQQp76#gGtt-nV(Dbe=3i5o;uqS$` znQY^$={5Gs1*-aS)oEex4oh3CTTKa~@+{A_v=~aru^Eo8#r!ViG#4(R7~{#7a))4o z=lCunSUZs(#w0Z}5M!m!tlLn)@93OIX|ec4LpEa_5)9Er{0y_T);P)vDy|~pj*zOZ z-l{00zy(7b8^|a|(M1-47LtmJ;`TBb^*W>3gl(99OkQ9*yP4bWEY?SM4&$4P|DpUV zJkObm0NS>?;)<`JCOj)fv0T%Q#Niq20VCis+o#mcM5$UKiogg%__N&_suSp>*K?6y zQF)+)SELR(7xX*~AED!fJgLECI*&aGrq~S}P(&hq3}9FWwqOyrxFe?67vK;nwltBG zQ&mU*tLd>tKX$W;sn(W&)V}=54VF@i<8nq6QKi_x6#y8n_E&Q6lyJ86O7_K-;vqpL&|!y??b(vX$s}<`jG7xs9_6~txJfy z%lkT0t>h}5t*2aIvH9f|ADW2JtMOcw%0gy4yj%eI!faJnaE|4_vFI0s@X-NNI9zH= z%+vSD*Up*ZAM_v&QIh&H^&2_nA`%a`caEiqD(gpMx=aV z-E6tih*iSEzL@wQh~VNm z58wkl#4f5$0XV$H$Qzerar zuHmPA?N!R=Em4XdtcY(>Z~$;?;!rw~=ZC%8`SxQyupaX9lJD$1v9a^+>tEe*Rled7 z7qpLvs*!K9Z&)kIiTcNqEsuQ6!Jj{-_RnX76l0Y0li8Ev%sX)?fA>4W2PjfioSi1^ zZ0@-~<*9%uT7CSOQ+2SnJlsWmRog{T7M|MMyklEnBOYMACYA8x?wh+unr!kl0i^Gm zI%`Dmqr7Qjc0EVrUVjto;Alys6Nbh7-7Qg8-@=$2v9zI!1ABb|E6h2 zO&^oOm+OV!hHog})r=wH1L0|?ZJf6kz!Xt6VWJ>N>ibW}f(Qp}a~X}s;EJsEJ|U~8 zl7t(&0L;v&f>Eah$&*{dKa>q)GQndeLOr+U;K4C?LSA8v5ie{;Gr7cRewthCiJK0h z2Dx>-Z;1+rnH}P8now?ca1>i+Su_xW?cFRrR7}8AC){O-;G(}bLu7lTO;-@v*lRtM z7MJ4^#h5QGc7&V4QG^Y40(5BbXsa{qwan_Z)m%eP4rJ})X8y)M3z7& zA)Ql4D)Eyt=8{tUg zYR3c0Etm4$%Nyw3=z5&yHh3XyqZuMncA=z$ux;NSvIZMq|5&jme1hry(zvP&K3IcJ zzqz8_!`-66#QVOp`~J$fJ#F1{G&Hh9WEN!~@@+Vu7vR9v$e-J(jSf9ENZ`N3@K(Hw z>3kvI5x562-H--r4|1dN8)7NuA9m9Tnu0a{^f@9Gxh1d*cJjxjdqV}t+^hpOSK0Z` z8=iLDjyK@^aoE+mU9;}FtDJEZU%nv{($bvodpO9P)|0)%_olx19P;!!chH{nXB`TJ z7ii&){G2!5x1DSww&s3ZYbrg=y{_1|JbO!Ftk!X&ySH-nH(K`c7(g*}M_5e=(_AoLeH%k0ct$x9Oh9B@fgNK;&}y0W18Nd4DKjVXn}n|$kE?6GkEC+yv(`1hgB*hLNiyG=#SC0;%{ z*b-dDj19&@rTxr?c&$#>&|eWWm5=3@q$w6&+1P3>p{&i#OQ$$%>}M#KZGv7;CSziw zt57!x*Kv97hyeR3jor)<6za0^JM^|e!iH>zLh82L?m!A|`0HpD8^dJ<)u}q2O(s;# zj$b!UL|o-N2-TLXZbEZK-23lMQf#2P?dF!tSbmcnsNTK59|4=-Ti>Kk>$9b-8Pm-h z(KbLSqEopeh)bLtggtp4OV3$i9~Oy)L`Lvsi>5a6aK##jFoQ1-Y4=1a(t5J0g}vW3 z*@U>bX_eR5Z0d9f@8veN&oRZI>#{zpAHQQ5t*rEQ#=i~REm1*?M(4W8D4mA9Wk5(0 z)07?MB~sHSO0&yisnnGfzI*-auUFZW73HY_aZcY9+#C`klef6(lpyi&b??`{6rL4O}uphc}#SRGrNoRwGz8~E2;#e3D zdqhgZ^S|_!XL}NE;II6>wMIvi?0Q$7LuHZNAzVGv?iF`p)XcfoSviElT2l}4r`{5< zpPr=L`u?WIJ|{go4AveuPResx|78r63i}?tbY`*9&DF>I;7?p}^VXgzgTPTb_#%Hm zbhk6cjvnoB(i=SUYX_9F$31z@oqi{6rjZJ9!etz>I_g-qufHCm#MN?IUT?Q-=1$k6SE0SE-a@%N zHJy8iVRMyWK@vAmb3K`qd;KRrzPI+it&;w#+3(ZN(CwewI+1Q<^fN)V@BCS7Q-&-$ zD?M7Q%)2isJ6D^orNFALZt2O(bXq%eT82F{O7(zCYV@_r<+ZTz>iXsWs-!}8F`lYi zvsg<5uBL0@G`=ZWTNdWI*295yd5)D@P$0Ldu#U#!SF7<_T<+?e{P3G{n2?>bMSEd2 zoTwgLUY(pYxKXkh0;@SZ%@R_FJ37?Ychu=4JPHG;wX$ zS{p@}PpdWr@iR-&z0?e5Q*gNDU#yGDAp~aS)k4l8;Y)0WFg5GKwaslJ|M zK020F&{kMFT}N3lOlR+U{=iq-NKN)lZbo1K2Jy z-oC}|_t_%Idy1zPZmlWihPQF4Y81E%F;5iS;|n?`xvbeT_&%QE!UV4p&L{qTg?l%M zj#d8bY>7~%C-8nV)RL;?g%ANXhIf?0JS&zzWs=cDj13cYc1cgKL&c9XB!ys-WJ2iE z#%*^aQAvu#Zb(dnr;s$QCf)RK^6GHsKVHa+{_$WrKrkrLPxt&%oPzn1O<~3R@9)mw z2e9o{43q?5o4tGD=qhC@OTAD(6~v6E_;~3stjIUqcbZ;79vH{=?0M^*PM&@@j)j&Z z0b>nax*H)cP{n|7#R_QHIP^g`HMQ_R>>*(N^!kr|e)GgJ3E{5~N%eh>yi7M8XpTR} zjnwYiPs82+-AN0aS7tGk_c1JK+5VASI$2v&0wI0q{Lpt$aMAF9UH3hw(*&+D$u}^v z+g;CnF5X#} z6FlFC#dPj!h>EVWwVvkv&_Nup6WqRCHTQvkTbR-aRCYL@3=>W$yIn}7@_Oa#oEtBFiah0Iz#X1i+WOd> zNa&a-sDKhhmsb+Im#kwGK@!Ss+b}fSUb<6%5{5qRA!ehq8WwDl{1tUx*%Dw$+FgC8 zvdB!{HgqC9v}SmjE3*7GGhF*aU_SHj9%gIOm110ie8rSsv|tu=zHdtRqnQ-j*XL?s zG&5SGyjYpujK!#N+i+XuF#$-dsa!V=<_^w-&Oc$7R#+5rNhugq*=SL7Mcj%+NE@=7 zAy91#=v6*C5UH!g3 z&JX@$B#*&L=+Her_X<;E@%4J+dVK+8EVoKW-fz-z;>67pFg!4BW5hy*!*)rROHPs> zNtcM>x=yF`X~ibXDO#FXNT!)Gb(nul#8R5u(NYsq91Z9Eu^Gwn+))$XFJDhi5d-6F>)B=D^5&~P>%`feZTvr!fCTZr?qUa-{YM;)Dwl_W4+#9n65!8r7BUTwP-iu zpIGttV1i)IAcLPFeFwH8fhU2CpxQkH9{Wju#BBU6K~)6o2FM+gCV;EF^aEEZl_K8` zG?3|VQ9&B}7VQux62LRfn6gQ?AcCI%FI{bgK^3|?8)|b z=(v~Skh$-D$ce2i`)HC|^rFpryH5{IH?0S4Z{64MP}3U0!1fJyizv-(p7XdpTTzlZ$>2>~~J@-)h{Y8uT;D?W` zPC8WLE^MDT?QOa%Y3-zzsmH$Rm!5AuHnGwom3oJC4!3jufN{p;l&W5;> zt`W<8BaDkD)bFfOh8s=kRmLMMyk;cPMwW=sYF|?>GEn>8EOv2Co*89W%y6Q)kRz;f zo5IAi2^x9Zb5i@6rEb^O#X3bJEt5axHik5E%$h^Yyt>L^K3r?wwkPg_HW>qV$rTNU ztD81rlcS&$3-va63F(v;nYB(W*!zrSmS)aId}!6J&mJ$=&ojwh6SUOW2RM0?f27?u z9AkF|)Vo9?aWDVNath$;r!&^%eP4Vg*bcX8Ko-NTj9DWb|636!(mm)xfFmgB0 zVV}(BC;l|>Z25pss&{AGCE`8XKhfiKD2_hR+k4;hzTg80_`qX`#alFOq)q4Cc;>GU zDM#y;R4Ltbe;6Ez7A?Rn-w}3aX_MSY-1m;qfQex8U+pA!- zC5zf&yp2vMtSqPBp>lPsw4$emfO{%a%+TKFOCQz7)>0_dWRv{=7tThU#rK4NnKKE} z(MaAoxNBov@i*qZP-l^jGvU~GUwNb+_Cut-iC5hRnhT|ec-nojf{VN>`t=rU-Ou;K zuKH2G?z*kLb;?~#pQEMuiVYI+V;W^E!Ly)tZ{hmd50!iAl}SAVY8>SN=hSne$<%Me z8G-iSIsLbd`r<~lY3E9ORavFp`kh16uxolM#oE`M^9E+%rPZ$6RxGM&1f;C2YwLr= zY%HrcgzIC;ev-?_c0R(3*nwwVs6m0_p#$=PhXTQ^o>y?gP_)jhoV^LbFl z6;XLKtAoLTIXR=DRgRqFmW38$@i>;Hr6hjcT45EBQX7!t!)R8iN=DRoOc6@59C#g) zkzAfH!0CQ~QZMWo0PO$HVF2K4-C4OSEy{*(=4sBt^FnIIodt>@V?Lv9ah1P(2iM5} zB(V>4Iu8Yq?%xnYN8Mz*S~Lfcxf4qTCq!Wi$6j>cs{w<54Px)fIvZty&V$svq# zkhd4{_cIC`@zS+ z?v=9)3-}%&8y{{Yu;Cki4ef!N2Il0N@$qIj2uqv-Z?Odnn*~R2M&0&9|%eE?|@VxNbg5x!tv!xoKDHQB`mRA60d;Y08|b z54*4!SGVDZ)$j1++mD{-I5;sluK&Tk`0>J)K<#Pnc4GJV?m~S{X<4! zx9(59aqZ2lXDhwCTz9eh3%AX!2zg@`_F;JF!qNC1oOM894RThcqGiFBa)ff>a8LjX zWldtP;DzZgz$D#*8I-^_nYIi>rPkuLzEF`uP~XvsV(mY9!%|JXFNA7acsbft>&Cj9 z*aoljBmEFgv~El`yrZdT0&Gys;M{)Wi(oY@*QkzmLnbGq_+*l>DQ=@27ls+*%e-2G z+_);Ey_%o)Z0TS?+4`KpwBj$>y(!(|f$AF{sk4W$x--|kVJJtg_j#GkI&Vaf1B6l`E?@@mW--ZflJxhRoIA%8rfSHvx;1TpQ}49!f!_M zTHnIex-msVsp=LCc|mO;?}*>Cwl2k^jw`JeP;uYzJkVMtrG9yHHMrpoH-80T@w-=m zuO4xG3x;-(A0hHYsyB=>vesNCR4iHbEES8&GpAvJIeoTgQ}hsI&ijqvnF>%Ca2O=V zkjCP@jnkVJ7i(*=Xev&N;!P}5!s-;2Hx?{ZW_+O^R-Y4Dd^^byQ!w8@vbpY+oVi^b zQM$TiL30^4E)jZ@hFW9;vtqacYsH)L%enY0(dWI0TShg&{TiI7I$b5%zff^Fn-jVT;QQtCDk5TY zE)LQD5yT?@N*y{Eg$yvKyn{68;HAt1O?lkttwRLteRu%ZlMtSV(La|dmZ6`GhzQ;t zB3d>UIYW4Zc7uK_WjfB8Lx42;hD}zMHUpIh5A)gIhT|b|2o56T8RwL~w+x#5W^0sB z^gl4)5Epi~Uex6p^+pKZ`1zqw*)jmEd>l>G@IeGfy_T}Y4e}Xsf^uv2X61>A z3Zg-I(Y<=jhF{lC_?~b22GN!IU2l6!ZFjiN^h)J7tWq~S%Elj%ZozH_!5jGhBW2Q{ zFDK1n=AUPf9@TkdWA*gh`>F)HFf!V~=DEAzuC=?8tL2(hd1Q6nT3TRr^>$GWzTxoR z+drv0Z`LRodp@hFo$I_ zcWmmJtB$fc(Zm!VJ$4MaBo~~z$rOxjMH3`+*G};{1829%c9&NkU!N}&n@n&W1;EVV z9CR1P96_@rCj-_95_d+LHeaxki%j$9b!b)BM$)}EqG{u9$nr5T59ILF(<-+c?t1Vu zqOy`(JJdCz-YFCz^yjNAUkKS4A$BWw_OKn?tywdWg4+%nibT~A6_te7&ChXNC08>z z*BAGhOlg>P?gt7W6T1F)Y_Ty*3xt}Iq-OioI!&5KoNK_on1T$S_2JRkkRx~jtoG&W zF=W(!zJWLTMBq>1)XgQbK22V`%sx}2L&RNE`eJY>jk_Zxr^S#vBW7ZlF0e^rE5TRy z(PUx+--jrjVn9A~nU26}f<*BZIYC51zPz){$D#}-y)sF~>Zw+}e53k&kLj;?X71r~ z#14@p1Vn8RpM6K_rxbrQJ_ z$o+;;d+uRd_bio(?JNPTZS*>AMD77_!ODKQrLzw)CWr*_)4>t*h{j|^iEhAh%Owu{ z;p_%0{&t&nJ?GZAag=jz>Og>dq#S1SJvmqBc^Z*MqN;6p4PiI8@5$%N!R`byb0@;(**J- z%a-R2>XYg9XeOyLvoJ1xRFB{4VrmORh<|oS|GS(`;&G|PKg-A#yfwL5cCn$uKP%mG zTllkp&}r<*f3*dFJ3}G-4T!WJQe4JR+%>-TuDs4;{)2O2sX;C`oh^bHoDHg^xHs9z zLrKBr0zZj;n+Ls2(l*#0g|!uOTkY?;V{FIc-ON`5;bU66RYib&4-M)Nc}su8dgDuc zc?0H*9rEUR*pjQjY%G3;QzZ!zzil;_O{;a3pNK7t-U7R0X95XmP z^bYC{2t$XY26#QMd@0w8G2BC_ZwwqjvEyjJk8n{25iIowF~@ZW@hAsPU{@6FKYO3e zvV4PghiZ@4gLmLnFw>wFQ~yyYxCLL?6yozCBV1E9U_9DnMZ zI`_>Nb+_LS`oRTiQr>l;cW3Q_{3%zlQ|{C49iuN(y}$aQ0#bY|toh}8+)sV!Bx7Kd zEJnhMNgCxCkRo2co3j1WS7A|mJWcQQ1KRJD%SSjuc~g7q?#GZz_>WytyXplf?mc%> z`BnU|T`sFo*W2(Z#)E@chKa9ylP|Ky#_+DIt4bW5(s3PqVjYghKG3UFSL@A~Qg#Qg8@Kz-;)tTtqt8DVk2HX^d3SAferZ z8;OqZtn+z}#5DUotp&V!OnvK`o7Mxkvk-*9s7;@lCTGDWS(-QC4sPdkH>dc86++U%@YoYE}6 zFPq}bozVdmNV0D~M@vg_MMgQpiKg%IIlfcbF_wiq2M@&@_fQzpI*SKzyMJQl-yQYKJh86ZF6*6sl=Nze zG0p1#(oZKNazyd&DrZ}j9B+j0T06%bbb1N?a`Jd{=}7L>Ie$=}{AU0M^$ngsr|WgR zms+%1F4PBp(=~PXw;rg%iuZLDie^c^u*y!t!Mp?G{dN!#6CV0D%v!qPdi+RoQY?w-xn8)_YvOK!HB!6p8aqQ5s;a$rPiJbzL7O+Lu;$b^Fic6E*@ ztN8%u%yYh==mcP3`;L3dKFrNR9XBc4QdQmp=XH9FX7IEN{fqDg`e2lDx3NvOkg z%iVS}Hxhx~{4{4e2buF*7(iJm0+#12Yw|=Bq#L=J$5Iaj3;lF`P0bmTKR~0@0af?A zCu&{TzCm4m*DkV7SG8`dh_^;ZyCad)PhKRxqHi&g0ok69P`+_a4`*g={;fZO&z%z} z%t;RMPxaca)+)dI%mr%L|NPEVmvv|Q-Ah;V^(*f(sfcAKvJ!P}GNz2R4S^jJ1opq^ zCbDDZId8w^1G@P<2Kto(E%{>l)gy3YBL1!2c1@zTWxcJ%P}@81-pwd)hcH8csk^L= zjVlhSs$7c+uzbch|9@IQ631UTT#}+%uC5o)=N8ohO6JpQRR#Nv&v$BUr~S(;D0JfC zMFiPggd7f*FqG6y*TY`q9l0FG`G0;xPvN=^=tsFbP*W4mOeENs<#NxA?PHaBRenab zynV?dSOt^zOcbJ6w-1sr(e_t!iFGZJ-Ykv0R+b}3=aF-Db(j_|#IyH}Fa3l(6x%&x z9NMjI@{%RTW2@SrFPRr=Brb;MSwow*Aa~Pc;a~3g!TD)k-JKcLQfwOL6Nb}(%>}xl z*_Xz5SxWLL^6jxa`FZ_PEs}JXnwp?}L)zLP0*~gj%i|MUq zERNS8aSf)69%HSzIuSn{z@4%w4fnppK{oWP=h zw7F;6(lybD^HSq{F|Q<;baPkga#?%o)vLhc_r4IAq%HljN$7)b$h;778#gQD)U;8| z^3bLGI?rN@A^M6w4{`iKZ=5#N7uV8H;&I;fm0t;qc**AQQb=mW%gjmRTh_P)IV zEc?Gve&y6jIs{MQ~y*D+-XHQ5pbN)}A6z4q(y+WvL`q?I)WTRTBsqRl#oCkNfg}n8;_s;do zUK~qSYk5V?S?iTDEjeQASSKoDT=0EE_VP!AZSVAl-*<1|^4jCQ`38C9pv~;f4k|i^ z>+NM^=Y;PlVqL5X@6Kja%s8SIRt>X^Kb58{0gYH*YjN6GX57EpTdW7v6k4|ybJjXU z{;Jeer2osaX#>WhEId}zsg+CXs$A#Vv>eB?R*l!=@y69yGTQ3sI2I0XQ!P+}qEM`= zYjWFo8w=enyun6TVKW24Z=J4yEg+CZ=;vp7T-?qw3#Km?%M(LL1jK=IE@#6o8EFaC zamHRoIOeib!PHvFvqPfnNy>6@s`BHKaw?VZWadQ|C^fRo94kwE*hN;cMzfw_!UrH5 zIHIEW^{^WFOE1a%F9ox7Hf6%uM`D;fx!rQlD-ARJ>4-^yhmc8*9d8xJ-zv@}_NFYs z*tU`*X3X(Lc4#eG&d|)O%yVD$R>+Dl-LwW_{7319xta>7VssR_Id_o{6uDqBk`~{3 zZNq~B&yB=RYxTjB=Of{i1)N1zTo^qRaB9ESXU!P1z^2EVM1qu@p zHzu$?BXl#cBk7-23^-yJ$Y)wt!bKA|SHDK9F~~IQw~^o8nWcBzr?*CPoqyr>(*Me3 zTjpu=eA&%)R+-P7-;Dg3K~cqFO&OT?-AtE@Vme3CBc^Xg^o(75ZawvP+drx3yl4_z=d$KeDY4m*-%d$9e?pRvqCjWRGujXt^3C zL=Sr;wZ4a59pdZv2Zq+Zde0cg1HvS{?_O7$uTFodR<12;Z-$vRMI+q%=Uq&8>0pLu z9O8XX4zut9>Knu((^l;<(jI!9#6&y~nLQ9pKIK@pW)m$uSRZHe}z#EHBdEqBu z6N*_w_d`oQ#Un<|to~{sd;>j3$DVkQJ!n}|B6rzfEEtAxvB=G+ z9F`T-d=FqdIg7AnRk@QY5Rk?G9i;JB9!KrB(A;PGHqP<$tD zxb9g;x5)jokk@*D9*JXygxZ&{)pS2bkvTW1OD?AnwG*kT9SS<2tvRO?|UzP z<*|vsc^T6N4gMsoICH{GTIYYg=Ae?4M`Bdzk?CX%!P!Ix z`t*>5`z4R>K=5oHW#Pthe5YJJCZ% zO7ssj2;h~amUvSw;e|%Y_fM&PIuiJ51+RyvBPBavj7K<(XzB8B9 zlZPEa>z6ySz|>OYm=^=Qi*TBHP`%S9;o02De*18tM|{pLx!1Ki;h%ZcZ{U}z?<>$R zINoQ=R&7P_71!0Wd#qQT>Ku`kdLY8C{!H<-XIu|6y}=q-hfR*Y+c%BQmiOl-*qx`3 zd35u}%4?Mklv%4PamB`2r3g@swfK z-!82zRns}vp_ROJ?QkXGl{lP{#W+=NHd)1@?v|b0q!sS4qd}ftLyQ9gOV-6kARxUG z&hnvvd;yxKE)^z%=I+SCn>VVDp7F6{ zD!58aoWaVnJvMGu#Zenn4T&+t&dlBd-v#O zFsTP4MmLU2bLC_Gp*Wpw*uA5<)qBC>){&e))4@(I!aXDcNa%EyCb2nVCcG-ZO7qEN zV5@vVZ>@7tBhl0$KpCOD1S6RA;9HkS3C%jxP|1y)(wY6_gEe?FA>L=zXm=fch}`?a z9~_c@8_HgA<9jil%jFKn{b#|@^P>%=C>g0-WCuaUT-F+{n}v$R25vx&^-zNu8kXl| z_lrnNnCc~r-C2DxiKF^{`FNF~jX7evG|4FGlMkB%%&W2w{)jQ$u_HtZzinN7>n5CZYDP(`N;8;lUxBqoknx{=I2o)^%gB59W;UZd^^PcDZWh{t1hHq z?bWRu-+Hx9Yh8C;AB9}awr!Q}8GspKt(zU>3o5m3fPD7&KeVx|Gd%_vKKM6i5fHlL zCNlPo$@?&mj-T%BcTa*I-Ti7kVT^u4&QeCy$GR-0RzX4;+3LI4eayu3ZyPPemD=oA zYlp7^``$Iwrc^wAzZ#O=xuE5FJAx%EP?Of=Z zdg3gk#y>%TMjKVngLQk+jj zlqVQ#_jfbeoOtMaY_9o^R4N-ZGrF48GsTVP1=1uSt2qw#OQO+{yr>cdQmN$TCXsym zLGFyW(cGrHU6#CQ&-&9gL-G((^I-#iMzh;)#qJy z&uyYuNgp9-b13-Jn1_ha<8F(MkiOw<<>FM5JIndG3Gdu3QLEm_BLDBW1?EF|dxQBo z){u6*vYYkL9evljamF}Y`i>`BzD=~ewm02PTg2HzdjWIt0U!sdg9qY#P>uu6J$?S4 z<$wAO#F5-`SK?0>@~!)oHhp&0x=N{EC7llGSoMbR*V$`ZG1=eX-W^;LgY<({dTM|( zn%12RKnGqxtM~ojUO}XJa$Fy&tD)kaHc!~>jQ4s$V@lohvXhduWlEGX7SO%5KbD3M z>0YwIEyODHb}RlkhG#C{bXhMKM0tfzequ+`l(fo`A9;2uNXJ zYN#(^z=8}uaKW1i1llW+XzrtHvI7OpO4VUr7*S^tVo?^F5hgsF)wDkxDxX+ z)NFZY?Pp*C`Ub-lbwE5C8&3O(GtA=G$$7G|EAz5qIOE~X8${P~>U=)fLh}==SQpzv zy4YxlyDp1_>gpjBSoyIrRRkNxrbTy%f72mn$&X0UAkNVVdH~_JF~7*2PV>Q73ro;6g@o8>pc^D=nNy#9?MC(st?i73UwGVk1L?c{(+Sij6_@TOd{-`?br&~>RF zgL-zkTwgjrj)9LkdGzl?i102b%xkuXcQEXj8#Rb8?i35KOv(}?Z0L?a_W6AC^W`G> z19`pyV~!po^msy7PMZfO(oy#z+<9%@=25-rQ(s@xjDQyaYTPd@YUHadc|?q4=OIdE z?@ghWV^J$oM?a-ID+k6V5c*n`iz@c-JRp(NEDypFzR6{ZjKeX?8f<02f&mae1K+7% zFS-vh1c}#R*Y$)egBO2@>T_N?rK_{(J?QL8%R07}z#eM=uggSqf^jJoS~EtZ(sHj7 zWHq?Wd6f_$QF6=?%4u2-tr&&tXi@wGvmI0_L9X7d8l?@uYxkkpI^UgopGKQFL`~9j z055#XBTDr)EfHAu1f$!kUQhZu)M)eB=;Y?-iV*RLIX0m`!BE}7)}g_PdC_e;iAz!46R+e5PK$JYac2<^xoCEXjnTCC0BNKE# zvNv2$mFK)MH}HeWs;rhvwM0g{SekC$jK_E7`jb~s7UON#jV|!`!;IY(x{YMX{_iOM z(B1bM{LDj|<0JZPfeaQ%mSj9}dZKO{RA#+oaNN$UQ!rL$sLkMP03o*TpSdPVeji8p zml4=~;wEMRt8~MY2>rEQm764od`A=GqU63^YbsDd?ksQ1%Cj@`7*6Ayvn3xjgUpIi zO{f+b?q%HYmCxAco8KKn#~C_)2C{R2?fkC+1FzPZ)yZ%c=m z@aCNx+t8xzjCoI|gp`qaf-=)t6C-uOC%gc-zH+p>Q4a5b)W1^6g3CFoN`ZxbkY4jKo3aVFEtUsp#D<} z7WuR8vh!jMaj4)-6o#=I@EuTwcks^xhuC|AS|tNgBVK;cx&Ads9TE(=1>T4}L9+wp zA=W|7KX9T!BH9ui{0o8gB#`eIfj`!oE)wM?bkiPp-;(szBKtwr^93i*c{d) z55cUpX}#0K)(BPMG2g@^G(62)>4V*(K_0#(o`5+`>wX;FQFsp?@rIHtcBgK359ZwP z(jI*u-;8)?AFlj{>(5U_lX{80U!G_}gSK$qnSWmtA+>x#oSnTz4{-4wxF!Y;JatZ< z5T0dxnI=-ib?SFJOJVl44mG)>+^O8}vtmXrWUMU*)w3a3Q zmNGJ{{S`GSG$r1%gO38q&2yFn=k4;q+q`MGY|j&~H+nYb+mn#T8*cN1pW2!LfYmKFzo z`2Uk1J!FeM26uT(8Dth$@rx~#xu|l3ppd1OR>VztS2Y0{Z8jrIkX znut5IMi0Z-b75D?vfEr1_sS7!)Eo{X?x7Ci0Rh|*jNZif{M{vIM<@!1S9EMfnpn*i z4E4CfIM+Khq^y(Y8Rpt`QlWe8=OAs1QnOhPaJ%*$D=cf6OB)Q%E=W@_iduC>)OykC zZefxbR$WP8-dDRGFM|zRj8}UHiWRW2bEr$QnSrWX??juTx>BPnPoNpk`i+LWc6eR) zp&G}Qi%SZpXKcc{vVnkFYIS4mMBmbyqeEnB#1aUE%l01%l)1q;k#i$m9!*A~j)Txv zL{Sk@37i>XqURl(pMe>ZSYzG5$@Ev>= z&1^Bb4khB;)o~vDcKZp&zQw+t5`3OG@oqILNC;2g^CxuFjg;x;UOAFaeEYYbklu*O zqV@&{ms8L|toN2C>lPI%POr0f5%)&K>FCK;yn?+5RL zJ3uJb^F-In*lmM@&mXco?$$Z#n>eLSw>cXp5!ZL4TN1M4t(OQuzwaqBU$^z;wld-IJ$mFqA zmF^3 z;qG=lxz}}Jf8IJ4oF}o`woCrUqRL>q?GkeGA-ySsEOE=|Q?q)5;9%xn2SG(xXcU;p z#&>`rOfazz<#ChFG0z>|MHG%Vl$aDC5b9!dOm~dbQnrcXW%qt5&&$=tm?3=Jy z2b2UwmtX+}l;z@0H_MFUiy51*aZ4((eH)ng(V3WkQE>+SnbotiH5F2sSbRxhc{Mt7 zLwX;RZfaO3I+J-+_0Q}ykqcTwxz>$rWVmd)xzMqZ&1ev7tCIxsDOsv+{nB!T4w7gh?EeR6&wW8A2O*0*gkpH##!AG*-k{1dw5uUp)@ z_2W`Px_};<9;W~KGMudlQBbpkw(b=mJ0Q9@+583v80_8Xe#}LKq2f{BeQ-l3KWlC2 z&eo%&Ah$*0T9?fZr^EHS8+Y!%hMwBtd!fA9x}jc?CvZY~QCP2`HS%n%KBPCq0`eFs z-c)!byIM~5H%>!*EPY>Enb&mC$~Vse#LV_))PVjyHG}S)x!R#aC{bRtiW_pH#T?&G zq;?(jI)&ZeeRG9>Tg)VT0k8W<1Zd>1)kn_p*wtsABb3Qks*gzJXrZ_PF{-Q+KfPsO zp>4e05YXNhA^ZlG!h(!u|L94J9$}9p)7B;LsDVl20i8L!_<^^3wVXhPthR|YmZ-h* z>-<(IEluRy7gOz4|393-YQ4HcE++I@&BkKzcm=drEv=}{Q!dUQYG^Rk-e_`2FyE)5 z!AsnwM_t{a`wMJ!f)(tr!7l~dZ##jWoo1-93{GNfJ^nD#D7s zhH@HR8$pLGC?aqp!F6omh3Nk{I zoauf8Q#6`hUK`IwQ7d)=EMr+y{zL=``BlV$=qSRfZZ1F;^-zp-w9+=;crG>Ew;}WD z=({s2En}|0$gd9*-SB0aO7HJPc}1YpzWWZ`1`<>E1BtC`_nuy$bNkdV-)!c7zokqT zxtsJgoKX`9(EJERFgp_F1Nem_t$X#OnL{}&+aYD1UehUtVEABQBKloJgv>Q-cLgR{E>@{MKXVuef(xrx~F}0ZNFaG z{cZ`IjSf&M3tR>~qpa?8#rW>fR_QXo@Gu)Be2)+;j&JeC2Q&b#&>*|-kZyp7y6J5& zzCo?&)Akei^wjj0I2fdm?#Fv@>5U-)flL4>$oI~;>ha+?U9l~i;^f2{ZUhg`Bu3u1 zNq-K#c=iB55D)+W001Hc03-kaKLFLLPy`hfR82G;vH1faYTKBEL8Q3MoVVtj-m zyy*!6vIg%A7T6K0Fye=C2bTRsBzGGgDb8Gr2GZBt{g{|NNKa(C5Ol zLUfXJ34PHyhCV-q^PKm*JwMQ(R46}s)l0fj+Wu#q_Pje*dFRDza8o`R)80UWlk*xY z_$Iw;c}=t4d8BEpkRHk*3zJpVdZa)Di|XMcs(jiGHLI%-K((4yR*7NSNE$a+2_1E; zt9`s>G}d{g`>#>RZ2xU5`cJF=2w9yUzi^t?l?bz}lfSPcLCGd&I?B+nvNk%>(7I~< zGt!n~N-sgp1w4-odS|I#!7CyBuQ=_Q1J5K2iL zeE0}b5p6I=US9MZYEQ?r(-gJmyGekKk@224Z+h;<)}Tk&F9Jye6FuL1_(uZ1jw}uj z?Mn1&g?Hy;qvW*5lZkn1qwB6!djQ+x@9sBXG{E_9NJQFxLyXey^!oumSKrr_PA8}b zHy(_sOmW^A)UlC0BV%bE2W)22Xaf-2Y5AECbq)|ATpwLD1i6o)d&#Hrjvt#-&5uv? zGpgK}t|sGZ*&Oman?twVcHkd>GyMJK0vSgnzrVV}Vy$-1ss1H0o1msWpH6ubDxxwo zlPL<4p)sDNGB`=y+{U~8D2O=DF*r;Qbmu4O#<~auo6{rSZ}%P>7ZC@3x_|CBs1S6U zkAz&0%kX?=7)XcQeK^Z`1@QWR-K1>^8zj|Rf2(j+6~=^qmWz~J&TA6q z{GP(|?SG8DCiLf|*>lh6sO7<*2~K9sGjlHJb$UQL)Tnnb_xp>PdBx25Q)Z4KmOG6s{E>b0ZJQEaRgiG8^;u3pC zzBj)ja;!x8=4`fPn=C}eWzCrWpL+#(kXT0F2`4Lo;^wUUpTCTdLeyWvS8v7J{nopAu3hUkwv}zy zZSk8Hk1g@W*DMwz$Jnum7beOF;lfp8@WJ0( zU;RG50t*ce$CBw{ZhCK$nl<_!0QW$uZr|e;S$b=sjXy5z@h9!TlzERS)bqMyUI>_c zeQTjYC(!p?&OhhQ$@2>JNslP%cm3aMZrP3f_lmbosbeg-Yp=j*WT2{39l8o~t@A`n zSn+ydzJ{euU8B{U1SR&>;knYY2Voe+5Yz z2=eHFqzEVui97}eo^`d!_)yq_tHCBS)5LYxysocyzZ0-710nJA?H3X28~Sjk;?ojW zn(0}U(xbv)J4#g2wVF_*o1Z*$TRS(@s8Xxrl$OLK){V;lNwE>eP8)5~^ z<;iw7G)eLKWJZwc=zc|`)OlZFlAAss-a{R^T;~Ff+VJj*iP*0XeLdbQYc;#QeB$ld zaZXgOH=7S=6JGSUK2KDB(mgi;!>f-G)CmK8dECW8c)qib%Tm;I%qP~L@1_oV*LgHS zPjnpTsF9-)HXolPvN$;22Qu-23c>4#rsVJW6-X3MaP;yml-s=N2lNv86*^UsB+e zCojj3^5zhk(Qa9@P;i<8Kx{4GZ4IVEcy6&UR5-L7gw)Vd(6@N@)Hc}u&!s_8|85GL zWTN@RPt?=?EEu667=ic&7$4<359hyud;@q2cqJ##kr6TTUcL<{@rYRPPP`o-KDKdJ zf8x3)>s!Oj#X!^p&>7@w69PLQ$(BRM_!r~v&znGSjFe04{6v9C7VEDZkDF+T7m5kf zzP|+<2Y>knx_7Q#FSl!rS+v$|5U<&`vuQ4u`6J9wf}4!HeG61wom+o`*jTTg6xzb<#m!R_s<$<)dB)T#pQS&dUkQNQh4;Sx>vq;l5~r16u-;eZ0s5yL;(zJ0>QVmEmN zFaoXEn`>H;x)OB9gh8g2>d%8mhLoy2+Vft;y~)^us{IbY@^JNBUAR9>rH!RoD7GF> z#uFzy@ot)6#-QV*ZvD%SHx#h}9Cz^AKdI1gxgL}5oXSKsxcCI^toFe%nnUQ;$2n1j z>W?4ej~4VC90P`u(rPcKh@0x=09$mcGOccs2EgsZMCc zfn=)*UeZxF0?LUL;V=i^!I69|jeIl`ZO2h=FOzvQ7)6NK+I%&U&w7K*-^}oHNW8yg zJU@M&C~4)-&&)xg=f2+g(RT1-c=0}b&09qC^W7Xd8i?;Xs6bCv^_QXT__nYQ3_c0! z=!o5b5|{Up7!mIqpx2kkp%a-3LgM<-41|Bpgpf>Iw{RzN95H~zCbR@75ZH78>Ve)3 z^#%!d2r8B5!iU)TUhEx1%ZmJDIOL+KVQ>5o`h0ME1{WCk@m;D#2|!DZFZhj5B(4#8?DK3;5^le+8BXY~Nb7b}cuXW{KIcmh3kh zV24^R{%p1#;@4Y5;@yAgsEv6Xi~;0MAseAV%70+O@OIIj0G=(hp4p%zl3I0!0STrQ z_0)gyeRKEqV9@0?wxJ^W82v%}aBM{0_;DO;S7;vm{R)kFuc|>+(4t4^pPw=Jbf`T1 z@%^WK3pxE4Gn6XGI59spP@h~U`usU_37&MlmA$|(U9#l5+9)}&2hW=k?CY|ZCAD3h zqEOQN0}E-r`jN)01NmlDseZmP)t2AdQ}*<0P3xRL#tpv8tNWx34Q#w54Q7@{jH_Hx zmGJ9VjhUtmst(nfH9@;$R!pa+)2UX~+3BvdW4&@me}MIDKZk4lKOpu{Z5kc4_I-%@ z4?#;D@7d9heNO=)>;%8!Zi}TzraF5J7*WIhAE_s}X^dLiQ^n}k#3m!a9nXdm6A)K% zBs?;-FacKoI+0vukfvRH1s(vbr1*`n>sON;$GP()Waq2-Y|`o3_&}Ez1g-qlEf~!N zPWk(6y$?C)rKiCeNhSTj&;(&>^7H<2HO^!{q5Od}x*Miiyqh?I=>EA$zjvq_-7)nv zD#nxp-p{!dNwPPSu~b!akc`3CA^Z-|UZTZ;7ryS~T*Ir{eM_26O_Cx!8m(#sNv}$9 z+GjK5Hun=e1ozNG5bpmGeYhaKMncrS)Tt{wkBmPSE+z5B=&r2i2=ZdM^xt>5`^9>T zfX}De)IAKMN^14#cdY9j4mQmf|B#nKFOs={t8l%$JFj=HRGQuMXe!^$F7zv!y8|6w zS>t|?<<@CQPOcu>#o3!XP-WeOueB4jQ&(HI2nR?)ORn}D$6BLtF)sn!W!Ce2Ke=NVu0jUgmOJSPvR$Y)& zR~OdSb0V(y#22j`m-WX16yF0&sl;Lx;^L~xvdTM<0di_=OPJo(apzjM_U#wXu*0m^ z5?*i-4KBp1+_JVUrK{S;01r8xK~XnAn_-*cXOc668N))*|H7;J!he8dZy|6IqMuw_ zXSkDnzC&3n>24g zvfum!--FGI43os#o?mdL0ln!tF*->)2|9E>8lBLKXwVEDdGhB$`T0x#b<{t-OJmrk zV6Il*8E^y7m8|TX*X7sVRqsSu zpzT^M>sR{fRlf9EqqnNCJZX|VuFdHU6wAfbVtTJ?q;}u85$ERnMx7B_0rZ`1f&BL$ z?M^+zq$>7bv9}SoVE%tZz_Hs8@2{4+i`Gih`HWoI?e$4eVeCmIrn~rnIBZKre43g} zr@Y_Ic@)*R@+0R;tL>^L2}OIgd&XCJi;J*>u$AxeFYP_6eoI^KhLa~cIv*dV(h0m4 zOsCYF8xLkvsZL7q7+0qBq#C_`7`|=ekl5fS8Hz#(WC!;cLQW{vb(%_>f_x^4&78gm^mAI zukD*Or%mrXe>x=#xkE1Ky6>;w<_oR~YZ{A>1=w)~1>W+tzx`kqmzGG(CWIyXgZfigF+8_GBHYad8oUMW|G{s6 zo%?OD^t+kQpBv?y82KyjdqVgA+PB4?T3_1s$ggy6TVq?XG*EMysg`%6KnRF8KM3-( zw*{*z-2;7Hw%#_%$gTtXWKyVnO~YDmn$ArC0`(3b_HDDj_`zX6Xj!ixRI$4?tUh}i zw??}XM3uK$=qU)Tmg}0lzr|H$UP+*)Q>#`?_wTtAR8JQ0E*39u18sM>5K<%1`p9tn zgs@&^uhqff_4ha&(TD=CMR6}Rxz5EF`|4d1R0nvRkL-Fhn@FR+$jnVAlb&tnbLv7( z(X2qDrhS@H)#x6OG27$sFLlU+k%eGO%s4N zI7}*I*-Sc#t8ZM>l7=646TP`x`{ml&Q<3o0lC?nwwCS>oYa5>hvs!B1s8v<^l`b!t zZK>~6m|h~hcrK^M*R}W8(dX6sj(+O_I@QAY{SyrSnD^2RdUWdG!5zV^JrDq=wdQS4 z=~9WcR`l+~k&Ur4uhyGbxO4*o_tfdQ5504x7uhVX-|bWB$%)bx`+8{TE2V4Pu2Brk zeQ0>pkB5Uu(;ML?xAR)xFIN9KAZ;S0#24AK*zsn}A!}ym-@F?%Y_w(0F%N;5<&vSL zxNoPXqB8<)$>zdvJ=yltDLBbUXvrY(BcuHyk&R4j_kqkM#y;MI-e~h8s(_dNiR@f* z3aEf`nr>y>)}RChzmh2dqS2s^k(M?bZH0qfxJ1It#lFy^tgeMB1-pmO%dGQc*sw;* zUUV24;Ub`jjgr_I3+Yq*NVU=i9DI(!CnomH*wM^HLV%*EqMVnWPN3ULUeTM(YjW=O z4(>Gwi}r{uH=vwh4}Y%0;+o3x42OaXlUzdHL20;e zOGcUd0`=PlxZpoB>DCwfi4|eSfbe49jQo`^!iZmQ!^baSFXgM30?vK?Lb)XOMCR20 zGI_3*$JO7T^4gGGx4G25!-sp{!`vmg5Bkxg>67#c`6PYfM=uvfLYsk46TYH)J@tQ& z-Qg}!+PJ@UOCNc>O`YLx%39Q@^b{+|HBR?s-n!?JQDNDHtraaf+I$87m|?z#1F9%7 zN%R<8@t7EEwPae$g=!rCrrtO3F!ymFndr;f_KvsGZAc3VQ=!Q@|Gc>fZzq z4#+f;6Jjtd;AZ(ahuC8ZDsgDJc z=vkY2&+l>n;xlx#&YP||n``}>L~Li?LNZmX9Y9zF1=dglQQHw0n?Op0X3s>^VA^S-7%-1}6RJYGr-LRwKF9XH;F|1teuukOAl_T;H_^3vd1m#i~3m%Et4w}QSY z*0HaNFW_J|%RYFUE#KsUcnA9z(Bj{3AsALR3LQh+`w9j#N{hh8w7|5ioubYgJ!-0~ zbcFnwZ{8Ipk35y1{PULV%tQ1xA2}a06wyaM(VTaE*+#oDj z>lKbYY{7Hfs%;ZoHG>W4IkCgG>=N(530H%~3x)C0>wW`i7Kn=bwzZ_hzKQ$%nkw*% zmp1L(jJ`1#lyJFiZ%^KnQ@oe6{sdSfVZiur^$YXjvT3{7w+@@G=9}q*&Xju^{|ooa z)c+kg-yKBF6xN^owd@u3FtE^OVzfeZf^-pmIXXQ#K>bjnGw0mNML9a@!k*TV>C#qc zmC6ynS=)4Qf9uwh@7TOGwaQy*-dXFZwGC@U=|*E$ta!e&u^`ktUf38AkltSz?JCLx z8r7CZTGdvFVto{9c@_SpOul0|eJ~mVJN^em!_xiG2a)?8USo~Y9Q%+Tw;Vf|^L}wx zb1jQ%D=AY8Kkko7UPt7$?$x>|86QO_&uUsO7SZtRWR|N{A}Wp5VigX=G75y(vydU- zSgX~L$YFIP+Ir1eIccwASD>h>peht-70@bGDr)lGr9we9G7*Qor=G@Ou>;?$5Xpj&Spz{_+;_Wx!Okms; z@AQjv8o%-R4u<(hUtjBS{_gVrCHg=5ztm9!&U;@F(h@fZ0(ix3+zj6!Hvu>uzzP9s ze!<7iGeFItIRxAx$-+Yxq?gnSo6tc)T^MX|WwkZd_91z~3G6FAM zgvmz5ej`08DfgbtGnq)e9~;|fvnwgY$wu=lS*cy^Ht(MCQDUY1)*pOZV@vHR9Pl_0 zOE~`ZbMscZ)#^QZhzd6^A}MIvJb5}pumAn}?~9B$6xw|=f<<)LMR0H@30?g;g$|xN zVw@RTi9e-Dy?1lfrpB1~;tqw+)hlk;HSn#~HFdC65aXCvD&8)vrq$AiEtR?>BMS@K z?K7NN#&_32jo#B&W91IW`ktk|``4_#CfJ;pk9Lm~w4uw3v{9rs>!n0Vt7SJjM#ZsN ze4~$4@Rb&o3ais(s@7`hWc5^)vJ|9gs^Chg;_yAyKNZlp*e#wN{gpDFMLG`qKg;IW zARzq)h$3hH{T0%yUz;}4<<)+i8Y`@Wd_=*~A# zn}J5@I7`5|fLslxHb`!w`#09bby0wTR+e1DeJiwP;sTJW zxtpl19xvUJrxN`S450BOTzrc~aPm&x1|P;;c=_CdD|Ye7SMWFAVEUAp~gfA>w!sk!Hwd9MTXzN4o7MmY_TN58Ys zb24&reqIG_CqgGgCr2hp`W8}pK!bVqL$ByUdiqaSciDU84ST%bd9@oAE2goj&418e z_qff<7^eVQK&8LHj`qyJfLrN)X<V(Z5gRxZPnx%lme$=07e8 z{(RCT91y@l0yCk%u$gYe1W*P*b2E3vD;k7w@R(&E6f!`D3K5etWP#ISJh5UwK98Mb z#q9ilc9u8JMC0$wp6kZQoiXI_h*J7-CLT3tH#~CL9I8n3<$PIGl!CEBZ$5N4Ku2*j z3r|+ayn+f2fdn9o{dcpRk{xw`v~%8Vc^w{Jgc))!yT%Ea7T|zpoHtRifA_wgdB0h| zyw1G`e|tOq%)2KIjfo$Q?O zobH@Hf6$$ZHVzfik=^xYM+4y6eePH5lr4_;2J4WwY{r^OS-=NwSZJzH-5!~XDsb*i zHLoM0gR65sGuqWvM}}GEo0W2UU3mFWKf8E9kNY_qSgKPhrYazytEeW4(+1i#zEc}< zckjo{Z%V@rrdos~FKFc@0o$cKpULZdYFZ)Exx^0Y5kpZ;Lai*-}-hhR; z4J0Yy(%%C676%*`pkfF67Q|!oT(9?k|ENDQw>j;b;?9@`(0qw3&4ObFES97O3M{^1 z;A~2tWR@A7Rs!+23D=BYK>x%UvYGSbbXBATHPy96m1U)c6$M3gwQ(TR)K-$yRCd?$ z(y`i(X{)eTpn~0jp4&8>zT;p9jY}`cInsn4a zUjf#DHcfDlZiH;@;C)uTqOV-*)(Hj=t}DCtWp?$ajqC8cRHcJIPaESI+zr9KZ{?v- z`rx>S;C(|k_nzP3zkkDzjaQ}cQSqvzhS=L4oIwsch4glbNH3XV?jPZ#gLuiE!M*(c}?y?+LxL;6v-PVK55e7*ARZWpWuZ;t6; zt#ools{`?&C|zBrZa6NWR646jM|lxVwa|fGrTCreO;usF_@9QY%=YVK3VkrsBa$B2&x0HNnyIVt~wc0FEATs`jzp;>t`DiQ3NtE?! zrP{uhtgEC_R%;b%DW$cVrD9@$SJ2Y#CfqgGn^eBir*=f8$Nr-6Pk1!2Ti@pOYrx6% z6QsDDMh$e&W3{SK^JKkd)}POY5<@&VTS5mfm#LARfyqk@B-ht&BSr`wy0ley=CpFOAXecW$^-niQte41D`Vk9yG>=6O8KaUo^4Zwi+uoa@;=_pPe#a$&Yq^E zoSK}Vt5;A_QpY$o+Lpx%4Zhi4h*w%xFjSQvxZVqI&JGhX>94O?MB0|LRyCT^((3*k zDg)i`jAFuqYtu{HfSfd2+c?;Jmwchtw7$jeT<8GF3E~CQHu)yBctIRNH!XVCz7uJ% zfNR$cX1wDFHgicc$Pw+irFY-u&oG_)&eA2<0=|W1 z{Tn4!GOsp1+8twq_Lo0l!@hxo6W+g7Z=HH;Qv3@tiq5QAyp%U8r?dVze(70y6GEKH z&qVZ3{$ilrbMyr9Emc~nF4*5e>|U;-I?byxk^WS3z+rhtCWh zb(I@^L9NspR?31<{94=e6$m}NJI!1N&4h$|9|m^{Y#?le-b&EO#*N{@TCSxtT6Zgj z6dB)kC7#o^S}mgsT3}I@k*F-u#H5LYe0w!2B7C({+b*jYRW&rF6>_S-vaW(quPM&R zcMH1c8v)iH@Pg(7KJZh@P3I1766sF|dX0PTRXK%@1N?@!?Z1e(ys;!F<~h!r$w2a* z!>+NJM!gAVI~>OTclkScq@L*4|0vN7UE|g_aOSH(_0UZ|?eo&3nY)V4C~l$F!YCB< z4ZO0Z1yA1N;OejRrwc_EQt|H}vEJkAFVJe=Zq;$*N)fu=tzZPe3YsH^$N+58w+>v( zB9N97zRox)*D|!h%KMGhy7=)jb(rbo$y1axQ!6WL3^w`-4UO(?admxpac60^M5MLQ zUYM2_CDj$ulUI_}l~~$zSd6Spjf|{J?BzSsWHK=6u-6yPmY_3?I8Ayw5X#EuDFaLs zl8wkIw_zH)+T?rR+Z@oPqbYj1d&FDK)t+{!h< zjH@Fmx8H?>9LIci^~Gx>Pg|XBTqY`2-Em->s~BrTZQ?|lZ@z&BDU|J%?Udc~U$e?V zNCWcS)VRSNq~m%AJj#aVuRVp=g*2Pj*hNHluv0I$aK}7E`P6KJvi6aGhbAtbVxdWC1 z^PQXVulNmRJ%tP$_&`=`wDH)j&0Pww{?04Uklq@)M^m(P$Ix?}Z?#dm^jO$xGdXOu zsRr3osba^myxb^P?k_4%Gw089Qh-@_Z6tU(WU&>^1@8u!K*y8l3bGciOYNuhq;sUi z9NUwdL8P-Tt}z&O3#s!!+df(mOXvU2MZqa+4J9x~K>h_pOX^8Meq;|kxfzzm0e)Y2 zl1p}PtqiPxAv{p)LY?c{y4)s142ce4Mz@oiiWfszDvtSriR}|J$ zlQfns3QSCfdWLpJrifM~e#;qKkYL?pXXo7`{Sqsk_z72cUX?N1IU9Tx&7q~98#PZPEU%Hsr66Kqf(NcSzQ zuWH+$SEDk;_)Mg}F_A1+v2R$~EruT2Y1MiBYZZk#OUo#^2tJHR1FF z`uVliVL`ZS=&SS4ELIjwclUyolC`0tK-;dtfh^54+<(hFA7`_y&vV((#3}w&McTT` zqBj5v3QYB-+7^3rr`Pe^JQ^znc1oY(oZSA}G(S27eEcO;IY&CZug!~IK2J46kWk!T z$-ehf_VH^YKe&f?d(W}&@Sv&#d29sd05vUD%b=9Yk9dqcMk3THgLyI>hd5EUwC|MX zoqo?yw0|21b0LqvhqNo`QCqa;^_ul%%QV9&P_ZZC5lQXmIm`3_5Jwq!SQ%*FI7)%RI&`6K* z4av6LHVP{{s_6Et3B{Mi>2ad}pwH+zDO5L~r^_M}9#^ku5SO0rTr-u*hI%E*DQRmvEORaEsT$=Fz? zoFfTfSV41iU$3m7yJ2Vt_Mdt{!ye9*?cGh0|9~T$h%Zm{HkD5=iS7$|-N_PFrv7@+F#E8;={%hGhSKXKApsUBHiynuRy`z6jraLLY2P z8}@iVS`ZC>h?gdz`-kXrx8NV(@NhS~`R`Y<^E>~bUw`A^^9FTKfB#5pK$fx|iS}$U zj5_b;e!X_w0E{9_a~v4yn?2|FJM7;Vt=GRgV+1yx3K>uNia#2j?_<)iw zcM-;*H$P~5rqq8@#W8@|8W!j21kFE?Wk4nTxy6h{NJ=|fBNi;QLyxc(6-{9qNH^hT zO;4NCtpe(4;u_kHLD((Ql~-uqgua2B6Uz(f`j?5jPjFDgckg2xxY_N+uRvR;Z?jRL z`A%(4)MYUhx@DCmbzB5%?vX7{^N(*U0J5EP)Ju8uO*l{+JIcKc-Z=30kh+bXmb1g> z9kriqy(pG7kP63f0}_gq_FvzxyBWCeN!Cyq_<0e@CQWe={ab?;DW%@SMgW!5&m?a? zp;N-KzGOebR4myQ9)lLl&=1c?X13n_<2+3{NxRGgRrq$OEy7*1vmuP3LcLL#9!H-& z3E~DkdwJ)4{%2mFJm}Dl)VQzf@9T8W4XdoG+Uz%Kb>{ijb;S!JPhg)o(h^JqMcqPT zT0wYnU408+QmJ@7v5ou6R>S=?6WNY1F7TD~Z!q;&aP^w)`t<|5_JIG_vo(e--xX_E zov63jEtgbqEQ#e-5t7ttv06EXv1Z;vA9jaXYU;KwS#B*x z7FA+G1`A%z3r@qA-7H&RJQCKL!1Knx;UjJpdvqEcYzYM)4bVtI^B6HRsePPH5T2xqZ7IXZmk)Q znbJzq%EZjt4Wi02n7bfqH;(nz8sNh1IE|VyNNK`aMOoQcplkHs;G{-thH8SXw5EC} zy(Kt?y0(T!wr+6x0TwGprVtGgo27w^fOB0iNmUXbSBZcS}tuFQ$V z1<3S#Yg1A-oMKGm6ljc++Ki3%4fg`3dizppt~6(tK3Ti>or`7twL2bBs)96$3yin* zS%CtDLX)D2=zaB4Ppfs`U?@=uG$rJX3ZO^nP#+!FNmTbLW4*>e|*U-y^Jakh_F}whdMGJ6Jd1_SiciPLT$DFYI#fALD^S=?U>V zP4y)Bx8AWk!z847y}-&iap=BbHw>=3BXwHCaWXWhWBE;u(G8PBMmwA3XEkaTcb9Zz z|8LToHR;pu)Ezs{jq+u@*;KYq+d+ddF{$#>h}Fw$?b7n6k`y(D*b8X3=3CHF>9(ke z^nG?CbtYSCN@=8y-i8X6bI*V`eO`5>1X{q08Yu0Z;YjVEVZOwdJv(u|VX^5tFT7s55 z;J8Mj;B`e@Vb;Mk>h9o$76#?F;i^*&Dz@P2?K7~<8ahI-x~{g<@yF2IPHq9W>?`hD+N1{cU#80jpEt}aSkpu-pa%< zol|H^-pHQsTZpI-r_$!Ud-mITOMd}ekTE`?zpk9$GPQVEa>bN7{*-H$qvaJNh`)nP zU)8n8nxJB5PrrwGfA|8M9Jb(@yH4|}T{wkq;oU-<`_a!~^rvsx(+=%Ff#K&gXf8^0 z>nDBKQMLCCJKt*Rgf{EyzJl4_ti3UYeO2?S%NJH?HH`}^h_!8-bEDQkr*B=K7i*iX z698J~)Ee}{zG?GvF3TSoeB-;fc4aFR6y_X1ZnHE@$n~$F$Qjr8#xG5VHg&C)#rC4H zz+QmEPmySvFl!`<=IAc3z+-K*X1BB2*Q+1oOlph747R&9LJ?**w*CPT3+W#Asj0hB za2#@mI|g}tz#YF72;_iuzn6ZCte^ZM@$5hEn9P5JXUQ*ZiT#6Wo(o;{f$J5U_-yij zuDNAQ$snF{NRO7+7(JFwe+?LVtNTeLqT}5%Jo{JgYJ6Kxem{p@pf|3KDQC}F^E;56 z!X%w)3L;8cdbTkJauDDv5xw0@5tn5f@UkNMCUaBv%eh08BcwJ0O<3u%p8$d!Bnyo^I}HhgJ@>*IiQ-{xQ3C%V3Gw6%g}I^C9_ zX5CF1p5IU#AXDYhJ2z-8&aRELJ89Ot!P#hf^`>SfU4f6b@fUIfiNm2a-@r7%iFSiq zC)KXYYI9A*sF!eZW_iBBY{4QmxTCxlHH@RPxV*Sc1O)yOb^_k)MM&(uXdF0jK;}h+ z6_pC7TC7lNRqAzO`sD?D0m}u|MSJHJG8MQZZ1iw`Qz$trM=UP83!O00awGkL;8J$LmF<|JdTS=8r|(2?t}b$ z)Z{kDWBd`_@Gy?IUBYYpSPlE$fYaDef6z(2iFs|>IV-W)nibn1CBDB32? z#TqJnjEM3r9pv=Sm{~*(w$<5pipRZ=bMf+xT$WgMg03j(3HpfS1ZJ5A3sd^`P7Ec` z>ZxRM$agD2Fbl7AaKV_kZ^X=O!R5HjP^Isjc39Y+zjuI40TTq+FlG(7w(z-I+tZu| z6MiNjoRo$U;PaIS^28Pe$4uB86gN>`eXXIBmBvWE#D8&a4mjZXh8vtlSMug#-`9W| zZ8n{$p-i94(9#6S3rnu~7de77gLo&Z+k@06f-eRaISZ|X&Bfh@?U?$2PYfEHmo1GD z;b3BxUSZ%^eMY^CTL^{Z5(Vc#0*;n1!gx72K{Ww4F>s)jYH}J*4OgG3LC{w+t2Fhf z7v7r8vM-J|W?aqHbQO7VjrCTmGjIlrc$A!;+`%bu;@~z;@&y75vc-?YH@ui7D`e5b z5}R%~y4`3KYjUn=aEHmhkqPE;Y~~|q#k6pXp4p=A`l_e;=}bEFgr7yHPY3-(K~bZ= z!71y{T~&Wsve+-a?s2~@?#dUIZQJ>9+B|iix|VhW!7$;VyX&e2Jh~>%ngzBJ0?M#; z@W!n1`5X!#DtyOo8wmYkdRqjb$XN_3!D1+6IC)H4Vx+& zF;|>SD(d5}EcSGoHy?`?xgaN;V)@i%T3aI{VPjf=9s$WQ+*ZJc8a3oy!+*&AzJQJk ze>7;$KQ;7zZNWNEQa=Ruf9FQw6q}S=tKd+p3qd%tXT%FjayTA9kVBh?#lU!z8`qNK@~6zIRWaANTN2-APGXx`Hj|ZWv4Vl>l`I z3RGB`T~VY-N1XNx!ELCntPR zFmR-S!VEBagn-LQTbXC;foYqhV>aZw(KG8t@G%x`(XBbNCYuQ2x~R%JDJzY)My6GQ z7kz`=q+1i)$cf6FzQNsVd3&p~gNTDJIQnh&)=tf-onDkLT+<4&Gy_F-Ku4>*Hug=1 zV(h>)>tg!Xpwx@tM8UyDE?%f0F&!9h=Z>4^^6n?AZTF9g)dX$<;IF}|Ry^>_v=lkyC#Mp;k+-lb(u*A!&xzZ> z6`65;jl?q-I;tEvNT5EOOJW`XY?r}==Q)@CDO837LUeq5$O5A$e%D`n9v#vrD@`>L zJ*@m)Z7LTA6>WlqsLtIdx2%*`Z*I zN7!_B0~;J1>D;{pq97C|^n8Cv*27GYt5)AxJ%yZ!MXB~xy7^6QYN;f|?98dWWEcjL zZfGAueSl>$tUGS~Lw`d!p`$z1Sa?U$0Sx8cld*pIxxPP&`!20M;10cYfFFMb!rM8z zI8?WJeH>CeH1jaB5}|jtb9!7~S{W$bzEA<7ybP{ar)Q!?v$!Qd4vsAo7<#GlgUkoqCYo=%fmgDH z(BkKESYmyqFj%wuCL4IPvsJB6QoT3qf^HC+^>?u&vnwDd*3M^cXeVP3apz zioNwxM>a1)p(s)qXQqR^HTH`2eZp&Ey$ie34YId8UfnwByenzt8TGD?J*}QWsMS^} zplWLRii)bHzM`wEZ+tP^dfh{nX}0F{%-|NPqzKP)Xkg6Y`=oE1d>*7_g#xO82yL2> zabb;tj9Q5$YuoRf%>$AuDI}u<0_iqDG&ffV?z)QX$u)5|)|>hs8LaVHb$zIjsn7R5 z)yQdF0S7=kY3*|eZ{K4mGbpmTJ?dFOym7eM&L)4Z{a0jXt0VJ02;k+u0bukr+{S@< z6)l+K+d}GCZi8cB1=Ev(v>f#B+aS%^Np$p%BUhDfz>LnG8@rqyBWdyq?+SHJetA#l zPMcH8wQb^!sPgjNM^d;1%s>N)m9%h2&N#$07+qmCy&Vezyq@8p3=x=dy*vd8E)s)q z9FnxHsi^@skL{06_F)Gn;)29UO!Ia#N7J1!R-dUSpz&C-s3Dbbcln&%L_AlS=T@IIGRIgh%eF)C4RibD6)Aa5K z*AfR>z1plhJh~m?)vesawxpVxb%vxDY;%8{s2eW8c!GZs^h7z|+dUY8Ps8h-1FQtU{d0 zO`ere!kP(2-e@0(x?hvE_-5`{vu!-et;F_mm5!`n&Ya3Cx2R!KwtZD7IL|C7@T3fmJ&Z8} zk~lOr#L}*9OItph8e=pTe|k{|bI5+I+R-BoRjGxpDh7oVToGMvY+u zDOw-9v5B((VI!v$CZyr_We|yEUv~~EfVE#PWf1NwdX z5v7;@zSv3kkdSlf`q8bBXvg`lq{YaB*%aoS@baE@rC*(hm7Bvs z)JZ6ocC^b)kS&NH@Ros88Q|0Lw!oaDvIR@q!P>xliI8<^9ia~dYa|ZrTxy?IZJGC>994WC!ixZ+ZO(x8*+RjQ0$S%+`(X=JiBHqYxT(>4I z->9#7=z4A2902JX@NTnoWMHsF+&IVs@=&QFaa+#0z5oDu>w3)rnUvR|8cnR(7TyAk zJ_wx7x#7)1{_j5>cg2o8`Jkvp`S!4l-LororPsaLYdcfku1=*U@Ix!HW_(x@Z*|c{ zxb~8F3F90;9)=U+$gVuzO12aQ+>^c_;1$_zE=PW(N2vGWTWt9TPTaS&a0?}L{0>{p zF-(2{Xbay?&Z78yW8QR^?&&aCnX37QBGR`fjY#`L48J=HJ270o0m7l_lrFknjMiuU z^g~DJJy8lMVUBcwikffxzq{V{9#^a1wQIY|1#YKz!4)2z&hvVSD=2I_3v!xYgNb^m zq_rnBSha3#RXJ@@OJ7#5bPcu5JKEwbyHg`K=ycpdy>Ws7J7~y0ei{$u{@Z$q?LKh% z-jI+|y~d`dI!emQy5;>lwjXN2$cyj?yHW*h9D$?uXk)?Y#1 zI=f69WAr`!XoK7L#}GG&Z~VZyI)`^i-|D)oSESmSQ9;D1j3Lw*uA_+M#X#mC6h{i` z-LlvCV=UbKe0xOg=#!*=+j_a+qI{5^@3@+tqb7${`c-oUVFLg+aeQ>K|CDpflYihA z2{3`E1pX|Bnt*QybVLTi(F5NWb_}L&6Y|_k{OTErzQPxN(?s_H{sXMPup}Uq79}dn zlXT@2?O)At0hG|2AP3Nj5)Jrg^5eUsz^Mss#|gB~E}0zV6sFIFx9MV8LSi3mnXUi0+S28kHJ z0Nbg zit8h2t0mou9`w@}ec5qH_8K*Eg;%XtvBGP2aFu%!#7>F)cI6&i8#$M_Gi&&*y`agJ$m&mOTj@49lt_$*ltHl2TSoh&39=+;og z_&1S+O%~>yQ*h@ZRJUffsq3h|K27W!=p5>P`ioMXxE~GJq0UpTEXlx%j@zt|_{yW_-gD2DbL)mx4!sFR%ok_`s{d3g{1>!wNn@yt)E7{Jwc_ zSn1Fy6vk`HtTl(vs%f6P6$C;bUcUyvz28Hf*y67c;NfszgSMWF*=DBhO*7on+-Rz_ z#hNkBG~vyR)i!{yzoVb=3L5_hgMRTJ!k=UX;BOY6Z?N&hnbgj6B^i%xjwIPR_}n)v z{GXI_o#dP!!MQUZkSb0*S}MP{a1G~E=!l#EpLY9i|!0eg{2ZR zu{Omd*Vv%pTX8E=D!_%nw-}38B2S=N8&?DEoMs0(Cez@`doy)0yNm>QIRLb4e1g{u z+MBq))C*Vpfb4>q0%_JmM|>QKNdu@DvnhbH<+((YC4~9>OO3o1B?_=c-axP9stjw` z%ytIj`YC`Z$evU#Q>__=0xU~hv_K3&Iy<}b%*nj_2Bm->%C-vqL}aLSHGV64T%${N*aew~Mp^ z&zHe$EnIb|k$A>B4$Nu(wjzV>78O{ZzPS8k?SZ*+f9OKE25ccpi*v~kK1klM%&~9a zuy>-u$v$CRtL8Oy>-40FR5@Atv>Oyt>4TaOT;g;>LI-fCC<;CC4X(B(DA)Bp^}JAo z+mTL23-qM#kPS+_@U7auYDo2dHp%*>cCOe3-p%)_vDLNO6ukTW`d&D`W?JdE(5;|U zxAj&G$dwLvW*D?pZStYgtQPvN`ZUbQuGls~5b*5Vc$7PC8~?-5_xc8;UP8>moP+xg z)B$bYe36s}59auM;KCFIf*5!gkUb%`Y^AlJYK_d(H6A4;Hf)R-O_{uYL1K0XiPEP& z1TgRG2Z{c-9lG!H9pihPopYCtSu5>ICremnrWCY7%+EE-GS|S^1fC7Q^{;eyg#XaN z$Kz7@Knd=C^oHCqKPk1Y^lrJ~X=EThjmhZOqn&j_W{exoL@1p$K!LxwnFfao<7eP1 zCfyo^mXU(;IB%h^fx|OPY@6~B_n4(iUW<@+vwZQMTzCyAmDH7vmfe$+!SwE803)96TBuEyJ2}2=HTtX zHQwec0BdJ!M(@#2x^mWJ7;9P`Z3w6+n-e!U47)iuC+&QVMYM013fhB8d~H|u*!&Uw zc3+oI*IZ}J?B`+5LErP2U)9m|DHRL9dhu^}t)-h@%qV`Aoeu9|TEu)ATHc*^OYUu2 zI6HGB?-^~Zoe{r=WZs?{l{4rqeVfY9Tq)(J&CESn&}@k#X8jSXq=N(RP04%8q{(H) zqPGCT#SVu2=g-wLr^0eEl?>!J!UE0z7 z)yht7x8J4(GO1}D3{|$iV_-vQuB)8t1g^^q2sO_4C7U|HBYrm#gq3|{`=-^EzpyFp z{H$i&P}^&=m345{_lTz00&O%3xz)kfHVmk0gH;eghCSK%YZCY#B<1~svi@M-e89vQ zr~FB6yd9T!pV$*z(z^AdOJNeV?qehzTB6l&92i!dx4W9-GuJ^qARhcPQF`Ekp!tjD zliu~-A8z#ctwbgsd`Fqj5WS;xYSO-6kjZhty18opWpF=b{%_a;ABelY!ZV8DVdSqE zMTR=73SMsVWF3_BP4A_U)pJmMc|)<>yDBX#R9c|9FfFo_yY37O74hulO_{uI69O?r z>k}z2t=pOvM?E7X8l;tTGXRua%I1eav%s0B5|R|(p+3_WyArPMfqwhz&_x~w&Qz~} zPXV|oz+V1?;3py|gpw{I@KNibbU&_K$SDu)rTqB-*Z(#zdWz9qB$nQDJU}%*dd&-!uS@i9GKvf#D(3Qp&%J4V;s&xKyQZcjFexq1{q@X z!7t7+@k>mxW0^QFh8N60ww#71&M^{!?%O1oAE^7g>)w7_o!hJ*Ft-v?}8Ht(mOIS4=9kE+BZ&Zk`#njW=*6(p&V+CEtQ872Ek9tm!D-x;Ui??>r^AOEGg#B2cf5aQ1X+484z8Yi=nluDf1eC<-#ZlFueygwU( zo@XiwM;5#+2%cOGv$${Y!X@m88e6!Mykxu|#38bPHVbZz@(xhD2m(Mb1NX<7ATPwU z8It&2w2reUjLZELsbClP&B)X&3^J$gNu15uE%coizKCbDbk994FfAnI$BLew zp&J@nFEh`H69?sMGMajZGHBU1In%&rZrvn=RJ_S@4s;evPM4qA#NI>;q;H@nzSdWe zbQ=B8gU5+Cm0itYXP8S6-rKxFvC_61yqf@R*Tr|EpsDCA>2x)k#w&V#72u8X)@ZHk zobC(tlm8}-lpsFv213Hcl@jpT-} z-tYwQ4R7y`TqAghMyx*2eIl9jAk@Oo!`M}ur z5gUCb=T+tIVChF>!W=xKHLblO@&!E124cefF{cTWHGZ4Aa@5-{zY*p+ycv0=%(>S%S3iAsEd;*0UG#7L3ZGIa&gbK&9?sw(@g) z08UtLlK?t1}PK|Y)wBOdWa4gw6wtn?ar+V;4W|%YbJc=E*gn@N&B5TzgwLgE+;D$-K?}Xa2DO ztW9u+^9diNgFW|o$l*JFHy6?;%qEb_CcP)&cqebP5Pt%BUlU0edUl(AeW)wx=<_0+ zn4p-OFgMV*#zK{K$!hDK_Myt}Vmmx<$HsWMcN$eYa)|}EVMM{JVO!{n>ou&ty80@TKy$rqt(og?M8O|zWl{2#7OW-pmcCRv*Ma&Wxw;TnjA z*sSp#0iX+eaoHpLq6yRHvA)! z_wQbgv+*K5w7@-f5@dCvR_3__&wP`jcIW5ThxZDdg=Wn2Q3LZ$sz^>aN#LaNu=-NT zxTSqqI0!ECU1*$xSa;=77J0k38n!o$aw+Yi$DZvaSKPmUzJwvH8AFatmDVZpHW3&_ z%akYG7`b*jT!}c9f<8^F1+sGLeA$V%>}5bTCd#W&XFFXqF)U$rF_<9U?pp*GXKYp_ z0mKCG6hNwjp@ci$y+I2LOkyHVZAnvmnDG5rp%RN-VUxFM%Mr}dk}m&nB;sPUKsqzC z76bQ~>DiDkL9JY0yy}wKk$=b27Cc?SUYN7_f{+OR+F`-HXuH-ySNEp1J&`8nM5d;U z*XLxKo9NLVl(gR~?1Xh~6U*C+YtNS}4!ZF;FtZ>w@?hw4%BXy0)h@|ULI^I%UF4Qp zqO9-H&RZ@i4uA7Tv3E~no+kyt!4%C{bgK~CUx89^=mKk$+>_jNJ5{5dm?#7qp~YaK zJS0K63h1evq3&claS1f%rX%yjUrAnc);QgXn{97)*eyMg9_T|FdP6s&LMTubJzyf; zkz;T0OO|S93#Y_(q1rS?)qvS=@9KClZlfYwnkTN)wW~9wm0GRy5`m53iG>NN+Hs?3 zsQFu`MY-~K&ybe}?DdbyD_�VfE*%ERT(qH zjQsdQyyn2#w^r=|OUJDp-ym9zTXUY~I9~g*&)T%&EM--`E=}IZ1N*JY*0^tC5v9;x z8$K_@Nx-;)-^7<)8x;)K{Mj6R{4v!;%Kjqv@N*|zIhLgx?{a<60^(0C|K6`SULjHa zyf2fU@XV3&$(O{D{=xTl~04lt#ZylKx-fXqIUFmh%oay?pc5t-+SMsXyHaKL zuln>d{ddiHs9O15wgXwBKOv}7u=+CvACNUi?W`-+E;q)rdZ`QQt@|b>SDJSOLa=q& zan8Lpd#gYknyXn%I?d^0 zJb<2ZW6f|pGK1C6KO-=;&BsZ~j~7D|@)KQMKH<+G-LmJ8FHukZVP`f=T3*KBc9`uj z=)TiCTg&7VTQPR!Hbtgsn&yyfTK?%Wv7}`_NK;`Br(brR7e2Zlk8JCa_5|}D`m99d z2L}pKx~;F`#%b}0 zuh!uGWy#u;0YyHomoP1Nrb?IQ-ovtC6 zeAqV~j4b#PKq|I$^{n0~%>R60@0V_*qTP3P=L~ZfubE3Z#fiQFW(C5bJt24I3OF)3g4DFMtpHdj@C@yG4N=|;Jz1=4NVWW&qCM08$@U*(|!;)=QmO zWbY}#nvduk@T8iFt38S2&7_Im`-awzAO+7#`DcyNP;U{;EN6(}q6$B{qANdDB z2FnM(_V7#J%{nMgu9FVooXVrpVSIF%G&-!GydDhB3k- zvoaO3HK6T_$oHb&dp$RN)A!yj+MOn;NvqcXs)rBbRSIjW7U!~IEqm&FwGy^`(N%D4 zWWH2aH}P0(wyjGVpSJAo)H$?L>98xZVXf-+E*OVP8w!MkXWc5k&!$7Jj9uMmi;FWs`jHAaNx`jQe7c3 ze|(UpMS0>I>3`N>RlK^NQEyeKCQ014RYU%fUxX{!wr;DT9zLURC}sY5%;MLz-&g|a ztEr^WLIQq=rC#ewBqS=CT%k`HDdJ+E1$BS%mHz!JZ{pV*dk^E83!!M=xn+hZnN2RO z2?I@88a0O7LlYVdGtlX23=i0+1~l9Fu9{CPW?89)B1s@PMWTm*+{@CZm;;MtSwL^I zDsEA6Joj$6#I9gx^g+#wG8fbhHqyPH{?weoWoCp3KUuiV-B#<|8wmt&Qo_;9>i!~# zNPqoB{lUn7@Zo-c4TGKa4@cB*#PGZ;a3G_`SnE6H%oCW5Gxt;Eb#>>c-7H*?RjQg7LNH#55v3llT`4bk=rfXeuM$zx}d`mr)G zFJ7>!yvopM!nBJLr&st)-LKi~%+{AXW{v)A%}eYz?7geL*Vyi_*KIzT*M(xW`}NZA zbgq{LzP#UV?x%g#W8HL34>|IS-sYT-xyXy_Sl!ik^QhhVlkfI!xk`0HeP+|>Ti!Xa zb2{tWzBWg{?&_<4daINA>9Sta+h290Cwl6KPA{zLZh-oH{p@GXy5FYt*E@#0PS30D znI5~fyE^QT+t_8hTz5;^Z!z|Yb~l^XJ~Xoycgy~`y8Bz-&hEB7?sKn<<__QYY{lKc z$8PRjSJzwrj=a1b`0wi9gJYSC*2n~SyS!mzUGl$Olt!+t@4=7m?(Kcy%66)Hsl={Y zb^p}Q%*dHJxXZ6qEsXTjXq?{5qc!YnwcE@7dgPzmgimL7pr><{eF}uMRv&B@EX5E_F)ok9JxSrBDGc~Z# z>H*)(@>&>xajwn}Ic?ABK@vH^&TZL@zz;@yMxKw(!dBuB3N4Bdx9xlHmMrGx{*Sw4 zD|>75>@&pV17sT#OW1&gwF2$c#Q@(&a=T;P)~p=aW$%?oIo-lIPHVMf(2ucmCEmq& z@E;4#h8{DW&KM7SJ%0{zghVV|QnA|omLvh3CcO~+!u-(H7HR{XZ1(dw? z>9GM2wQ^alfnVdTY`Eq3_QUSI6kmYlr-m4_Jjx8uG3>GN$pdr&H89>6;|{1b81J#Z z&fsqYH6J1c1k_#D6*QjjwvslY3mwPTJ+b+Yboa+b#Rq4M6tx&T%~ivS&B-)?-4TyIg?W0S!2f zVyDrtRD>aYM(_{B(Q2>-+tB|J~4j4904fk#5rgP5#SpmSu)ok4w-!pK35NP({+8Ow;<8Ybctpf6Cxv+WMk`J zCtKATP5Ec@I!%30ySRR4Bf~`G)EO2(5apaXxr`K%+`JP$^MQylHHdT^m!R3MIJF@A zzKkj$8Zfm7gOJ&KhG|`j-ZK7c*LK{!{TMcXO+V89dGjOTr*T31B}0FBi>%?xYby0Q zZ8AR8Z4L^#@w@H@eWQonM2X69xAt2i|5EBocsD359H&a7OJ7Cd3w;ArqBVM%BC1g8 z(^~wijj5IF*eU!Eb=8mi*rf}=ld8ZqGGMM&vg=!MbsLk;X1uioDU796b>;|R`V~)H zn*dfoslR`2x3m1ehqVkCm+ZaK78!Q8aD0Ao@NIIq^`HXQEQNl;F2r5*+AYzqb=dN7 z&Mn#OkHc-D(2gCEGNp(w^MC(H>(VG`>?X0By&|ilgw_3P6mP^@S=Mn-Q%*Y=@U6W1 z6=Ntn4{{k}WRkg|(6QKCF^2fwZky>2YhGm`SsbKUFt734$#J=lNn~wv3h*$E%__=| zg>B}2hwW_b2uCNnd@$|8=R&}XyETj%7iyy%#l#_(5Sa??IIR(DTJ zgZlkB^?l_86)pdK+!rZaumME$Owe6v; zJ(J;=`)^eTIg8n={kCWJkbZIK}fD0!GgI>SMuAn5oc^vuw<);A`mM&8gAc zh@V}_vd1z)7_oyjV1ip4)5Y(;uKS7M@OHn*19}oH2p|r?`|i_j>$HP9*PH!WS9;4k zobsV%;&Ya9vd+`nw)VvCfE%uFJ|HdJ(fzy1xVTaGE|wez0N?AF0`7r_(Byy^6^s*| zstfsY=yeE2Rj*>*46PAtvUX>qIaxp8Ga_0?cDrf1@YIegz`$8^4zi|(%3uluR~$$y zn0okWrP2G$WIXD<9W1_-4xakl36caT8#F#p!8HbKC!51;=)00E`6L&th-hFp3Ecn0 z=pi`3{UcY07}UN7YBtpY4Qhhc3Up%!lcVS1V^fEn$u(W_KQC&|s(H*_6FDYi@7LcR z^+*2?jTHD9wC!{1*m^sBin1EtUoIf}iawCYE0S;&`N3bF-j|*CW#|34!M`WEPM6RK zm3P9g)^^kWhY?-Fx9&|^jvg7C`t}ng+;vK~1|>d+hS5Xa{h>serZ3`>V0}idjwgf`r;La#Qtnoz7_-snx z`rsRqbb)C1xi;CiSix~U+;2&JybD26n3Mb~-`Hj7w|?H_&+&@HkQ0<0QQA;sxp;r6 zlUpSH)Tdf6@!rYfGTfqmu6Gl*4z*i>cxg7SP!uh4j>yp~FXP6&q!Y5i@l{>d73-vT zSNDzIwaJQj0(r*O>!HF}EsCoohoiKtw2{bST3HmJpBe$RVIh{qZCS@Hdkx>YM!tDX zG&3>ElFjCPL&Gu1^3MZptTNfD>Ltzz(ixlnXOub^x$p2~!nRls6uFb^t^NiXH+T}- z!4DYs)P7*)WlfVvR4TTSl>5aT%Vp3n*k3#b&@YklvO~x4(yOdw z=k|iz#VmZWiUDx|2kY%~)-9**gX9E!%axI1y6d2x`e&!-Kad=v4X$t`U zQYNA%V{kj6?7oRA6W_Cawj7aQ=bvM6^S5tkyNLx4vLapdK?m@`2t4GQZ`frUK*tp6 zV+c4j*h;-!w!?68?r(Rlnlqf9GbsM~xanZ-Pv2+sZMb&ZwWWnBi$d_hKzh@t^yx&Z z8a2O-Tkp-;f$LoO2^XeFH1Msu&5BjYmTU!!n6GlJ0zJW<$$G(dGO1cM`Eaj=D;M6y zSI1fKW(8%J&w2wa21Xq1N`$5BS`U+1ar*jdd5EmNvJr z92d$`ia2kh2%w>FtbLA(r6^FJtFC(&-M+8r<19=v{BsI}O?H5Wz~+;ipJcDN$&AL{ z8fNY%2wqml{B$6rZOnz%!UoUw~ z2Cg|eyCckA2CE#@;&24lG5DY}%i~i97*bg7vgDy}L*0rpG--XjR7TrjbQ>9$0!Y@^&r8qWTWv$q>&N!)N^Tz#ZBGcD}Rl~NuV5;CTD&I2V zPP`lgi>H%y>)p>S28Z0UN7 z7hbb|tnw?r$F5|+U^ofuXcH7ZyZAZt6c#9nK0c@y*suwfClE7-QMua?F&qd`X1T9M7sD5~qRSmPq&NvkJi z^%rwY+u9Xb49(f7&ad~>IL3CsA8jTZGsb%>98W&KW_6hv7+-wpIZouhlUcyBFyEa| zcbLCC!LK^T)85?%8q@W!!RIIVTL>NKZXvBW9$SVnd5P*krq7e&V81XAwl5nNT8-4+171}*g_Q2#L7-k*> z6A7FsbhT4gGQ$>|eKz%S-C}Q73m)wPJKj#uMh=XKQFs~o#PpOW$F<;TC(#%Buh(D_ zhRISBSks-FP?`N`{qH=7*0LO7MjVb+w&Prqi6-t$qJL$?m@<+qK%a@$J>UYjG5S|0 zlpXERf9xW>iFsB&xep7Wo^TxIsePYwdFI1o%!{2?OLf?kbVo$f)@&y{11amP1|&Y< z2C0N~4ae;f`TzfkdU0RlV^J|c8rFFI$Q#Rk`(>JFH;Ga8Ha1@P71$%7vss`+PteqI zNF$zm(@@*z-KL(mDC8JhT^sNr+pq!mZ@}K0yZ^gx{l4(OT2wMcQ=4$C%9LuoXUEjx z6)iuDR55e5eah*j!AC8@9AGb$D$Xw6XI+{T!%?hdJb2EMIX7=$V#YY#`qzz_6C~4-PyjtzvlX7Dklsc6d`e`3 zkV=n-JuXA%a%lDuc|iImQ}lD4Qhk4GHL#PFaom+U!~xpWD2o-fa#dp~{u(x}k3)=Q zt?D>sS!|MTf!STdqW2JZ=g3cfAHxZ@a_-2UDM7c-WqqDROerMR8BL9rMBG@6JoRWgCooKv3uXF#>*HT^_A11s_TZJBRk=z{oP3>{+W1zU`r8eG2tiZV z%`e7JU88T;4&0(_xNm9*T(Dah^VaUYj$6Rzt$w94;8#^? zr%I_3uve>DjyAh$^~)}H>ALS>xi5d!C=-IAvU7R(TqUJTLzC}GFpH_@8fG@Lt3H+& zkz(ar!;xLjBY5naIUX49H@RSDCi&dXNncecWufxST7PmeZUHN*r(x4pD zLLYop6>0Lue9~X9osb%L_dM`*y)a!{H{pCKIiRL8GCF8T!v&*#CJHE4U(K>p2`o3Q z3tH}7n6Y=q)KLST#7~!pmVKgoHe`}JBl5n$@f|QyN9SK$OSFZgUd{z{5n}8(udjZ*XLUe0#{?g2FS|$my9y28{z~$1j-r8_?WS^OE=A zR^kdKD>fs7{Wyq;o}IuA{beXkC}JuP49%{-FphJJ9DxHjKbX*{U>(^)}9 z4s-U=k)2T~tUSp?-`xjbG+-CemPq_D=^o<&l;%d>b%;IQ`p|ipj^Zco4|vS|^ZnKj z+7u(e7OLahal04&51`5O0~5PrW7$x)@zD9F<1ct(osJ((!C3~H58;PSzXtfB%>3-0 z07H7{9k4Ai_eYnH_{Xa@|DiISfj7~`IlgA$R`@24{Y+AoN1oNTM;8wF-`3m4do2=u zn}(S&w1(3L{CzbX8jcg(S;(reec_x=hn$b8-Ewl%qK4aT;jW>$YH16enDnoKjc?l-e;_;brQo+BF7^WDv=t8ZWf!c3mybM+zFxg^kA@3)V>yJesK zxEZ6O6=AneLuJ|jMF4W4iA3kH zdLS1UGrRIz7K)RW!`9VBF5ka5g(-?6=4;{+_~ePpI9}3o;*d++4q>~^hzG1>ueoqb z`IMT)n1dN$VwD$7_6>bq&^&zac~9JA%QCp>$g5+_K`q1aJ+?>nN9!tnLimtb-hC=W zF+%>MECTUOWMBMT_H(rfwt~SwpW9O}d-rR~45L#n)|kW}7{TDeIPeNTcl-lon=N`` zvh}!s-n$jw7yDW8l)gU5(Avl&NVcK1?iQ}z0lswH6*zal0r&%8yiun{xVDX-ghHEQ zcHn#i_{smcT;zXf^qHbt&Sz=t!bP1DBepsAUH0x+v*}|j2K7LvO+*v_z{7$Vb(o|U z<(fY=wAAC~da%wP@<-a==u z_uR%EcTe=~78*n2aH94bR{d3~5)Gz%Or3kCZ!K`Z);qlK?#Vs+nIfi1xYS)LjaIEu zIMsTkuia6c9r+cf?rram-#}t4HFMkBmU=E92@Z1ZiKhm1!0gL6k4-I~Yh1hX0$gd! z>>S~Sgv2=>AMUdJTe=UjCz4tPc_%QKlX5U=y>Ffil8p_@&SO_jLPz!^FqHX!m5KUC z0rR;c_}Dd|kE|ys)C*YYL}FR)->2Y+pzsAYSwi7?P3u-=h?<`Zw0r~G(_`CO^>D6; z*Sg0cYFjaUb824ByzoYrLGQB45TnoSH2 z1yF#nE3oTMn4g}U4#q3?rZmzQ!yNWgN1iYDs#bPBfRED{GZBXA-w*Y>+_->!1JEW| zPP~yPJ_j@+;f=@Z{YP@<5=7%gPsW{dPnbLB+*pQm{|yj5i_uxC!Mz&a$=b7cS31?n#{U!5qw;o6OG;diLp`MHKHG zW}!~_o@iR=GV@bn`OXHMU+zKW>Ao&Hgon7`*%Z56@W20VyxnxXHaGAVo)GyeaCN+s zbCi>J2H$Y7Z%p31Rrl1X7SdTtYKO`+wrp?l+}qw}ns**m!IP12la{4UxYTcYUk%x< z94#47DbE&k{PT0!$ip#Owr*~0$!^GkaM%S|WQ^ih5@m%Jtz{hOJsBM2@=fEwOq?8K z$~1IS zOf(X+ooi^0k!9?~Oi1?n8ghR411dPKF_ zd|q!Yq<~?=Av~ZMo!X_J+czgWUF7`Z_`*pIChQ|#K^LUFP=7!e$JaYDtH;iVNXylk zHw%8=KdexO-ZV?N-p)<}-GrFT{$&5tel7xM z0m?SfCQ_{cT*O^2&GAs{cYXcGMiiqxBoYVXEasfR)EjdoGkX&mL~n<5Ofe=v6TKx- z8yPLnktyEJbkW(YnbOBtTcvH;f`7#SO{NW4zubob1_!!inurZ-MBkUHNtw3vgR`h8!rI(ljdS;$87 z4dcS_P@+<()O+;i&~CS3fdJvSwQ8GFD%D1dd=r5VEVG`)6XWSo%9LiE!=EeITb6fC z^x<|3<=(YduwHj}X3HuY@(n4@3(;3s7_d$0ufKXbeWfm$6a~!o@c5t<^&DUj>V!LS=OjYlbZEl7zc9^tnY=b29*EnzP20NmEOo2 zaaNXvOI$;9%yHaog;%0?Uphb&ba5GGXOOgq$qdl1!-bW7pkSC|IYvg`FJ(~wSLXB6 zi41z^<}ES!=&q?TvW}DaYr4)v9us<0e;zlF<@Td{TxjCk39j z=$cNHz)3tB5wd=wY0udhTR9nti~PS_FnNTDC%^wgo7GbOjr{y)%5aHO_N1F|Yq5WP zprwp-n-;#o`;UBGL6dwFXme86)E}|>e1#^u4za6$ZKC|+J|ba$ww3?# z{#0O|8*Fxo?7ZFW7K%f$rp?*}M$Dlj8pDMs;P^qSxJoDuFu%zZfoGG!d*54lHoO%2 z@}76#`rW~imGEUMnKq+FZp-fBwRwjvMF&!&)M<^XonqI*g?PgS+1#XCsJIt)?OL79 z_*!e-c4^H+SW8)_*gczvUTj7l*v>8Yi@RP!2hQKXjVjJ~fSwh`;99CPpTpzPQO#*Q zYmo<89_|q1S$GkL3R5vz=n#Z*Nhf@d6wA6oq%V1Vs_bM-k_$TSf5o6|&_kE<5~{o( z*LdCXq03JWty^=D=EAZtG~Ttp)wL&2JtW z<6fTJ>^n{;y5iiNKEbodv25o@*S2XuzqHGAejZ(i%0>ZO-| zOuyL0XFULoW@Vk;DHJKF?m`p5X*sc0}WmvEzy2ruj2B0I> zT_To9Q6>a7%of4MjOpkbX8jFIg06o$<^-!>6NO5gc6{A421S@pdUtQxFTJ~_b0h(B zJ_n5#0G)^=DqY}bWw`8SpZd)F;dYG@)FjiyzZ~t(bkQige?(W%d-myHlw1zo-|ahI z{>Cga9p7N?uieb84?m8X+G9y;CT7+`3M;hA1S(4YCT>W0EN*=%qu=G1r-Hi$PX&_; z!U}{DPK_hpuP5lfO_Uqn2zd17oQJ*vRI4>AT^c(xQ5OxhQKU5Kb-MRRr#98_o8xNL z&C~hV#m-j?uNdO4207#R-ymWtAT!tCIPgsSmSn}P@qLE7T;4rWJMn|qMTsEC19x<#f3cyjy89y|Q@qgX=uC1h@MFX`01xAZuFx}QhH zKCJ99TZed-ZdusPK!>*~Se7hl53|^o8tF5poBOU_!fdMx#=f+XKRFxOu1M@_2U>7G zlGw|feuIkzeuWrleD^gNF@2t`mVr!VFU)e#bS$(yg=Fi&n_{uZq$l=knn|-W4+X}_ zg&cb8u*$wCMqm<68SjL3uxREwwHQ38hLZ9l{e{K%m52R+w$c6cqk-<^EVT8yiU54- zYAj;Lya3|Gr!XKV4>$w!rCd9!GIDADAn8b)1Wj&}Ao2zCOPMw}vX#JD(Xa_9jk0>G zCnOF8y<^RsJPsJbRXh5d->4W_$#07(#`jkVM1}Lvjt!mmExDWBB=T}zm1r<-ZsM8D zag`zu|1;&9b3gP7Xneb!K3Q11V)|M;`N9(^37JI1OX=>uZ0>miW#BwDL8gg_L4-e`~z~^mWC-~Vm=IN`CLD=VH@@DSag|=1t7nRizQOt&)}|2lru7Bb zuW{U5(9F^S9qy9F)RM zt;$t^+(4vqN*}<1PW+%4^+E-~{{tg`OG<~Y+vLQud_DXR+nCUcEWGRk#bg#ga_sn{ zx!=~9_dq!wtF>lDcw+1NYiVO+#PaN(n)c$aKsK@51YXm&8D(M%G>IGSU-|?AQL* z`{O*(v9UV8j-_qWCPeA$a_;Z&2#!*DBAm#bmiQ4$P!}<7>62Cu(PoLA%CWfB`yZyuB zV-3UzqG?t_-GZrt#Rav6pDm=4toISK`lf@uhIP|Ic_=5TK|2PrX!=K%c{fg?e1n>k z3RMiBn|o?fO}s{JqttZEPyEy`T$#pWSy`%v@?i6xa1eOe?JCLrsbyW=dJDKGbq$E5LPERU{9_1eZ6 z#}sYG=Gc4mNt=N04l+sCJWc5_zi&?)I{WOKv20>1glUT7iR=!zn19WD9+$viXQ#a5 zG8+Ge_@?<)M`Jj1ngJ z`1p=n`zMclP3ySKL-?MJJq&{v=pyfh>}kI)Jk(nYO7H7+^Kjrlow);*C1t(;vywqT z-AaC|ERC9G(KyUPvRONKF#9o|4O5utHKt$Dx-rsLmd|#gx=~H+Gx8vc5`eU1AOGc< zU3YNDTl`?msWRKJUwAGQBp~NpFFy)E5|&hOso-egyOeGdrrSa3fzf~dZ@=N2ZSFud zZJLrI^$kZ)aB);QHQnZ(H^&LBq*g0cQk)p4&$AZxa}KPpo%E@_YM}kS$;NYlw6m$Z znRu*KY+kd(ArqwLSB;EPS}19|?7qRb2iAQ*3g309S5F?Bri*cbCEw-NAJqG;vp*M~ z-O6o?T=e3uxcouf4{f(Iw30oz-{9~ie7N1O!MG#1AP<;SxA?#RSGmu!Kg8H9_{ZAe zgB?dOa-HON9$e-=O4?Xt&Alwa@(tp^?OE#?Rno{BThf+{Xx$f1TN)du@P*s%^Yh9- zZrT0dj64fHx?51OD>IDn9>b%rnlsHmPcPB&Q(OHW#+F5NF`$0HYJ;u&>DjX-Kz%3p zkQ5w$enbR@=Tpn?004B);KU^T_pthMCDaqX$-uT=rujf6rmwI&N2idO&1g2;P|}|0QzplBCLUSiMEpAVN;JW}OWsmW zbDULXxQW*PN2z98pMOTo77-?_Re@Zw`VfLynw3cmo4BlBiH19aTZ?DmEd_!#)?k<( zhyc#YECQe4V)cf&6#N&vo%B5qxA5@Y?HHY=gA}|obi-Bw69Phgm@dr`f8Px*;NBcu zW_ddrNxix6BWBD!^Uz{dR;$ZB6l!3)bvIXEgPc%j%YCuqZ^yZp}zJcK;QUSpe9lAoV*)@t1| zxl!ZvD_#VA9+dDRb2o-1>V*>dlfxSSLE5l!iKPR=bCk$>1CiaYKhO4`+ns-k@c9rV zCUOH$#9~vD0LuKJBGG5K*20neMIPm)!DUAJvV3wRVQU{{6kNu`RF%j<)8@EDjkMv~ zs#aOjyjkOw$p_J)R(Ea@i{0PF8D@ZVn3*nPKE?jaLwd%%21xgW-+SM(OYJ7q1>Em_ zbun8kP&f|fxsb?&UVl8`CfFDet4*iM`!*pH`la&M*O@%T0o5m@_og~F+B<|XdH5tH{p05OcdwMQ#$Kt zG&ac{q=Ys7K^l~)68CVN{7!be1PL(@gcqvq&w*y;FyO8vu3_m21(LOF3xM%$DVfHO zSiyEAG~}NX38G+>F_zc$PDd~q9tTRF$)$Agp@mbWmVK(OELRnl zLaxTQit`U0T4`?S{#E<(!e`RtKveV^RE=p|QFr9Qeb#fXj1&>A|50z1ntKXgSle#-QN}?UuTA0Eh&XC4 zh-0IR3saYUTN-SRuy-tucXOKRUT+>Nn*B^>k$T0W0w%MVGO@GO2R=E^9 z4Ao5XKROv;jF{Mi8E6ESJIDF#nXMW(yuljhBKa}ID`!Olwn6$Rkw_b$FqsvbK^IiE zZ_qhU>n!}+H&J|02A1o}PkDGEFgrz1n{V+NnuzwSm_2bSPTGNOYZDR-fo^4wcr@`c zDH#ff=iuq$!zu1*FLG0Q2!b)f;3THfr2VxConSSypM>c1WxI$gv_`6wzy;t-p`65R z8xQjHO0L`|)ZAO2*+mZz?4hEm9b8iV9h^<}%ZLaPP$r2<6x=%aM%U~ndRkA5HsJue zt~h~F!BKKFUQFRhf(cd@nx-QTTx1UB6$e(tbCMfWaIk29q{Sq?#VJk#yKg6q6%r(Xl+UOQCpe^cT8*EY33q(J z&?!OP54)sKgwh<}z228j=@{yCo|(EDrKaU-u6UqB=~Y(leOtNeXLImb)ZCe{2TSIJ zmU|l75}uG24CnFr)$A~6$*YCdF=p(tupcSb`L$jDGx?&frR$}2bWMv_g)B~Vl)X1Uj)_1heSn8tQBnT6Y9w#8oT z`WAm{j1G*j%u+wmqMFr2@( z-kjE^BY5oyW+oDN{xKvU(cL7|xCt9a%L4%|ZYw7UMg*tq5CM)%&-Rq^PtD*&?xNtc zUp7U2gKyHz(7*;KDI-O|qi>sFP$@7b!VU3G@9K^3;Q_=ujI*`K&_KO`d<1165+ei7 zgx^|h)$p}y`ZvdTwYjlQ*2Naoi>w-- z%*hsYk6yL4FI`{!e0MamR1W3ByMYD=)YhT$WZ`oUJ;|;7b%|?RR(iX}f1bIgH<)nJ zbc*A$Eh_m=bL;`!%4VPs2A2z@y<4dvcFl1#7FE{b*S15v9^lHJ|L?W8EZkp4q-@?2 zrq);JC6Z8cS|rQfvs~>14-VZmtO%7jw5hhXobqT(lliViCl2;!p_;Tw(X7!5E&H@w zTi8EgZI)wf{J}O?!#&9y96m(DW_Ampv0IyXo8xgtfT9i#gjrB#2Iu*|duq0*dRdr- zC%j{%!?SiOhf&#Ta%|%M;M-2_FTPnqpy_O}GTEPm3Lh$;PjPni6>?xEsFBTqDE7*K zTi(5pC{vZk9NKRp)v_DSUXv0xdUM{H?g=Jxan|WO<#hRBv$7pxtWcmbGlthogHId-#D36|bZ&`U}99#kCK{ieKYgAvGX>2eLz~BXLW1V^@ ze$cpqiPq}f?%nP}YBk|@>CSdXEm7d65sckC85gKvzbh1`|2=5DvM;e@`ACt(^P&VxHb1se8i+-WADpf#C)oaj-) zB7_Dr)fY)#%)Vxr_BZ}{ugk2nOstp~F<{)#TZllwPuZCR!k@uyLRi5$DVrYq77CfG zkmkoXvAMX-F!$Y-aPXXKUNwAA1_w|2Q+X9?-C9b0t-#`Ic-0@<(=Gh)$)EVO130x6 z72ge4NS6@RZE$amJXVw>BBNz?W`qoIh$i=-W=N74=i`{PGjfu{8FBj{P6Uj)&AVX(QGO~obOj?|P%-sDR&a&eXQM?qatvqA&>c#Mg zo4_&dJ~KJu7OQ%5VwNeB_bCJs|4cL_tNwOhUMr@J)G{xLJPLGx>BHDpirJ zYR@-$l*C63*QzvW`L@=fG?zIC9HK7QfQNN&Ox(7aHg)D8WV4vxTrl{$qX`@nECvFr zCYzkJ18hllNsVDFLNIhdji6|}tRDAGsg_b~R0zEBhMD=uIeoAQS(YpL)5uKLVG8Ke z+ADc#wyZH?Of)#jx;WS2JOfKjxH6g?^V!p+c9V?NN z(ZT;ybU^CBPbN!~Pp5T`DM_~d#wKDk8?xZqVvsWMVw zuUlHF3M_5>m6xmN=lo=2*zA1NJsi7dt-rBgtk$Pn&K7Fl^%}rp$+;!(R(w-!bj8j+ zu~q+0FQK=ww}YfHKyDKFD=boydx zmgI`t^#5Kp#V_qck8ML7tX8Hr_7?DMrx~d&Jr5V=ndHRNBi!2ciMb{+W&Ssf^*chO zhS{NATPJe{@4IZ7pcTW5m^7MaL!!pZ*};c|9)pGaKe3|ryg&&w_H3Wjl(GaO-@SUg z&3-aC-qO97WS(Dqy`iTuG&^8!BHz>I+uRdv*+yX?^B}kl6417_+>P)j3ruG&)-#ZIl+Cz#5WG9Gu^*yvQ6RYoQH%9Aul4H_#;$@H^Ih+joIdGdEU1 z;?5HH80ij5pdo_hhI>r|(|ETKgYO5Q-mzv!UL!+EmigiOQbe0^NXi1-m?p0{Z`73X z(X23=ErmUctmR2YpNnVa!*ck#Fs%fw(7V?}v&y@XXX!&YIL-yb)UMiE7NtYB)_0wC zVYhVH|9rkZ8}CBO%((2+jvE_F`E+|z6R;JBB%Ee0mTGt9^y>zIon$4rbdXBXytKF=`Byr<7EtFktMI9>?(eXn-O9Tl-u|wCHRZas5WdThK;#V&{P&C zyGaVpjy5ntcC$$yioqk|$i0gaCN^2Hjk^OkE5PflHZFJT)LUs=YE*wJ(6rScD-XU4I9)nAG34xQP&pr|C%T${h=R3FIzp18&KvC_H93L+Uu5!=bD77nUPi=L@td zQ3F_n1ysFU~rq z%<5>#TWk;w0(Jwr>y!^m5zal>NZ2ig-=Pu7>m}Fav-wUsOm#=}`0c$rD19e^=KQ=C zz4`_|==qQ*ubqB@p3E`tE;kMK7LJK!#Ni*)Lg@O|B_p9UFex>m*Wy`BO747Rct(7H;37zDryO{0oTTx+zGnx5js z;2UYUI07{QF#Yz&;b$@ZVv@>nTw`v@Izf}v(``qm^Uhmy)j=E-QmuLo7bVMiG~0oC zgtaA$5Vs7bf658V79z&CjEFj?WD{e2i-%jKO^oyOIUan9l}Lpg4z!kj+qvs0@6OFg zD}mfMDWC?Fr@hx<>(+1;gKy)`G-p-7DqEh6rp4(|8VPeYot1SBNelAMC)xP5XZsEq zI#6VDnTz5ra~{=5+@qJ;q)B`O<{VP>(x-Hfg#<#a$JMGgX021bsI9A2-0B-oD9m^C z>J0nRlTu0(D}$d+%f+u)YVK^9kE-s8G%@nlu@$9RYm9lgD5iY_)jEr*J~U+s0kVB2 zwx@Qf*@eRM%tW~7VZwWPJmjd_OWZE5iSUXBm6lQK8X!>pb0&Ui&(Q1M`vh>A@HOAH z12MSDS3F+ZE^9a>3AdFB8lPd0qMxg95;dAqXrZ&xK~-#16fLbky|x-xd`s+xC02xw zG0twtF2P=M%ugn=S!C%&o^SR-W1G!xZZQmR2Q=YT6`AJrEygRm<%B%Tq2B@?LLdbO zdH5khM-$Mul2Cu(rTQZR^8m7k-8}ZSybJIIY!)pfCtc9HS+#BcKR1+fwSW@7DNtlc z!dRTrl58lLzH<~5EA&@f95sOGB=b7|&+l18*V2FimwFB0W|;UUxf^w!n;F!fdgHSu z%4Ehui){wwcJAP+ffxlhbxO}527K1(XK_|afr|!J2KchMYn$|-{KRVH%LELaG8q$q z=_V?fbLfaun+DHQ%8zOMXxhH{;!~u~s`2P_;$hBoCUFyI*LDh7_w7 zcylMp5Aw_WQxZXL83J|iVj5XwLB4zlH^t{MD$g%?z)diat*zCl>5tJV|W+2hW-=J=`f#CJ2RFb;;d zXUe=(noJ+2uPn@ocZ0zpX2x&M#j+vcYreC5=AMsjE$h>74^#~f+wwD!MGIWkm{OHNmwGv34Vth`N9?V{A#cbus@!EPGKcfQr)N+Zn?fh14<&TP*Ajz30?$FC zuq~3IC{bvU4M0^16(SDA1P#UXJ-X6Q`VNdIbla&-3xK4b0}J7|t^J0!&JerR2i~@O zl;)DqdYm@s*~LRok_wNF#{ADH9St*5N`CWh9>0F6O?3DMS{oHfN3!%d;XL|_FjF*^ zVDiGjB+h0>od=QF3dq|Q@ZfP!bOp(Yj9FXyv=+!#>hGV64CXs`#hvQEAkD>2S?g7* z)hpGiX{`%s^IqTlZ8bXS+&OynmyYbD?z%^}M}5&xT=X&L@ywgHSy$?9kpay`-#|kr z0#VgS^R3=`OQ(l1>e338?s;NnO`%hybgA8QhA(R2y7XIhri7~1MI0N9FYfc<_Dbd6 zvO}_BFx{-mbE8xn@Ni?@~bF6y`G@~^CGr*}Y{Z+frfbx-u4efLW+7PSJiRbWi_nB^Nzha? zWNs=BCSnZ;8*v~;D5Ct^VkL5ISs$YVlrx~KI7|{Hp!+mlO{Nq~#=tAsC+)@T52P$; zPp|1jq$3s++l_Pgb3s_XGjpBOvAdJ(0~Dumu7n3vME`@~oLDJ&Ma+}hzKNKUjzA^} zmV)GPG0{XAnmE~*%%E9Lk%fsF0=nf>xdXY~s2NnE%oQaJE;}m;`nMKeVsMZ%`KomN zzz0f%&4FIKuFDQF&FT`#ziHd0*h)M!S}9Sc(1sse=N!pW!t&IVyg->Y<08rtxWMCDD+rD5;3M=G6|X*UfE9*ujn4OwO_Ssb`wo3dqr(kA0-T8Ik= z-^?CO#dN5F<)N)AC#%Mbw3d&y@#xGKCR^t0K*MBqIrTWOwmG4AH+|12%nQ>*?1%u^ z9Nb;m=ouDS^Lfo_qPd!X+h!i~`wZL-*-33g%4ZFd9c#)c{%rI6#{P6XB2ocxNav`3J2~tH9X| z=Le?@#QQxYVtbya3q%d2hhcel>$(3hmi)}Fk_lmXh3D(hGn7L&t~3Z~1Ljj|pWQ+S zwpX%b7FFD3)=VRAXpPq<0cO^U{TW#-PRyP>tcABs5amn~_C;p?T5&x8X`Gp!B}e^v zYaDh-h*m%AZx-&35r(eD?FP&XU3OG|92{K~Z7(_K!|v&)zQOzLH!YfW?z|5dG<*Vo z=I6M_JMNY1yvy9U^nJQDi*D1QyA|-k{yUIMhxiJ)>O$Zg;Zxs);Coc5)G3?OlhN|r zOqoTa<5XERbv~+0t5q6yfxWg`X{U0q?>x;H%5}Zn(DK{JyeGA|Iou(hXozA#(sI>% z7-MMbdTeT)=HNQ}0LJN?BeqJxBVBR3XOZ6=(S`1w!zECZg?+4$Sb^z6{bh{aDCl!?fUyb;c-gg}Gl+c3i9_ze9}Z7KQ>SP z1cdAlH)tK^%R~U|iHHY-!NSJfe?868 z3QHco33C|nD=<83ENY^`Sa{r=1p3O?p}BvVK^;|YBR$aQA8`cd$zX$-L3~0^n5(j$ z2y^G$w5-8AW677ZLv!A|wVWlMQ(PSSF=Ff4cGWf7V(E{oWSb40`RZrRrkH8cb*f8+ zmtxf`epk6Yxl>tZ#bPn3X5*Te;j63T4UJV?t?dz8_mpSBYg?Snq~4JC);Acpwgt7v zyOC{P1FPYP?(0UC73d`*3u)3&rZxunz4tM+|1Y`+(YKjc zM2#PUjV;wcYk(5|B)U@q64B;8w`h-1@gwx}0tFCOC>68V}Bf1qgo9_N@hhG7+57HK_X$CoL=q`b_NS zo8xw!So>q&a574L-03>Hk}73@<`~>4{cVQvn&1XFPO^FYW=;q@F#ZXY@Au0$Y9B-< z^G}H_j&ZlK6f>k>5p9vJutoIV7Tq1+1Z^NAVJ9dRGe#ez0(YkmpMU<#`Sv#_diG(X zU*Mb#9vib8CLP3D6Mi7LNG{6Ml+&MKFvrpI9cxePqc>=5en2~|Z+G3sTWwnS9*8KP zTd3cBkGI`Ndcg(6`=yI&Q!4dRtx%hArdgEgtu$~bYScGnnxRJGRa`2KQd?!Rjs0?a zUG1QkY$X=_WpzdaZ&Z$)@QiD&SfMRbsge)zRG)J9EHN{1YR`Xf4yjX_c5qhmAu-nT z#Q)s{gGE2To0fx=MhZ{KWPOqI9Xx@c?Mo{TZ09jVIvglC_iq897zsqR`2ZvVpjG|= zD2e_J>5L&SaJwYKoZi~~LAgzI_GPZ60hT2veRvL{#*mz1((mlrl(n{2XR!QIU8U8U zW8))+cfrKUOQ*88Y~aCJ`5~5!j?IOpYzgox?&YjhL^?PL>Z<>Y|1fM#&lmS+J6kHIAHm%S8&`Ctrc!ejd1w z3jIihdY@iUTPv;B@ozq{uKo0P&AqcdSrhm%n6*=@798NEI2P~Bx~tO2hGD8;vMt{T z4Vxpd?KimXYUWP&B3kHm)buINB>O5|Y`+Wl{+}2VN^u^}<@XW`7|g)t6CWI{EXz3^ z8g1HTAN_5+6ulh2fyqf`YbdmpU5_9sdi1HbDk(s4Mg5Ood*XS}F*oFi%;33DIo5u| zSl%~s1*q(~b>=uHp#y)gxi$Ixi_2nm(ri#$c$$NKxxUMtH!svba?vK5-U#>UgXgv zoo97IH-`PXnw^W`#`oPW7m-f^IpLjW}Z06PE)ik&~- zCp-U6rvZdkHR$R91JzXRc5d5&E;i7FWCSKAa0r4Zh=K^30&Tlpw^nuAuC{$c)Ky)( zxVdd#?*SN@p{tnyuz&;8Z0}BI(Y!q;`x2*H3ZC>%b0hvLdASP688h!GUxZk=s~ytN z-7+V+Hw@g|1qGFj-1R&HLPcam67X#QAbBAYFkk!lrgBz#P)Wb5;hyp@8izUbs~Udh zEX*2(-*RiN!r-7skN}wg02&cMLnt`z2mSv2+il;z^-f-m#&)*3Y;)hStEbf_PnyKF zV$`W&xIl(Q5l9X}ARq*?LYNOsLP3BM1cM?Uk_B!IHQaG{&0Tq(0$VY*T?Kass#GvS_d6 zgEQCp09ybiyjK1%DS*`a_%BkB`7ErVM2m0w0YR)4;`p)CrT;v4&Rh?Ri=)yjfsqq2 zZnwbW925haSuTsM!9X~7?&^jzBK)*LQDPEO&bDHW{ zEkyRK%o{b!D9J@pvTQPgsTpNYzf5K!H>u@Jn2xTq%!na2e#LudZZQ4jqUe~$xh6VM zbEx_399tgezI33UF~cxzNcCX__+$Uy(_Z-+YsbImIh~Uy3&TlqShy(m;N7;9p;3&y zX`!Nu0s}68&6_YA`;p298w$RA+i~g#4RyX=&=0e_{gU6wXW&Z`NeYUuex(5me5=u4 z{`&rMrYj4H^?u3ou4$OL_tnesA(5V7o}S()SO&T)8t=W^@tRtgFzy@r0zS;n{(Z?} zWwE^)uoo~}wlniw9K+1MdM`1(m~6%O%XWs#3+gS&?z?&3F{3V;8-06A)N4F2GLXl0 zd}EA!18wnVeqX_6?*($7j0GoWec!=&uf$kgG?5z~(FYlLGBmU6GQ|)ptOyJX_HDoI zd0V?_zh2o}JKL4)vtE0y!!GS?9c35w)()51uIg`odfB#h)K%RJuC}i?^>rIhx1Dao zjpkGJ7sFh-cEt>;;>kzk9E*S0hG-(A)B0U4NOFJvNV@JtS{S)9aWQCYcsm}46~=7H z;^Q?NoLd^P+PJM_>|S$XH!$`ZV=-F1I1@&O6Jx`>xAEx6(yOJ0$sIcj|AKrkckz z;ZM_=WVF;sblc%wc7KEmB%ZGKLZ6#IX1KDtTcfV&r1SM4TmEfZM#Gitum8GlBWh3h z;?J7(u-@PO-sF$dCbg-RYJ^ADs|hPUwXqg(z!(*Rqc`FH%}bYfsH0M+Rk&2OIzwqx zhZN)Md7ph|u(wYrF)&?hs;jMD*vaDUgg5L;Y%7EIVk9fkvRjqTZnrC~JLtD!7l$^L z7j8UdWjwdyx@7f#bah@RBgo4wKoz^kSQ(S^XB`$TiTpa3RVG3}jW81}xS?6_e!i!J zi(9zT*N_KWyQt-=oU+((cUATBfyP=;LT#i{dE%Mvf04*8{xYWY10-y(L^%C~r+gpW z3;k9n5z?}rWMGTMb6h&lqwO5lpz^%rs7*<-#44l$u`bJ(1>hSXTU!^|N+KhX+jmuc z5#@UY5}Z{eU>4(TQl%zht>(_%M(Bo2^C(6b|K!i;GT-)h7fbR1Z7(K`10_3ANoE!W7YqyCl%5;-a=7VgJ z)fK)0zJyef1k_`S+qNu8_@Ate8Mh`Vj@F{LTF)ngiEmE!eGkqDT}+C6UOkSZ@Yv^w zTwlZ$%S<9yss7&!D~NxoP!}kK(*DV_*KB!)GVyA9M51GuXD?|qEbla}cJPo5aelz5q=(qU*x2!Jg4`nZutq*^poQch10&EReOqwOR-%{e4){)Z zc-?@2n}UWMV_&l02&+e-!GX5Ym=MS^GS|h*%cbvAnV3PVdH(+qr`X}x_BH$lnzWB( zfgLW7^h<-IDMkZ_XxU-oCB{Ibr9Glxzm;Qt-yhx>tu5=TmY7uc5!o1gxfRF#+b7pj z$#DwL)CC-;QdPm#Y73rCgX!M|ys=vM?EBrOeks)2bT*~Iqa1LbT2{5V zM{kM^! zKFf;`F0ar%Ia$H}aV=ZaX?3T&EAIT=|CYn(UhTao9`Pc4^Z2f^JJS98_D8iJEv~Rz zU$yD2eVm_D1zB&yL+U*JA@4m$(k&NZxu-gWp09xGU%-vS$ zg{-sG5FKq=rjIVUbM<1Zj>~&3ng`A} z16pr=!BL~kkSbt{`D4nz#`7#86^-dTFp=XQK7a`h9^47bQ(wFJ2amX7$ogNe{_U?| z@Qy!1@8>n#odU*v3|IajcP@!njcT!ksp8RpjrGOz*12}B-b43W z7p9!g?DgwGKr1;yf!F~7AA@&xd>+G}cz1xnJ0AgTBifEm?gMSa{5Fb&b~hm8V=;n0 zv1N0(IckIA>}KBJ>ge3GLEszCZV>R=z^IK`>^!*K9GzqgyY2pT^j5J25z;n^&=WYq8%6_Ug1-W%H(71CrREj-+$+YXF3XIa+3X%~ju(+P>rrkRcsB=^^=d-I?pTJ0 zf?dh>_#a&?_F-*6d>-7;jd3||Y5|sY3SlD&mjsSC`R7*@Z8<4Ld0zYz_7&v~{bFHB zB^1B&ByOysNE2*s(Jr&$Pdz)1@zM_t|KP^NQdQuAJZELg0&q^>t}LXhK|s2|D!QpC zz^A}Kx%F5q4CPxs0E*n^%af)4l&Q8GbHi-8^jbkt-#>n3>F)WPjEmFoHhk%2qth7- zG>=IeOlii~gBWBVzr47`|73%;Q10M^JabQQCVsVYO|^C=<<*zRhM$GtBIz}d?M(_` z+pbnv;*=U4=jg8AtQD`QxUI?)#XaM^g?XLI%YaUhvIO+R@{$iT7FWO$wc4Px`uW=2 z?)n~!tPB2ILN6_L(7CvsGGE~>j`7{EB42P<&QJ6i4S#l-V@zLTeC?vA3pJ@08ZSSH zec-~%M=wyPY=ba~xFpWM-1}ZLpdXFlUr+oywE2VXv^?Af@0@+!{RVHvi?!Dt0f8j46Kw1PzJdq|h&d9y~yU7&TDSfOj6^sElek zCk-Mot-f*g4a3mD*Z`6Kc_M8C!iMvI@|}~ytqboOm`w?;#OBmA+&eIaAt=YY^B}l= zAb_Ae<|qG2G~-kAhktiq<;%yhGJmXio*t2pO>hA6Ph_b&$VZcWgRZ=6`#TMRz0?2? zkKY8gi|Y>9ypD&7jbUkdyoLS^2vhgeYN>wddZ}_5FbjJ)fc!Ee=Js!w{81lLrgW-d z`rpm0gws{^tDNIQHKu$jRWMbhZ?*ZIGT&9a=?4#3URkfbJ(hpg>1%q2ow=iS@kQnX zY>J)Z{4~Zm=9Zg21&Qlov^FxVcFWRfX7fuLm(<;vuvis4K1Lu0&EmRf`CNg2b8%Q( zebIAk`ainM-+9?D+fVs*e=jP0n;Z?mJ^t?K&V4Pb^8KULeQfz#fsseUp$0!kM z7!t?D!m+-s!mYv)x079+p6mo_^>oF%J$ni7T^PI5z7^|0CrHcR%`+2QI=(dAnNqNs zTD-Rb4wpAD#*+Ip4r2?^VjXK{0h4LgP`*}G)T%+m0!h#uJ77tf8tOKLt(sH8-#N;u zwL!$O;usL~*S`sDVFh= z1JXa!0a63A`(NMPz`cX{`D@RgUYqLjJ(dG*%KrRwHb2yi<+a1)k83=Ug8?z+>hkpi z9=^8I{=>0FEE;SB+g*9n_k=BH*QK!d4qTRzO@oi*ulxxo z?u@l}ossiSIi3EyTzU-|cXxjE*k}FEMLPVo->KoRlvZh}O)FSmoWc>*@Kw4tDNvo$ zrrs&?!W^-DJR@jZ7*~3wjWI6GtWrYVl*E6?>UEQ4S4sQDsZG)Av*#kz}LO*_;g>yDua4d|HktTi*jF{TjwnW0_=`=sgxP zMrJ07WBiwv>%|8%g={br-|;c5L&#_4!IvY`{Pw&Q?8}@ZH620w3l_#w^Dpe@o@kr$ zz8Q`-g#p;G>cvfe0V0E=uUD~2^C`h?{Fg+4ngciUN?TT?dlk6y<_*gVa8NDr35i{b zxbP+&=5C&HIq#0Ng+xBT*V!WRAB+4?K4rqDbRORQ$9QA-p-7oO7`~m3sMM4JEFCBc zxAiA}NXDo(ly*KSf812_EAflkZxf`p!%lne%J%&JC`KsTr5{(|(Sb5QAAn9B7heE;huIzJqZkN= ze7li1pcu_8jO@naE*#yv0pu{SLB8e~9fmbKgk-)$-k}-#5w`W(+`vc7!OQ`pjfF?% z+5YaDb}WC`9SxFbT&UAC+$fg4k#!&e=wdo2LgaQVZRKZ@?+{rGUZ5wLf4}z}<$$)w zmibU2AHIX{@m(a=xbhv}9pwrC?qJs%^NeC*DJSWpLr0nZctFO&r@pSfJIS<$g0(+8 z?am(7<7}d(Wg}J9o1;?VPprl z<(D<~F1;*t_bFZcqlAXP7$ewUg8X~k@ma%49YU&N*tvn>{>||Q@|ggHGWUsgg~(US zhy9iGTOWO&uPF4(K12}3&;L7M`1~Fq3BP_8hfwfFw)Qed8I%6+Smkqx5YjE-oVV9S)A<%1u(%)=ttaZ1ZwqxhDQ^Q@_gY-oIJ78>3~fW|@h@c)gG^ zQoAfu&Sgu-8GHG=zo*cOd2%mA$1=$WQWN*_8ZeR5-@Dwd8IX;IW9EqH8O`};S1Y`O zpX$&a8~(}L3yL*?+d1iWoboet-2O7Y>O|1sJNsOEvO+uE$<3D)RTZ2PH#jF|#U<}$ z83-p91+~Y`<|Y^M;B;xxMf_y{iQ~UO{C&`shes57KuSFI4St2^sSMT0`hI2SU)DeL zwDPCrKutgQCs`cI`_VLVN?#v9_1xaWzprMGNn*0^ol!LSMxzr1oH@Vr1Pt$_1HQgP zHk5+>r?JM%1L|eIGkn8Kp$T^=ApdlbqRfx}{k$~{$kZbnJqDkN!cMPfaQyks{m(xN z()O7@?7^K5n&!c$aW(19jwE?^h}^(F4`C1gk4!W^(ph67gJpBIId+3_cf~)4M{Hz> zhp`R}&hI;x9+Hq_g2>}Xb{jdnQCvW0$35c?G5);pRfl96HB94;GKV0^YacsCkz~r# z*5e=~G+~I=4xJ_A;B8wx+u!~Lv=CB{L?y;ak+c#1nFwL+Z?p$$lVZ6$tnT1u;{#aq zHRoJ!Hju}i@6jZi-;c>pC8Coh^R)(}EijjLQueE>cWSqNb3XTx+iKvvsa6FIs0H_{ zR;kncTmKfNO6HZRu*zzO$Ok&PN`9{pvOfsq)Y;o7#dP}oc^i}=ByxzHPz3UF#y?k%GmDLpv^t>&D zYlZc-s2Xd;T3OhKRJ)fOGalsK(!V<^m6g8O;9nh!Hl!-V8c_^#A?CIC$MuV>fs&Qz zD@h%5-?Jr>5099={k=YaK%uYz_W!Gc*pbR8e^>Aa%71*sjOqJ|UmN*Q{wVQ?)!m!{ zysJp#{{27KQ_hlv?`r>U&l5knxYzmwwzbE{^j(S5^o)`Pca#BS6vR1aW9aR~n1PSg z4l8&r+wIH6-qd4~V>Z%`pNAwFBYcORe=vB5c*Ch;O!_gQvw`Mo;(8Bbn=s}Q7pYj# zgD}~TFxfEwpxHvee;5?6?3{a2SbbOheQ7)&2WS4NH^Cp@K4!ZxA^O`&$u z-I!l*4EV0G z2hhay9d{t+1EXDM^9tS8vmWgD}3J{85x`6h$KRg zIr~PSV2Q>fF8EAHMk0Bo9@ca5cI)Js*81cH{&SK2D(%`_+U|LnZ&s~dc!D26;H$pW zrhDo8=IsTzHieiwi8ZRZ{NH$F_A(8>3ow($>j3)?eRUeLKP6Ev((9 zcMXdU(*moGyDZCcV@=D28E)JwW-iSO zx7@D+s&OXwcaqDLYwRGfEzS$|Pr~-y)!lh*Q-kE=2Uuq^)3gjYM=GO4#k0*aQuGXi zd|@J+jIuCuHy>PIkM^Tl?D<;GMtxtbJ?hIKqe;bKa=G;+))vj4t0-AYv*RlEceWQN z4#BS$bIYca$~9n(C9Mi}TV7@*a3E!h_I8#&cMbk_XYMH;_5CcG@f03K=)pNf{fe|s zliHvs%WJoA{_xjY;-$rns}(S!)?qx}2e+TVeqUynyIRuF0y_`GT+we)e$JU=HuzYl z7jEz*{FyxVKlv6POsrHmk$>4tDp!e;;y0b>=kvg{845+oXVsE;N89u-+`916D;Z(A zG~3SfZYG*28hoSXfZ)L1obZMj&~In-%iRg<_Zj(6U@+nbCYGE{rYqCixOnn_ARS~z zppfx4YfoaojEF}}N~2@_%iMW?GzWx_g-pHUtk;>s7Bpj5LUrOEE+1klK2qIa;D@rG|*TLOWzR`1&{vW1@RFJ3l+k5KQ zZsvW699Uo?xa8Lq%|$MFn-}hk_Z6cf6ViR}*Iz@KztF7FP{EXhde-b*v!=19w5 znwrca{cmf`vutz{%c^4;{e#&x*6*|l+vX7w!CZHvSCfg zw>+#*fvrvHq)J2O=#p=_yYF0XeHVbfrHuXCq;eiw%Aow(`=g;wTBw_A=}{cF#p0D- z+lss%8X%9w_JEs|$(<|meT$7iK7SMZD2Z5D&RB`b2Xu}7{=qL2iwcVVlH!l2B{+x1 zsKQfO|81m%7Z5b>xd5xYTXJ`M#G-8E|FS>cNlaj1cXQs2w^roWx4XRoR}=u^8Avd= zHN$sq&Vu{;!8N7y1h6|sB~%5l6}<1#-F8>Hc1HtDaQqK{7LO;0kn+bv!iAvY8`Qg7 z+agFTLN|)Y?J$(ccLd;y(X!%^W_@ z*Q4^7LFRa!B-oMpz~drVe%PFmy>QN1Z_<0-3J|(LB@3Y+fe1An-%Q+I2aSL8qgs<3 z95iOX;`!)fRK)v{_yHM^%~d=NA!0@_IN5>U3H(Qy|DcfZjeh>}XYG7&-k)yhk!0>` zsZf7~4}`s@(J*{2%XcX6uk6q>?$JOuS~f64&;DE9=c$VsYDgwzc2R zta_GCr_-n1cN_Tc?fcpKO--58rBkX*Osk3Ys!O#Q2NUlL`htzu({v{@H$D~!&w#g9 zakjm&s%+ytclILH5gU6^m1D!&*0wU-hQ;@Ib0Jwoq9fKqE_Q=_CsQx#f=5@R_Q<>c zaOrtM@3_ByiGXBB1xEmQKf#(}#i%p%OAk`-Uilu-h|3c!5zSX0NB(?J5;BR3WD-Sb znn_)QQs?D%7h+DlVI26N&QEd-u(uhD{`VxuI)0g)LS_e2&&P>fR#uby5{aAmGb*plie@3mtY&^!9gSVO1QgQG~L5DmEDHs=H{3C?kXy z`PW4yJWa)cGHuTFv9E3V({6k9QL(VWZ0{$qRbAWR#nkl5OC~$_NtC^bB!;53+o}&I z+bHcs@`a|8@c!G?mh(Gi{Ca;n&KlcWFJbO@lVyBnqY3X8npuCkl}e_VZtmc z2k3Q#kqrO)Gd0XH{{$t*j=lkFFd~+Mk9Lhg8*9EMs%YC(FM)>+kM8(4?-RFk%*qb; zWH$$bL?Gg9IXd%*<^IukquKfX#~@ZGmtpXC;BNf|FVo=cGpe93spMlp}OEELO2SIC{l##EE}oo=rkn6^D8#Avj-jr1#{!S|Q$ z-o(E=@md{ouBUp=Ku?z6eVQUVZBLo#mwGi%g{y^>Ry^#zZ|G50Zg)PgvDb6^BpPei zm97rh%*v{?($vb^qpV?9xV&X>SA(OPb&lS{F|afQsrY&PX; zJniKitR$pSlzlExNG%m$a@jHu>K~7{2YJzzM|CbJM9Ta!WtviGB>VK%dsYfw*%A{ajx~#Y31-*QyGMILQZf&V@!j6t7_6j&j+va3T z(li8Q3)USTTzKcG4LY=nitd@qH6)zt#cBpxmK~U1O z?+ZWzv<-J~X0;?$7ztZiSaHhLS~_H(i*4t+P`KBcY?j7rTeU1FjmA}37SMLv+ZgV; zUZIGV9} z@SFF|W&AfQN08m)g^}@tJsQh~W=8#8$sW_a*nV!9>dy4_4Mr1jW1JW#cGVQdi!mB} zm@hUv4a__W9_jmvHJeifVZw~m0NNZO-GJSXr_(v(hgdv4Ft=wb%ayfC+zh*cMwsKw z)R0_w>0e`Fs=Qxe(0#I=`mOzI=pr9^cucd8lrE*Gy|`s%s+j*5ZZhvlF3NBO7h>=e4X@l%QzN7Nn2wkf; zvJVLFH_ve+{xwf2jfvB5?)6RcTu-``^UM*n(H;64wGSJtgW{XMACGH&J5D}?b`OR~ z$d2Dg#vAhoDI3KoXu8^lF2%ipR;s&G)GBymwfuc&pYKW)DxOLi|5PcC%1-@i;I-A# zdb{`9t_6EtE3@YI&Mp>?waLn{j+b_J^daZQsd*H4_Ym-V{)=zyy$G!0tmJl??2rPb ze>ayl9L?_fl15)8+$eQA+3`Z8GiTtmE6^;SZu+(k1YuWJ20$ldhUyW$)fOmlVnofL_R_^X!% zS}nH>x6_A7@u@4rL7~c~-wLqv*n|3G-0j?#O!+orO4yzr`J337^LLNs zxO`dZKck0?zqTm&5>Ty83SW@^Iv?0SU{QX2Z`$E<3)+q%3=#j=HJC+%{oUpIF`4k} zmXfz#4AH%NpKtD5_5YQ~h%4Ys`IagiXYRJkLdBG_S9+LR-ogv7<+o{0{#Dd53cdjKQDZ~Q{Q#qoPp18@VK#|oet*nZv=m`JI_SM zOJF5L;1kap8ENRW1KVt%4xD)cZe~P@jSa>Q0S@N68^&b3-N$-$xEgy+A)!-f2CSc>|(Gz+P%EmN9lOy`?L+=1D;J8TxjJVN= zyx?-8-8@fmi?qulIjh5ogJ?=M0n*IW0~d?WhXyAxHa0ZsslMGeNedni0_A5m0~BQUMBm=Kk!P_OmnOplK-{IE&xwoO|v6)@MIJ+5+ zJ9ddMPA$rj<@7y)W1h>&;ioo?Rv@9HUeW@gZLW8R(oj5sxs zu~MUI)2qk6v@^j54_8?9Keds#(QF>1UDgA{I|i8V?rZk88M{_ZM0}I<=Kg;N4@eTe zxtnhf??nxs(5j<*`iJ_5=^cE{**EHnBi48$va3xtGCZZ4({WGP%M4ys^OOywd>Vh+ zA%~L+X5)MH#(W6SEjR|XN&`7Q$s*sT{+S@V`FHPGJdlA;ho&2V5bYq2XJ#<+l)~`h zcu+Yd@uYu0*3g5pTC)do8b$*97Ml?4L2u9;^fBK#v}E-rk(+lm$`l*y#`p&N=H5%% z_jqR$VeeQ~w=v!Z_{-*v4!n^l6XB6B$YAC+MLC@0WqY*hNc^0_4IVsI= z%(fG*J^aqJ@kCNdW<$N-RgU(i( z;c!|i(0vdfPsdiCsHhbXw_4bXz+-N~3Oxz$O}OYy^<1#BJE|7w!81%g5`Xd z@^f5F_oXF%-3yX72#Z-}^7d+>nWJViK0PL;vN1ie!eCK!p2M@xHpa4y3>z#Q%Pbow z3we7MX8Cp)uLaS3#y1QP*d6ZTdb+Y? zF4IIRwLWdXpVLx3YF6b+sZ*zP>7Dw3M^>#kRVwc9(ZBd`hqhGLFIVj=RX>A#BjRqW zI*P@8<-AtcVewb@samW?>(El4<+rk{yJfUnR@R+o2*1{dq4N)xl;AEJt!XCKWM>5| z1?q2IJyZTFd|_~w>OC5lHSr>%Z=7Y^*jS@Gxdr05CoE4((kb*K)}0Tz;&*kU!Z|Nn zm7$p6I(znCwLf;#Q0Q zoA?Owz-m{se9@3rxyq|g^;L4~8^I(&ny1VZ&Ygg*5c z7ub!yr??n=J_UJ))TKO^iZ>qSsRz0Gaes#CqEPBa#BGFk+8(Dq9)Q45E6MRuB#JTu zHzXhMp$U@@7fjf)9-9N-2y+Yvh7Sb}1poMux*ZU6;n*YS0W1uCBh)-ixI;bWxCHn4 zM&u|!qhfcuEoe-VNa=tMboJ?wIMZn0M1IN$A%xHl49pz|<+-b9KUdge*z%m8$I#m_l*QkuU5&pq@Xg5?(LtvEAk~Xo7cI$r zke5~)<0VN;!xA=XTC*sc5oK1_HU{O+*Tg-Uout5W?=9@BWwx+y01LlQg?Dp&sHP$% z;#lt8#XmNDhv|U(90OjuxkmmEg2gP}>*!b4kIcjk>lqN&spli+8NELn6k|}`wuKcz zGnxycVs_^9dR;_hoq6vewwrp5=?&O{*};L}!#J?y8^)v#g>XW^MCUsx2Al%*?uHxo ziJ%B(js!g*x%tR^s-}4N5qm(_F_J(I$p&;JBAd)8`Iyl6K=;x9M4`{hgUK}~7ISeiQ_!~kGd3R++#=*e(~_?SX#F6h zLDrBKDb%!5nn9$^)HJcvm!tf0p8nw>sfDc_k~&HgRdemXoJGOI@;!E2clqr~9#E^( zsO@*H{;5(b)}RR@-|A`+RO`*IgV$EQy^w2G_Mt*t(Kfr#;2tqL!zuv(D@ z%TYD6op6p>&vTsk%ChV;wzMdn*=|+_cfjD`@_GK%vVqVa0(#@|ObW^2dyMC3P_Ont zI|Ucw6>0jSVFjk&;=?4!$ox6c+nXSfcpe@m3R%Paa;NnJIQK-(8&hnd>J@e3 zb#C%v;<2gdZik3f9v|Fp5u>8tZn&c-*IdLpIn`9yc4`1(Rd6bmJ6O(O+J*5kREE); zc86P%GH_E;etU4ei1rG&)K@EOk%jX38bO*ExV`Z;D1?#m==avP>xuAl=#O_bVfof- zrg|<$^?D=bqjP~5-4W3m&z%97VKm?aZQ&o+U}Sp(qM()AWS4UxnD1wCo8-99e7-Sr z(SRYR??tM@J_!S&43*tzsJ;=AjRf>T^AvfKZ!!%$U50Kyvz`vBH;e&+P(<9vlRXn7 zOojZ=DOj_{H}`-A=Z*H~X@L%RU>2XGSE$r+7l&;YJVU+&kxT%n#rA zmNf@xki-Ef2T}jNl7B`9Dw%y7(^AXtz<2J+qx|vchI+IAeydE?rW7lU>ZMMmQmWM7 zAoXvh3W7V=t)483-lAN&3fDHQkHKPhD(Jl&iGQs-DkO5 z*J`sFt&K@@=SK!d;C*JJ4;QRsqvs@hfik z#MIjC3I^}C8=C9ipmMm=`rUhjoFCs#6!o*YS;GI3ujP?2Zjh||eqftdRKK>sD7Us3 z!)nWSCPQnEzsPW%ysbIi?)Qe6h1qD*sa>ePf9?z!Q1nHc$J^FtmFQUQ-CvUJja+t? z-ZIV_Z#@_e6gGylVjV)D<)b|Vz1V_{(ZD%y17AJ^n-zR;u{c2J=5vqnjlm8~a^FO^Ib;W$cS$pD4aObEuAq(7M&HcMnBQSX1C$6TD_Qe{<4!c5nZ$VxX$GTz z;sS-oH_>;1w5EBk`A%4Hp1%}1DhI%{eWVZ?Sh9E>Qxhfjg{$7cB0uKF%r-Hz!|)}j zi;DSx8{VtZ;?bYeTx+^} zt+}MzsO#8O{lDrk)^#Vm>^qB%ViMzhtDSF>m~P7(WXbIpC1{9RCk(X zrU*6QH;D3uP>!>+~(8_xQko7+PF|k-i~$h)d~Z}bheI2n1J8wnutaOI&(qF|vJej$ zI!e}MPLhhHyTE6YDj@Q+rd}2{qat@ zkwfN}8`Epbs(3f&g=(ZiR-5pvEj)%_s^LwXS9SB<&Xu22wO1Bh?Ct$2)kUpaQDScD z*6GsAV0X#SU13*jLVQuwA!k~hRE2lMf;QzD?8fNy7ujAN+}1AQ(@)F}zhy({azI$9 z=w5ssl%*m06YFUE-wEytX!sFwqb5#EPtG4wg+fU52MZq(a!QrEITPr50sBME`+O>( z=Gi)XAKFe>M(ifa=6chkNt#^$EmXBHkC}^Wmw+}8+qUP1IgA}cokK|h%9}S78?_o#&9@$ux(&NSJZ) zhT}R*jEUDL!5vPuTnkA*O_z(r2aV#<&o79Vpxt0NzNNr9X+nbyU|vHEM?=BPlkmGS z_XnU_;|5U2w|4Fen0sddd*@u<^-^GYD8$I?f{G>+Zo`^s;xJ@6>c zfOmd2y%$mU<=xFaE^kQCS&)qXI$}5`ThHokx4p+5e1m#(YT$acKCLvEs$hd|O@4Ub zy&Ax7@3H>5kt1sOFWt3j-qpXj*onH=(q6i||4X{1%_jv^y7jDeTy}F`ZDcFWa$|Uk zjhwfY4LI%ep+y`Q^xqD1W9&G(Cett7(dQHV+Acqd$*zr^F68nJ9}gNZA|~D6^~02b z(1)WFxQEiRV@BBKid%8k>!hXah!cH!!@T2tEV7IgF2sv{d3oMqpdLDOzCLQ?-N4VG z-$85z(H4u{R(5wl%+p57$-}M&l|Nl(4DoniXlTs&WId#O-W_75jY;QRjUzjcON>C% z%;?T|a1n15-n8&PkmT2(f`k7&hBJ4Wa>N>>%)4U)!2jvy&o2%=G}XG*oT(lbv3Mf% zsB&3$w)}E-1xq}kp;V*tbJvRavptoHhZ~rT)<$1&!8uNfUhJG5Dp_NI-#{ilFfKb_ zVOYfSJ~|Owp}2=CXP|ld5Y&uH*6!CH3HH_IAKCgWK-u9eZsSCVALT9tD$z8l@hvYlu+zCmXoxf|``srX*EVZ~(z>j&d^8QyJ|n!xu{_?g9i*bKwqel`8v7v-wClqp^J^VAPOEHpki*bH-aY9NZ?X5mp+gl;_JfT$v3nk(8COo z%>SgHQc|g*s|M{3Q7MFN3L9HvFjLaQRS%HkM;H@vOHrWm&2G*U2X0?NAE!p>HsA+I zjyqgbG}YC_jcRmCbF<4EO@LOYu_|p+8(?kftxng#pi?Wj!Y}7e2d=I+njZ%1bg`(Q*3x=JV-s6T{F^xg4(Z23L6rvZ2uv=2?X2BfK2m%A2o?tez?QC(`~*(eAK1`fDZ6^ z^UwKbnn{}<0_FfhhXakKk;5rC+|4lm3ZWWq)_`@WlJl@sMz>}_;@IvEntL~*Lc`)+ zuS{tw!MhQ`6Lz7pj#~3SZUh6(dLAdOrU{-fBP6+VWW7Qk0|(Fay92N>!{g0E#&V`M z_e@%CK#f5b^$u7{pNF~TaB#Ij!8x4r8GkwNNMi9gxc_Ik`pYYn)bbtqLAWeZ>Fz_okW z;wuH_(8`t5!cHr@<+X0k;NUo}6=-zat_yC^psxejJa8Qx46j7$eO~7G%*>1tdwQpc z7FCDML{^ub`~{KXG&$D13!&fYBLk-sqY)u5?rZfSgGDTS(acSKD9OAyWnrSG>{U^0 z=D9;V8D@ry0?x&-XSdIdks}B+;_$a7U0C)#pzQkT?g31~RXf?<+1PHjv@*5o{VPwHV@=HsIA_8md(Ok|Bb!+<{@j?JgJ1P8@}%k8sCL zBkX531`cdI+(Da6cHrUK+4bT~Pv60#@=KzrFu;IwbzWX13`s$C=H}_po9nxx z=B()6c_g?ni!U#BeCtDlLW2bt?(Y5}@G}2`haN7XPh^(HY*xZ62xD8mQ)m+x+ zSm-W2g&dEf)CO2M+f&xNBr2@Qx^CRCT+wsSEi$;yPm2{hqSNKj~+75dsNLaDlAaVfsTyAkt1_+Wa3|HlXG9}?mZ&OsUsrSY-(+|3Qp z_umy1si)vZEn6~Of`wPZCaLD4t*PPV73-Xe?AB`2*tCA5CZ~LZdUHAAbq=6Q*|_Gq z13q-%{PJqe!ra)q6kiMbmEEhEKyzd@;ap7=GBIA$8)T;GvLhd4^H0ic!ILq*zA=t0 z(ZqDMh%p~_lFYPT?kVrYJca3T5NbmD<#qb}JU+J07r%Ey^AG04YEp7FNqe?sxW}&3 zpJODpJUc1}x%)~Y8~tC?T{$eM;D8p7`}R;y6t4CP#}a5w6=kn!VOPN#6g*pt(1-#d-{Boe%{@ct z^Zd(6egj4tn(@uHe)ELH)BFYJ-FQ-keFPEu>W}|Lmr89cW1DqMA$8DrVSS9@#-ugj5P#DG-F2~gj2WQpyOrZMi6&bf6ylc$Eo`VNL;tmnvJU)dkQ4WOzVgDI)*qP zup$1BOvYDGi zqb)AR;39e=25$4o7|ByUzPrPxN5P2Rn)=pcbaC@b4G`iYo##@-pWp%G58SdfuQ7v% zy+7_BEJ6@^4NNouA=IXM5Q>93cV{ftuJH^K3y|?_r60c)q;(QM>B*X&k{#A3KbK@N zHK(ITbYjPQ$v#myNd1hSB~cfGZ7ikociRW)K+ibGl#U`C{NgPRCSJ zUcXx+Ed5ma1=Xq9<4i;3d)v_$mp)aZ8Z>)+Md^Cd!maz-w#BiQ%VLl!_-#80}wK4($G|BYmx z4*N{ORs}<2#{s}LiL#*R%1#t6Qgc*|KLXH6{fG-rXFj+w4bnnI^kqTEM~sJLBP@|= z7vm6*Wu`^RR33q;8_$Z=Myc+ue%ofcIriOrNl zc;-7^u$At6zmi(aeCt%#ZLjgXuDv@2V5{}6i>&6i4E3NZDmWR?s^)E){>MDOl*?ee?Xlnz;34=yGPxu8vR^MJ3JxeH4*}h3Z&cJbMCEBwrSIO^!VKFo-x0r zcgcEl4rt*FG^tf1cjxv=hl4zDK-c_kBsf^16u)r6J2S$yX+m~5iET=#47s~ZB-LU( zY&Nw+2I&3;7$6~C$gty1>BKU&z}4->UAk<+JS|Jtd;D`=_xKNg_?O=x>1o2==!B?8 zI_WDu;fDF;>9znbs&D$#R)tfis!dZ>w129RZ=zla_f*^*zaM+Af}ZcWI=VCGnrw^S zU@y4O*J^%cVYCh%>Tb^XW95|{Y+I|$)gp`3-|43pgp53!6J5PXvU~mw3Or5( zIt(o>oba89nyE{3#qeO~C}`NAtp1zbYf)+~biNB3VZwA46U}=$zrrBC)z;Gl#fxGz z;4sfhRB({wB$ROY<3SjY9=IZGY8+%8#^BPUhArE9N0Q6~iJaI2)Z_OZP0u$ZrI<6; zAQ?mEHVwkN(l?hm$^!*LVmIC_;Qv_?>D|r&Z$tx)n$42}tT9L$$SX9s0}f}i*?UE? z@h!oxhKmalkKAaRxd{itI137|kyo8Zc+eime=yFX9V|lPsYmpYt-e!9r2xPht&K_s zW0nD7A+4b3&a;vojWAR4h@V>yKnaZ0(!d%8cx!li4#GDlx$u)-=b+g`1xD4g)R#mD zdUN;&ercO*r%g3*#fq&`F;`Lt(A-}c^V}tT-k&{KJHPi`4Skk#6zX2OPSJMPTCoc6 zww=uma|&H|%WLB+i*k8QmW9^L=jZ&g=FGJ8qT_O0m3n{Q7`$9$>abw`5Y9aRy-Om& zbpOFUg**9jRO>SuL#EBLvk_1|pV?$*R|_su@EvUN#cp=DJNlqX5alKwKtErB3g5;w zOUySFywgt45yv;KUXf?Vnb?Yx|1W7+_IZ0)J=&&r$-TxRHQoJRygPxtauPg9@ow29 zFGw*I8{UBDi60E!=tpbrH948InmD#a?*tr>WY}GgyUZZHsnpFL2p=$&cd*OD34>7L zD}o9GECvPl(sZ?Nra`_2k$n3TmcI-<-w2lv6WW+d+J;XBdM|H8uY|1xq=#o$&UVX0 z=bEURHFxk0zEO)ayplGhb42<^Pz1EdSpCFw^gU{+8;`BgSR3)9gH(yQ?#sCHH=J+M zMyy$!W)j8$h{Nu0ilZYs(D}S`mEQX2ejW|d&hgg{hXy5}`wix4emSWImsQi|$Qv3Q z|9;>~82DDl!8yTHtG@Q|uLWs$EaB?D$h|gme=pe4zPZJ!=DMM;YwSI}M$x+Kfyd^^ zW$(;xTI`y2WD z9{%WmFg`;BGc#aC1^~4H4J1aM&zI7U{<(QVUn=BpZZ8usFrd3kmax>|N;P6~U~*iT z9*dJ~7y`|uOnAVg!Ey|Ujcf$~g8b0HeFvQ%)c6)A&-}wT;*vT0O&XUR!xy@d(T3ex2GL96T`D>aW=4} zjFA|fU^4KT7#L&{0!x7ifC3L7MuZWv2wTF`#?vhAx+np(Y zaioAm;LZR1!WrOMzqfri2`^f{ekbAmIb++bxUX3c%I&NhF zd9tPqtViR>yYW+cE%QqAZX3)m!M7jZ8~BpRZz10(FGK5;8FPqS;euC=k0qkpzku{I z_Gb~%Mhy4@k&aFNTU;ESYdGS!@tlWP%qA^961Uvw<=8_Ax=p#p~v*TdH*Kf7k@6Ky*+qte;ATG!#_97h1})lg>M3i_wTNY{T9S~ui)+PJeY0+1Q&k@q#rR*D&Dwe3as@fJ&6yo0Ngw>sd+z6;**Qml zPtkK{q^WJ%OVbnPdei)b1TK!-V&+d?uLoH6OKcLL@)9KfQn1zx3$g_>4h;GFEQ7Yi^L(0Fac5%I9FHTcTg68+yozF?4g zxM>?G>sf#Qr1%yd4~*dWI2eNQ0;YEJz=+gXk2tLSl}L8MeJBfocjDF(2J%Dbn?Sd* zQrvahco-R4BbhP)E1QNL%*qwVpcQ)@RD`$j_}7@DzM;ZrK*;!fVHEn-Ft*a89ku_PZ@& zcRlMb@0o1@Nb{btR`B{WaB#F%7+!jdY7K+VuU=^W7$sOt;6n3(mhXPF(Jxr@f2&iO zz4h5`PcI|Jr~-Z-mqdq18|c#c;T@!^juK>dge)Flb{kVeSP9BbbAFmqvG>YgGv-|V z#MeZ0oa>Ld!vWNl^0y!3bNJ2EG#P0#RbF_S|e^Zi-SnFfRXQ*-WqTWsOI`h4GC-xEh!Fe2fcIIFN2JK&PtSOn)AckSEGu1 z@I+{_9GWR#IpO;9NZizF9*6V!_BNXF%yx6-Y&j;7ZJd(_mNV=Ck{W<#SPfGKMsEV^ z4FaV}M%2OM^}z1~+^zLcTc+l9CUf@FHLQLA37+#zW5~b`f`$)ExSL1?m=QK^oFJvY zg1qkLd`)iBjBrR4ent>ynpl2HXXv-~U(mf9*k?i0&9O84@H{XYg>NBD~g=Cs7hGV+W5F{7u@iBs0ZH*Zaxbms*c6&+P6ZY4XdT~cZ41=g!(8SSl! z$s$&AIK1ys)%boqpoZP+J1v8QDcif|%yokIp0_H~JZGI1qz*I3HH3Ar<#!HU{k`Z< zm=;Y7ZTx!J;5$7*ND2}Yns2jh|GC1rCRewAMj~_MM>_xMgd&IE50VNF+y@t7wZZg@ ze;3;t!|59z`#=T&&^e~r!QZez!;^>m@I!-U!it+4CXcZhCdA$ItAwlH$$eh*X*yS~ z)*d^f5$v^|S?W^FZ@x)Y@poNOXy04!J1XnLzB|=TT(Xb*@I*NrdqYmM;Qhl-htX&*vF8!Pd9LR)^ahB$N;F8Vxi(Y z+ZG(XXNZregJcL;v6zLpz6>u)?subaOLOGi`@99sw&z!HI>a+0#~}a8=MP}x)`lMq ztM(hw9GhTvkKKc;5Pd{^Ip(;4B3hUjcK7-=_a7p`3c zH!z~zeevDA8!x1cQKVhwOE>5)A$rpH-h?t^&Yd%7#A$Ehoi;sn7DYybqE0TV6VCMM z+a;|M_^QW@q;TCcu2iI$2W+cW>yk3ps@=ECtZ>`yI;soz-+5&LO!J(pkJ1>re&+1H zdpg?h%_XXfhy8P+h*BN)WY?u4M8_;H>yoTD0n+$XBg(K*;^A2D<+_rXI&U+&BK-=Rr zfp3M5gosAjbM2rz(O42VH{AC1lVMFRiM~6{eUFQic;Z~gEnMTiC6H(UufhCrlR2f^ zuD*jC40cKEMZ{A22^qVQ556Y8hU^yKzm`cGTH7X%j-n`R_%<4~A!l%dygeUK1bVyN z<8H=Q?Xy;(w)njJJzt&~{BwKFJH6)I>Nn2Og67A;-wFd5-GD!oDf={nF@i0iB9U=h zn1^?oWOi;%(M(LylZVJ6>l+&@nH9v620x)=Y+Bc>>V5Bx-COD6BEp07-oKcz-3!jM zT2xXG`!@NX*}Q*_=`+)%ZlFHr;zSL2{`8rMRYvMwDppmrHTI%^bIY})65(Ai)?&0@ z$yh3O{qD8EerIp#q&d!4XTR&TcAaObszoLtZGf)dB}(h3pi!9Q$}q?n-vkl#od*5( zRr4(;p-j6MW)Dj2{2M)?$gBT(ITT^H@9_4Ea`*5W=WxO1>>4O>`|OlNy_r*D$#nU9ypsquIwOprA| zdFI<_xZ!srdB!vge{y$kWcsj95HZU*X`1(K;(}izw$9pMUk?{|5F8O4;bm{JH!g8= zZ^pU(WcFd}{)>OkZ|*`|1`0Pqvk+_?*0z~iX#@DZ2oYy!#Q$4<_Ikk(i4yJB#}_aF zEBF)mrNuTL+2Vg{w=HEJJkXdf(aq9hl3)apwYYfl<76JgX$UB~emDER+xyy+3?>h6S+ZbC-BdC-`n1OCwMgYyFWzHf4KuM<8CH?Me$vld9y#obGkr^Q*Mbp zeS{p)of4(7*`hhKH_R+9ojZZQuKWE8ZFykCtl1uRccL*ky3K=b=%KzjZE~0}=a{)O zkiRbpxbD$pgiAgIM#qX@v5@B+&I^9 zPd9Jb1isAqHqXE_@C>n?-~;K>%>|p3`bPVDckh;g1nk>_Hh$h;t#K{1gycs(8$)yNkDHIx#JdfaZyd{izvLnSh#PzpdRu_wm<VTQ!0MUbt33jzF{kvE=3~tdJf5m#gft=U>{>1CwN7McreebmX_EQh_c_x=~ zq1(14kp5a{ZMfL*tWe(O- z#NrTpg8_I=-7l+$FU=#19uDfUoLG0f8K|oaO1vkP60h-)OR?E`!4JKt(&~0zmlTq% zd(L`Y8SAYyn&?iaOjFC@+-o~gSKO>AmUOar4IVn;$JMM_XcxxaZe6=R_nX?t+G5cee{Roh>>T?x3D_$S zN8((>O<2$HUySee?dJNJ9EXU98!-EWal{I$4{@VCXGu;fL2y;gL*^jnBx$Kd<(i2{sh0$L6#d6~u%b<_kFK+~12OqzF?%s*Ylj)3UeYhLw98;jo3=Vtx={=768=%DL zsNc|@P7-xBpdO3Bu~F_Wi=MS#<{Zq`RtJu?D$aXlToq)e_p}(_VCF2}H0Rl>GTnpD z2E$wJt3z%51DUZw?-xIF)x3JqyTBcAk6a9sVA_1g^h#=T5`B{xmw4Duf%J)8FAcNB z{(_nhS3(~j!$S|dzW-h|^QOt$n?yLI9u!La#!E55`Qcpe^q+PU%yg>m=l1VZz8sMz zTfR2mc1wsZSDJ1a7pM2hp^Z|j%}Re3aX7@Pm;=dca-L{J3FHq#l^{ZBXzPC{VT13* z(!SxmOCqb&386{HAi;9uaEmy_HZJ$SxFMN8{Dsq>-!#l)XTO;23zEH-LILsZ>TQe< zX#IlRZA4)7AFo5aPH=IxdUGHms3$xNdRw=FEZUdGjW(mh4ROpI4H2E%fojFK(YK?g zJZ=u6Wq2H@BUZu*2D7Jq@{h6TFtx@p1geqfNDK@veM?yX`^+-0=>NSq*YE-IzICMS z4rn)!(ga)U8_pa1OZl9g-hyzr)i_mb)7riHKkC;EvugL=)ELmxjD29Zu-z-zU+eXk z`BUojGHdjh?xWuRfBJ`er{3wa-t^~fq)Es0P&U`7s?sD@=7oJa3BRmABQ)*Utn@h! zA!|~e^~s*<`L;7lE8O?I@5(vG_L)~2?awVbWMKW>*e}^oEy$7L@htg#p&$MdSUyaD zz}261FaDhTNr!`9Z|ww$PrVqX#BRbNU_xQlhuPolZ5M=yOnz7o%Nt_$(f1eoXzqHE-w&La3pRxj zZsP;vFP#0YaxI+==JoTQ!7;!6`m)pY3Z@B zcO#ww2YH=`df7r!aKm~+eK(rEt_Ho2=NR1}f`fT)d|hVc{AH@;)jG_~Giay8qde{RgLR8n@O5=37ON)<-YX)vcLU2%Z)Kmsp|0!=Y9t~V#-@%|P5k>i)AnVg0U*FpcKx3%s?!0|%g=H6uJ zMj8MKN7)eXhd8@hy)V322$y!;@$>>GGy(~%FHpY0brytg$}>`n#&InO4ZVZAu7Q19 z&JF1&F{j3LczY72hW}o`ZNfKj&70}+zjUr$Xv6CEdHNOE-mJm$FTZKj{*s}W&!2s#Js0!N z*PQ6fa(;;llk|*vbK0Fa=T4-Pqyrd6zCQM&N zwYW7{3+ZuS^m!FmFee(kH{>s^1YSEvSMLZt854h>DOF%l+j+1OcLg4%D@5uM) z$g90!FTV9=Na<)7M-NGf*!r=)h6j>2Ko)9^G7ae96T1?&hsZA@c8~aXbJK6a6#Cfq z^T?WnyQP(EwVph5>chTUXT7O8x}+%U53ek?So4MA>*K9u9ds zhzd+U0O6XYy;zy{Qu_|xvm~=g=+Uk-toems5#+e=8uI553pMfvD{VLp%{QyQ(a{%28JOZM9b~i;KLXr=v z!MX9c?8fahgqqYSrE#}Q-3-BpZ(*bN%|_k7;O^kvU#|dw!SObZ`*w$TO<)GfD0%_c zCpTj4O*?%2>mm|zKKOF8l(#3%BC9qYM%VP$Dx<719*`L}J3d%EMk+pS$oZ7rr-`RKg)VbXKYH-Gt_+`Od2XX!Z; z;-n2^+O#%n37VWZXU_yQYGh_zQi-BuY}NK@TpJVqXGbl)<~DJZN{@c|amBXd#6J0H z&2HagifRtBXk%dYE#I|h9WHohJ@r(q1+mIR|~H0o|=Jdq(Z1Wtl0K3jYncUw7!trpE0aHF1ae>1725{tg&2P}#__h@1El86J4kO6k zfVl8&-nbs^u-*e%*LzNUU=snRGtBZJQ{^T(4pAlm@AwxrqBq=_5lH{F8eK?ttsA|8 zhu!$p8ajn1-@dy+Ucd)0M|Ta5|M-bh-@!1O^q=V@?L*P8Zuzz(D0kQtIqSf2W-)=6 zn~Cn*e1B%18@;)AIGFoZ8IB0SpeK!750&HY&MAa#H4b8QX9}F0Lu=uj;fBGGtX;ZM z1gdA+<}W|KAI6z_rOUbV+_dQ%!i+OzOq#O1By6eQe?_cEXj#@PMGB^MRfA4wVYge> zlHK0ivlWdUH!rB&yXE{eXvdzhtJ3`EY$c`sMq|MZBlhAYgAhD})_l{p>@OtcH2LK^ z54O9#dk+GAiybeq>dRt$cwg&me;N>ePLRG{?~+lj@OcmE^g4~Fdvb`+?UcTYR_+5Nkhvc(tA;j?DsVV$$BR$O(`C>Jp_5rV7zxP4XpO^ z!BB5s-;fUq;ud#rmV3(&o5hCm*8Fu3@4^AmDe$wrGCZ6`Y_bv*GZuKokK)0%@5O<* zE^iA7w-!>*@IUWkw{fK3KfMotf8IbBgM!h*%KMyS7}?-!9N+6|nN=d5kEp}}F^aTz znOSRU^wcFUKZG2}QZyL^1I&btA^pXp_bwefB#(%SJ-2)csMZ^n>F zuJd+9pV(DnZ5LNhMvFMoLh?1xzc2lM!GFBX+Y?=lB>VWT-VLok@V?c*{X1Wue4-zJ zF?xy3Is>Xu8gu6}UhY`)Jo%4fWa!nnpMqhTnD9sp%=$GVwS)|$8=}I?*!;G?O|3C#Z8lfN42A^}5a2wKEVPDGd$-4xE^EPp+?~fQN^b*r(|JsM_652U!4xRgGXh2o$K8bVL7T$G z>(T3zeBs!hIiWT_xA-7!2+rAt!@@pA@l1uMOVV_W$K z$e!*#nPYc@7ZRxFUHj##YHr!JwCttDkACN#-+A+wk|uiT%>sJNgh^e#oG_=($rJAE zGGla`e$ytUT%BZ9P`R~fi{;KG$C6EQVz_XU1X*^>N_MJ$uGy2$?2|Q)&RJ7r>CSW1 zg}Q!Uil8mpc!1uw2D~XRt07UdqI326NI3}X-uk1HBIsieLmc5LgN^J)J3D^j4E2lOCq+unvI~?YAwIx_I@$vxYG~I(6HS% z)$jR`J-B@E9ArPw;Ghjg3AKZ!)X1R(ZXjxzE4XOZ@#Mt#5H}5=j@j*1sAKMK>kBp+ zX`z0|{qfJs8XBQMbr@E^FA|59CVxMsZ)}h*j~HWY8JD9iYJ>A!Z;S0w|Ebp&Vv0;I z#;IIH0iQiM5HR1ymI1?BXBHYDKsxbFf+-T-;GXBLmh@;&^1!!`qagMuo@8Of4vG&C6lvxp586*brFNGK zw)leV&)URGxhi?EoI-~3hUXdIkO}vA`Um@0P52P^y1$4+?$++lg5_GhI{ziRK0u5W z7?Ev6B^YemQ1+v57z^RS!jd(?OPEy9NKO-xEm?Hi^l~H>)i{ZB-pny!%$k&^)if3M zyJ9tpzBa|MM{hN+T&Gf7d9eHxhKBW&E8aWi+(c@p^{8y%AoZu+A?N=LzOC%gJYeA^ z5V4+lO`JnXJ%7T9n;aS{fVfAh95X zj%{_~?r7}5boh;jOj@QUuJd+YwCx-&q5c!Wv`gQdZcx7a`!;j%J3S+uLF0ye=ETNF@Gluf}OR%HK z1C@m$B2c(FqEwXACmipf!BLYDj9M@rj83Bvfdm_8B-%f-x$uj=BH~&%Yd|?ZHYN)C zvqz18a;foHA9>EqaGW0)ARe=z1IjIKh_(1O#<@!#5sn9ErY+x=wL;C}qc-gmdzV*R z_5kX02ZX3J@KrmLkwvPJ)6N#>L#viWWzl|Uv18bZXK9p&pbP>i!ZX@xje-~l z8Un!-9;^iCr=S-q_i$i@3mLXYqv?qMlu-3)D$2ogLJJRbafohAHc)%9?z{I6LVR>| z&`1keVITS0^`#FUzww7>(X_quEwgW4bpq^VP+*uE!TIunF_&9FE^{(^ycc% z?OA(Di0AA7%Pjl+B+=9?c>Fgw`5PFlgYV_i?gp3aOcEbBq{c2pmFgbPYlc z#O<)hvY=qxvd@^DK;n(*h21%?a6#iZ|D25p>RWvL)PCogwB^e~k4&Z-4reD91-X)% zO^amyw`VTLc|_bcjvpKeVWBR?Wevu3PFddm?O z?d@&t-2hjyjO!-0a1iQGl6(m8(!$J3xZs=bnMm)1L!M$t1~Cj3wO;K`a9>FqlVR>KByFHz%z&7-|oah3n&Eiz!&(Df}Uk9@Uit_1^b~v95fWYGGe*?`^~>c4a224s&E zXkQy+#=a5squT|&x*puHz6@)#$7E-;7kv>l7_s?px9juO#+l#XnHI!>;1i?=xn{~k z1>}<_P?Zqh3~` z5}istPV~QZ-#1PHZ~l9E+T0okeiB#+SeMB^o3wXyj&tEL^t}BcIgwY#zuO7@P)xr* zyEo3A^)|K(tlD9~ELjXp?lm1Yf`Q4j!{tEfSJ~An&3dj%x4K_Ws9cuP0ajJDO;Dmn zq)^(mk5{No*3y+$)f1DG6qYs=nWB*@Sg~H+t`Mu&%9U2VS*tIqeS|8=?94)J zi39#;f{c(CtVY~O|u7NG7XropXXBG!lr-aHcf;~~rY#rHc)qdlZ*&FET8Kv}a{ z&K!|QnbXj$=^Ng>fO_1ss_Y$M(KKQqo2F+{E~4mGtjoo7ROWljyJD(qTh}MobY!_{ z!CvcC|GW^BHD8YkpqfH4x#^BIN{*%9Od*sv#)h$i=VhhLXE#nv+7s9qf`)nf{+0*T zoult2RM?;}WJ=^*1f|?qb<7Bxqn5Vbc@6=8s76q~bRS|LRjsREniW2cE}piOvbLzI%2!vuUDu{vfzqSLXwhm) zi3x<1q+}|3YJxgdvX)GLt3@ZNE3Pf7sVY`e2i8I61UTc#tXb>U zphbj8cCKX0fDAFow-35vszI{5Lx2ows+jicqKk+?s&h4%1Mi1Zja%!a7%4VbO~Z$Uu0i7OBb z?gdbCbfqVox{tWP3R(Q>Mm`@Hnxo^tlBSqr-wNg#XxsEY8&DFJ-f_DSg+r{#_U-}; zdyt6O_9iPvRS5;Fu7tl9tXj^M%GJsODz){iVJdZ9*+Xj2YsD6}I7S|)2hXu$*RU(t z%*n=EhJC2PFMcKz*=(ehZq<4h~Ik4rqC&Hh>=!;QE|JDVa%% zLi&az_VwPJ{2<`?Rur}GM2|K@y&)b00)2MCKsc2r#8UHU4`*msiezK3uvf9h>y^_YI%Gfr4(D>PVIP261E$t2D8F z=9)DJPP*QNIcMHYLiq-q7DK^fUf7}vZr)c>t=_>eRcADnCtim|-M;J2R8;98-~M%Z zy(Vil9x^1+-AE@^uOWn zmiZejjjJb4+>59)e_`0Xxk^^WpvsvP$t!vLI(FJKI$Vu;L{KhCn$6`jQX39$d`KT^ zEg%;-EF#;^Bix{B1ByH>Iv;(h!PW-F$Pi#Eq1?kbF)N_z)Mr_!a!DtVDt{e+)`O z_@Y#AQExBpLV)yt%3B24pl|~;Y#v6paH=3CWPXi!eU@!T)(hVhAixA3ehz1D z8)to|II8&K;LL0mVp>T7M60GRFPGCxDf;yJfNb3yuN0wp^;=!u*^eSD*Fig-UMqHu zCCH{?&#11h`HdbQAH`hzjA*#<2m1}j6(QD#ZEV=Y=%H+8!ZwpzC%xVZNB{ig*| zCG;q&J~@3)os;LD-Z^n+>dJE@1VW3cp(Z9NtkWsimUSwO3R__N>{jeUR^b}bj?Byq zKM7zWna*F?IwkdEI2!6>kDMTXA0Mito??gZERQ*Zz95w}oNqvsjcdSi47{&PTUJ@i zG5JlM8k|PwWBi*>wKO7_`$= zWovpS6=bQ^qPiI?rhS4M_u`_d@X?UDBQ3osKPf*bKfLFSLjp^mtKEQd zY1d$ncRz9_4&8&0-N^WbH2jJVK=us@RkOdP$$-82hi~NfEOq>%k4Ky>45{I7iVG zDuuO`l|VHBP*R>vPFGuBR#T{&{j5f{E~-{$oTB(z(r4U@m#wHP*{lnSm5O~#tFN#yu8EZ_S_U>|w!3yyEH1XzF6fOPe}g)~ zJ2*h!AZ$rrQh(87%ek}KtkZ*$&y?t8RTTwg#c^=`2Z~r({H$2`Mvft9i)CdDmDGpo z5o-ngw6RGbzyY5FXgF~j+{3Nk+E;IUQAU79xd7sMpLZAEV6_kQo84e2s~e}w@oEaU z5JlclRIQ{@?R9_{x^}5>`_=pL>b7|8+6rrPij+BD(wZ+P&+c=dgL>$cS%l_HonK5$ zX0xj*QS+?z+9s{$^5UG<{nF?&of&J{S+t9;(ig)hZ6V(u5&ws=<2(cfNKX4FT%tZS{PGkK+vbdMtw@4nLsWRX#EBj5nTGYKUfi&@-O zM&waP#kO!DKc@hE9o>~zqAGR^_(iqK8+tjHfw$xc{2X%sj- zz?DA7fE#=b&n=69uQm|Jqz5+NC|#IfrtOZR2v^hQ9;2d zzSIutpvK{kQT}e*K%yMX<4vHg@D%rs;Wk>f>No(r;gUKG@Oi2ef1=V zrQpGVjn7PM2xfEd60&GjG|cH0l^ttMIhcaRo~*o7&$L+S)>Vyl+Onc8wx*s=tD#J( zuGu5iYvn7|y2vXvJNt;TEUQ*q9NOC}R`hFv+KR$ar=B_bOOqbwqzQUOhs)9~x^<45 zHBlsDkueVrsBv`;$6G71VeHt!VmQP+6CQPF+umr*){aL5sAz%_Bb+_K^P%@YWuW&A zJB5;kE1Ssgb9w^|m!)!LwZ}MCnqwt!28-Vv2Q^7CE`;_Rsgh9rxDed_8FAy>x_0iC zhH9GK;)xbGM$*+4wS5DdG3fM*sx_*VX8VOL63t>@JSDarUo9!A?%a6#>s!uDv+sJJ z)9#Pb=3Gi$@cp<{f|QY*c9eu@t^Gjm-tca;3&KC(z*^o-mpL5qM>0Qh?1?Kn zxa@l?{?VMi;r1bJkJQHwteW|4POA5Pcg#p9YcrW)?WV11y`7dbyvlocOW>euojemz z=6IdX5M>C6XX7={Xk>$9CaCgb2oO6dSU2X;jyFw@V*=ypxKd)`GN{UwHDdZg*=pIk zXi_OqMFdwX1R6M_(XCXd{KbNjvqr;^$< zbeoc(Bp69Si!i=SDp3d_#<>~FId~SDJ>iMUS4D|y6#gNc3pF9b$+3?D;2_F z-ZgE@t41AF5=L4pn&wf~_S&KL07S?Ysyu*;I};}Mm+7kC(J+HfQHH}ehF@D-zbzM z4Zu@SaC>w8j#TmgM;>Pv1TDpYyN+)uHJGy54d(V65Lt+a7NkH?Bq^zQRP4t~|E^r~ z77UNd&eO`^W!XA7Ye@O2sI5{PS##f}zDJ2(ReB#?M$)it7)G?Zdl!C^BAMH7MM!pf zbL|a#_5fr0f(;qRm!jX=U1#7D(0*}rW%Fyq-GHiq-vPx!zN-hI;6SYJa~^}Gw&<s-B8u6=vuyx#AJt|An1DUaYcM#apQ?8Zvhlzm~QFer*|> zmc}VS<^xILb-<3m!;X2lOS!K-BmQ1qKX?=Nzf5s7srQg0cYwg5KcfN&wFl5X5 z@wSZNJtp7v_R*GPK6&$IeAWWh%%xUqTzAWA#u|QD*IL0hYa+V_=2OpAv+Y!sRV`e9 znbQz*Pu94ywtb~jvKQLdQhL*EdVMCgd}gxEF|4?!FXV#3)k=*}pZ4JCn~H}^t4~yt zQ2bo#lCC-9XMgkMCuSfq41gc*F%_1uqUq8ZN8=!W9;ZlPS5>aA&o7%fr^%wB@b?k( z)f6QRZX{z*BzXr!wkNct*l(b=cQD}wvY7aUISpeSgNN^X-GS3vPc7gbEsYwWLUf#k z6(}`nT9+^7ed=^oLaAnJQ(Co;Ma0m55@LVcr57){n^i(%B6Q@_&!2vysZ^`06{MDZ zS}}##g438>dSt`fRA}8!Sdq5Qjb*4Md!83f{Z8+lr>WJo=Ys#mxDf6?)p`OEQoko} zHTHf6GPcGLH&SsFdF*f}hqniG>=QOL7=!g*sU~}+c3A6(LLhm0^M?C=S z(dkoX3F7TRjT@nbr!)oS)OG5)Hmg_49QWg2CzY;N^GxfEtQCh&sY#PaQK?0g(lzW` zYE2E2WlOPNVysxDD=Ants>HP&+j31&!C_aXUdegsewM)X8o+b|m9rQ^Wj5A(c0e2+ zeENeq0;|3%Q6F_!;!RaiR98$-R8mz>O-W2^Dl4S$sVaa#jqpq&O)yC05bOrsjo%=W zNl+qe-3Xg*!lS`_8!>Dx3r4*m?{K%s^FT6h3$TE88w*7SQDjR2!X;ZEJGgHcwu~a& zoAL%mrOm=-uCY<`tn;b&J) zUZPlQ&DB&a2j?w~Cvk4`^nG6}Joa_91!YxA8bD-<&^3@-lKC1FUwq?_--q%~-#6+x zPf?wn=xtIhB{e;qGP1ZXaBz;mfsD_=NQ1kK#&F=@Mh{3PvmQ)fDb8+0HCT&$JiZTn zTH6p4>H5rAnU!nCctcgQfask=ixi=E4hFhPq@bnIafu=Q&OD5F4)(c-M*|HnUK}R@ zJ5hdAQ~8-I9XIe*)M`3Wb%LH;x2r`XG+Z)pmi2fiXFiH9E03E=&<#-QNmRi2&6!GJ z?V`pO<2+yaP{jAV_%m?rktWhw9N&=s=EtfF`eygRqvVj0Wgi6iHr2ZPixE3=r!}t# z5<2usYJ+}?8N*HBNj*`+&8?g#E!P8ate$u{Y;g}|IxI&P_z zz2|+WxoN$6j260OXUs;q@CV`Uz#+j}*S;0I?Zgfo9NzmnZ}afL@cN@RgaCd<*BEdz zzymF6_3ncQ=zSbo1{fJ>$J&Q~0aRI4RZ&nmn6S{c=$cNhZh#?GPX<_R=G-5p7;nrykKVVGMlS8CS!tyaseYu+s? z+17WSTGt}PT`Svm*9KN<3LUGPN-BeO;0+1jn{aYxy;3&f&xZ=zmx zle@QL9?uTJkuX`hc4nnWm!3tlbbDT?<0jCGc739W@f%yMa<61~%wL+s!Xe$ zNKve}=Sy2O9__5Hw6Iy~tZeHXwtDHpYWKjWCx$k&jZU9^r$aaV(PMu3nHOKlx=`A9YorLkR$##At6Wm7=ot=;d!q)p>+(86jQQ&T< z|L7&=8y*9BUBP@tw5aMGhZ_XEFGZbDponC1^2fjo<1ieLZ|S-H$OJgu=Tv$uwPs6~ zBBxC}PLYmj`sq7_`g*l8J=MYMQZ@8W_J*ma+H`$zR7iHsZ+rujognOI91xEKsy*!3 z#OTEa@}b?t#~30^kHN{`+Rs5~W?d2&G8K6cg(x9uz(;V2wj7v7eCHXm#?Oj;yAauUAahvw311$4O-}kGJZgz_Xj~>RxZ{q@uAr<{nd2 z#^t*dF8+yy`?tcm-RNBmnsMId{i9ZoEbLoY&T!CLWLiiYpJ#j^n~5C1xn5W?UQDW^ zU3%!34MpWb>PlK3Ez^OZ&*tc$o6(6&6-xl~lk9FRfe_Ao={LKgoZfgs`f^e%UQpdF{_4oeVuh?ePG?> zt1w%6Pk@ZW^P!7%{x@#TvJ>sv_Y4m3uNa7b?EI3vwrN)fOyUIX=Je^LVNoOUj|vbS z0Opt`(gs`D_%I#e8Jl20?ogL|k`VHf8qU1$K6{;kcioRU$lh()J>NIgIz+&%$J=mRI31n|tctmVJi-)F!Iq>=zm<1+*VLo=K1fHCCa}7-QVQZ_NRo38E)Op8q zbjWPnW0`V5N8N7n-CP+VZn&akyH#Dda9&uN+f2LPy^=*@x;1VDnx^ag_$Qh~#i2y` z%&Pcysj~VaUQEs3%kkJI*O~@Piuy`fb%sVkp|P6jRI9k%?f<4>AvsxE6Oqd^5idH^W{6w{NYmVS=0|;`hukhhXGZLzSvGHm=bod zyv}hP!UuTg8B64`Z& zU6;`4nD#Z>q#GZ4cP|r4WI7t(z`GBXi2d_0u0H2IC~It;&*61fwb@#_<98oQ`HX`=2NM`*`!@zzJK$h|0f^#nGdD%nM^(r zswtFF_uT66p`+YCG0W=S>%Ogc`?vn}?_rG3Lw3W81=AFWv zj#inYQzNbGPsQ}JJ6|PzA;@WcgJ^bJ#W!pftIbwgQnkP2GO?U$C1+i9vp;?@5{~x> zG?E%tLv{mcN%{jzl9e!Q2H+dVagc|{Fdg9m4S*pxNB&#$0A#@j5n>>dYIMYOxV=5 zYYyR20kCO694a!at3=W{M$+=cS{21`cx?aV$bnqR!QA99oJLpmC1s{;_3?eOn_bF> z`_^l|VR_HgvL1TmbBOYsh$qiREKHu&?W?tMwG%_7W#PSc+D)6Z!p)?lPgYlx5fkWg zN=}010>o`EUrSiq#e?A+@E+sA^omZvZ36Xxgj}5^pK{CIQhHZp$!WJg`Q#fjMhj+| z0(x@X0^68XHPq$w45g7w;r+nZI&h=&O!vq;!5q#8QegL>`@c4WuWo0Ht<5GO@!wyFFls9pZj5*NXue~u#UdVZ}4fQ_2fa>2`b@Q~B z$WOohigS!_U{{hS*#`CWC$r0Tax5p{k|OG3o=~;#c%GEfv?{M>Dc*G-)DE55G{-+q z9gy-^-dUp519`iU=_%$QG2=6izXla@Igw`!y?h~QSHUcNw{aNI2jpPGfaJLx_VjH9 zCltT*s8#zM>)7+2nZ2356A|k@H794qqZ;$NQbRo{nZ8_%ga5Ii{u`K0+Y`UzSiZ~A z;`=r5sPjsPdx;-ykGD?lW$g5M=XF4weVA~0QPa}A9X3k!O))DRX>s1&>bpUOF+!!j z5bj+o*D_1`M3ZLdIm@h<>J4itH?x0t^;VW`%iDHbUMsAXq)Ql9zCHH#78L64S=<)z z#Bt?h(HSr@*K4;Ed+JKEt-HFyjWXX`Jz0s)F+d!aWMJTGhqA$jK2kEZ@`4o~Srn>S z$~5#)qf+SNSxh$uBLwt0SVj$hnvgzAx(JuD1beo%M0{2s|JVHF(_P zIYFC(yWW%drtC3^QbgSlEEYv=e`IG|zSk`h zo;juhvK6{lh-nkk35*$?-#X}DUUTBFT>UK|!;MvQM{bHnS2fG$q&!zV)by9}@oP{H{QCA>u#H6-Ew=b5d8wBOVk zaxjZs>cE~55C8yX05MbmFaQ8D08K<%Yqi#Bt=6`?-4|`vS{1ZPS6UYr*4A32wJgzSDUZ`GCwr zrD_8lA{g9gvnHA_=umql#rs3I=Mxlz+js>QAcCPlH0UqDQ0Xs|JvYb9r+xIEj+T$j zQ_AvAdQVx{N9XDCoE4WeM1K2VTEZ{@03!fJG+2dY9S!_AMW6dvn0x-tf72ZE8-nyE)+2sc~GL-_)CbOC>V> zrXlacZRdQyb?1J?dE?Fjhs|qHbq^PgnP!74LA1i#~=RigTcEC zKf86f+-iX+=$8Mwc#QN4RlnjpxD9-;wp`Crr}L-Q zzS$`{^eJcHk5#U|?G>lK`?D7B<*hW#*$yUD)2e4@uXr(T4L_r6+nO|9%~6k+(gvjy zPr-&=HFvJPtKr&bZ9VC-Yi!sQ3OtH$?(XU`XHTJwXUl6UwsGyIsfX!bz*url%&$$8 z6=TO)GnQO2cj=Xw%lQ(UHl9Q1)8ezWhd(`S`;$I>x7!i)>fZWM+t;xh>o(hGJI)5( zMzqChQ?%cGaM@tjvNi0s-M7CS)pyyy9j$Tn)mIDArk}i=L+8x-vd&B&OUIU=we@;` ztc*${c8v?+!|)nE*utl9VC%OE-qrTJe;-~nZtmSHcRh>Mwz6a_+k4iIOJM3dJONI4=eq>^= zrRP$LYfBW#-4~<4fv@1JS53>+*0W_Tugx!$CKRb%l8_vt%Bk^bFOC=@MWDUxm)Q|^ z1SUVokTT|MscG(5H>OirRJJMQ8lG=Uwx(_S(9ZH%>ej7AyOqD&y6@RHP3!+&x#zmbr3#aZ~1VD3mwl$Qbfw+yxhCbUwDI zf$3DNtV=73v=R+1X+4;GQk*uY(JJ)zBr1VPk`iW9xtsm=GgiN=Hmdh8T=+*GjQ0T> zPLd;G$oO!dihw3Y<)%?oE2}H}l@+7+rmjg;+%Q_$OA^g*?z3WWq}5v3ZeDf{6Xnpxq%0lXVEk{evv)heb(wQ> zgLA-5UuppP0M7AvjDr7x8+iC=C*mJ{-y^1-w$o5<4#NlbzP~@`$I>@(S)Koc!{4I7 zd{-=K=_fnSE@kqhQ0i|O}m2MvN$mXeZNg9@9#GDl~djp(l{F% ziy}U6%XX!!+;!`AzMBq>?|9+veYx}d@9eI5Q`@)OZMNI}dU1CJtb^X4MT5;ZP;|oF znIh0+PgrqgtO*mkdMjOuwd%UUy0YTxy2!$5a7u~_OVi5CxkKiUAL7Me(Hz_w6LyOv zhuCp?@GT&lH%_bf0>yf8VGEZ{9e-xFFVixs8kuXwn`w)`l^J&qT=P!6YFSxzEgF{M z9M5UUjL?eL_VW}CLR0k;QM8`kZ?-MieL{aV8U@Weyoahmlu ztxKzUqJwT8@r-#pj*cq=#z??kE(F7F*u(|gvFsHZ6oyJ1#{{34Z)hP zVQbcERY!#qiZv>O0+=>uOgS^^q&aD%QtHy$G;nzMa2GsGs+OZMJLQ%)a^K)qGxHXk z_=#`KwLEdheejQ4+xfKQsa@Xe8K2y#f+o-Fmn|c*@|&xxX>sfgw@!Y3=dZJBTgue! z%9Rq8I=mdM-0Sz=z194-d2LyX3$hDr`9aQ=B4tX6v!)yuZAy=f{wz|md%ue8Q_`X= zsfC`OJLpk56!H{=dS6soS_lq~Q7l_a_O^v`*KXwt*XD2u`G`f|z&Nq`D~JH(KX`GL zj^Max))_N7fxrA^o;mc+oH2h5mB#b19I94kwUS!8^8VC2aY~qzWn?*PzL+i^hcIu( znMnJVydOcif2>|D*4FGR#~J2W=nG8F?n5?`9c9XVZCjf5lt+nTP%x`6+4~d%Zd{R4 zxbWFn3-XL--dec0((0{@_fLB|hJkO!yQluz8T?$+<2y0;tq^Mmam~kvALf0Zc|6H8 z=^dn_KFq(b7We=NUsy=YPO>>&`*2b^;PWr-tCnxPw%{M(aqX>?c;4NS`r@kYSvwUs%YI?B`00tX(H)I{}@Mf@sLq**`A|6#jdd$Pnp?yHl7PX=huTSWXrgV z)oueXuM|_9A~RtuX(NlYb)9!dw(^NyHuFCFNu9fbE^PlVu<=p5cdK@nNov&Ev)jJv z&bf5F1{GluqNPTsO{gkSsg$U+NoU5MLg~+Hbh$$?r&+NUY;hhi-3yow{(1S~#UL<3 zJ^)3Bm<6B=`mxUvzJQDGeA8F9=j7-CF-4cQsr&2}hnVg6Ze}|u@pre)f4IEJZu{MP zj&*B&T9aO)i$-Sn|w_VE{G3h!nc>?;S9I~c~Z=;|8sv|jPYTv)X>aa+dy zBD@Ahztg7kCzR=&qPoP%&3dkWwo;o=pAspQ`C00mGGxf;@`CIiQOb}}V-%S;a*Q1# z#<)SpkI^IS_(yj1A(DhYOHQ5D*4Pyn*A&(jm1-$g6@^;d&TY|Jw3cl(d)dN#Y4I(_ z7a*VHLEVkC^WHBLL&}M@HT#B>H)zUPvktv9XPYl%%P;e@!$hw4ka*S&%=P-)&t7d% zsbFP7VIlmcF4=OfbS6u7jBasUqCb-AAV@87WBAW^LP9)P3I<#WBgub%bj6S3wb^mi zEB^Yjs6MY!rD~PcRr7kaLZ+2gm7`{E*lnv-dge?^$=*2I!3=m0TdD2V&IPJpM1lm`Jv`wQm z>^B%b9NJx)9@^QK9;1E#zT?bk?zf<=H)#FBea-pn&bE1x+qS+t zY*vj%pAsArD9(97lhT{BXY4so#*i&}#)I4=UI7bfFk858nioxtOWV4$YirkHRcSb$ zY%)55&wKBmZ0^lIbZ#TTTV&Vl zaB9_@ng#o{baQD<`J+CiJx}S!kZxlOmx6s-x1uHy0Z9m(IH^rK6mBRe)RpvNiaesz z&bV>!UVIno4T|s^0GfTG={iPE7FnD!VqBCV0RY+E5Wtfn12ZIVLvE2t;=btV-go(V z634UHlP>nZuGF^8lMc1TNNawMBYVrUJGaOoq}cmW#6dzRqE${^zJRW}@0nX{uE6#* z-+5XaHfjx0rCfZpHtab@`ACmeTbNhn%X!k0EGt_`l``av31G^)xMsK6vn^We_9A9( z`&YG8*EQ%xmYX&%GoA&EtJb+!@vRDRRfS5FG$c!$JxR`A7EGdxt2JoOLQ~3e8U`rOYW)reuj}zLzTGOqp_abSOtklk%lrXBI6+jZx#^ zz_Iq~XRIBfWHdR=jZNca8;};YN~2s$U|aLpHFs?BKpVmMLH6} ziH^SeMJ-;(qT*ut;fLqn!Pv0wEBG1*N5_LQg|YI{ zif1dFw*6IpU$4Oy@qS#EU%S7r-bnuLF!pnr;B@M9>Hpiuq{xP2?R)dohYy{;&u>3@ z>3NX1IlHwl{^07S{ktP49F$3T9Je$D4#zE_dh)mTR6##;U##Q4Z2@dxJu{#F<}Hp3 z!J$$o8lWn~-`ZQ%{I9L9j^*LEDiv^igZbUJnkDGSIUbqac)dpP11Ho~3K>;f`Yx*_b1F_Cr)cnQH}r_AOPrWFSE_0=Wp$ym-mr!tvMD5{xxs;V|^ z|GSbjZq3+EPOJA|$yM!~sw-0Y`MQi2H`TfJSA2PP3;mAEt#jQgc!^Dsj#ExypB8WC z>>pdrnlWX(SiViq+^Sg?)m4?d9+^1lOga?WBFV~<5RJO*G37Oog^?^>2JJ0(1t0>K zV7?7RE3}h?!^)h7?k#lLi*=r7jE-N0vdUrb*dnk&JW}el%+gjXq18`3Mw`7cE&7rdDBr5gtiowd+ zJS+*7CZkAZ&S~@7#7Al&0Z5S&B>Nc2?hDHW6Tf=3R&3gBOMosmNd6aUYw5~pt)2o?Q44OJx<*AxqS7TPBR8T21XN<{fww5rc(D@T4pDR;K zmU1P-*v|4d){GouM_18N=JcpZev>9$#w+iQ9(>0yvJ?3@t<9N~DFU_Wv;90#X|GgW zLDsA6DKAVFds^)+T5qEQin}3@`XE+_ae6u^M-;%|g??X3!m zPNt?>ztyt%En5ZEHNjq3biig|EDQ8U+DsLI!?5DTg21CL+g3kkFzI*j=PgLJ` zn?|)H?~GBCuG8kbHe>3PZ`-I>zu<121-n^Kh)@RMY+KSnI9a>$arqU->s0;=@c&I| z`JHFhhYdcB_x$h&Pw%^GOEOf4HkB%3Mu+in;xbX}C}n<$&$^s2U(1zt z5b+9(8*~0HI<6z;RvUB$Nm6J+!c{Zi&Tl$zkxZy51PNfL=;FcO zPVuGpJXyJQtTy(<1;QXz7INw9w}_78Pbin2agjUI=bDbe^sHFrF^$HC~k8vM5}%Lwz3m%!r^Ji?)`_}H%!cx zd@m?6hyC9BKmXmW-j^T2eDSy5$&HIm?nRuDovCbF!SZdKmx?@pYp2j2|J$H4bK|@x znRzqJl!(5)fZ3L8<-h1lCXOJb%qnv7M804@r&D5BpNs1IR!SAAqFzPNq%x%pX+@e~ z9nqzhFYB6pJ>QmNX4kcAmy`^ewdPF1q-U?!u-qzL>swn;p(NyeNcplkfvdu0P_$;qS;F0fGAeR}z3}xUR46=NrvvYx@(nmV@#NUf8Szpr((#Bs zZ7@$ageYW#*@|x+)E4~dm&vYR4MpyKPs0jMQ+D>-9PhGXxo(>e%Kbo3IZg5cv z;tvjDDX?m9lJO&a*=cHE7Z5Qz9kIhYpnJi>7D!61ktF#J({p z&IZ{tjz!uLr0nRP+u9TQ1Tb4jm!IB`ANxkXSoSt&v6WNBek&f5bPzNIv!d)_eynaZ zSShQPC@9uGHK+6HRx;H!Lh0r!afWy2_`Kf~UZm!O1sj6j@1e+_Pm01S7R-1QPT#Gr zUl&#u*B}Zx^sD!*ISbhv_Im!femDurHw=VY-3@3J_ zM;KXRC$x|;t#11tl>YRXm+Us*blyU*=7Vc)31F&l+>eByy>mEregOX#e-Zk7x5LK#3_9ar6ozA_r zWxm&$p6C3px=c9*j34+YWZZu0a>M~PIBWKnRbRnZTwzvQTu`GDXhhjPo{SkKP3rO* zT|$atbWw3tIZY~&Ds@A%r_|YT;+HYw%gC`D@v;OORPNd5$;9V;(0#6<&iO zVo|A7=_Q(B8ka35&KeU2O~!<_w)$EbUCP-P%E#->xj@lp#bHRuY6yeC#RO|wZx&rR zc!D95IKgER8<<--P;rFPE^IVQo{t{N#?r;~I8}C$BBe^m51wU|mi-`) zEu_beQMJ=z?bY9$o#srcv=A*@$LZ$cyhm+Oq*JDR39?^=iZ8D06>{Z8X?X^iJ|)X7 z###}v8mUqmmiMn%*~pmd+By|Mm5q_`2oYl{*FyENdD0u?P53sr_c1+GSm|5*ezAc6 zJdG=X`UZ0TU&kKt;^G{V!2fgaK2%sFRrD`Hib>D@BNpHm6T9rUA#uby_Id4(^*rH8 z(BHvQ{iV8&wF3{ofG}W8Iaj`m71P6&>KZnJy>h!N!P;8ul=XHaOU_a>1E$NX+uP=J z)uubo7POM{<93%n-oCTD{Oi``f=&l?sXyuc{*wm}T-LSC9^KjLqwR&r za322|`lR}QaBHXS3&HYE#~pSNhi8Mg%DwPi&+@gLzd~tu!()oG#Iy1JVQ`J(G zl+5Xij0;OD6aob|c}tse<#3`^IlKr9z^nZ7og|rCj*=?SsMM!czAsnKl(T32d1>aI zL#Is?J)}%I@`_v|Jw}cZW6o|TQ%aLExi2>X!lveXk$F6kzmOiD`s_BljfW_b2f*K@^cDH4Q}sX)}h68)K2cH_>&gcl-6z^ZP_rC)-mpd==B{xLJe?-pgeJ- z-NEj?TfIUDl4sGn`}YL`r5p}?Fz;JZQg;vGKs>`~e%IMO8=C#&d~B%Y8!x9EW5nBS zQ7PYVw`t2ZGWoL3JXQ}CbyYe3cF!WTzb74jk360=cs6e?3pVuO_~PInc)JUnC!M-^ z=kC1cONVV{Zt3@WwHQ5tZ(;!BcrE`BXbeajnTqXNyWW75mDc#>TC84KS~iD6kq4p1 z1UN5%LX%!tQ&t$&Rg>q@sXY;eMPYeFMLv!`h0CFnrK~8lQ{f>;kTE3BcqD5_j#4H> zxs->M4ERy%c~b$HR-xRFGRyY)Uvv(lPSvG3bL)|T8kJxFUb%j`L((M+0z z#o}%aPaL?0<~hkxZh>y-=o=BM))bXl=mH!K5EuwKPh9uZA;m4w;V87nLxZu>eeXKF zdWkf6JU3jx#qEuzsde$YxU0*?P0-%X%xultwH0m6y0K!aR=bt+=Ac<+ZOL+3P>Dm8 zH|ENDlJ-nAWe_W6OR5qRYo#JyF-@H=s4Xihs?DrVU#QSll+`7>`avyyk$-_nlTf18 zSNV)r<*MV$3;#Y8)kzuD;KT_t!v1OINx9M{PbW_sJkOpKjV^KvM#~IEqc^-!&)>n$y?AEpje!B< zzqm0b-AbR0*D}Q!R4Z8u7OthN?t0CyRk&O^?3%rU_OEc>qwFtb{QriwnET_Kt0!;0 zir~1f|HFBxhsTc_-9KuMmxFew{`ls|bXma5kGCDO&~UZg?;-MCXR`A@emw{u$0H6q zj^T8KS2G=6f8mZl!y~ghAndJGU@QV?Po8JA8 zcjGVN|E>4`D*h@LaO$4N=f1Hz_88pSTH?DN_&y3xS}!?Mo2ZtwOJG}^Zmo75yJ~@B z{^%FEbHaVncsG%s- zr36ZIg*&f8oaY32v*vs%XBT5Fr_)p4hI$||_8*Em(nj)v`Ys13Hv^7->R8v2#{w(2 zi5c7WtPvZ^I>w+@$T(=CtTm)9!0bhQNlp$pVJ23uEvLxi$l}a*5_>_=F*bv^AVOOb zc(@%9buDKTR zKb&`Q`)ixaDSvNkT)pqyjn~#{PVme5!GuTX_ZJRa&nX|@yYRLhj1qTbE=;p6eu6p> z-P436m23?M4}&*h_Jaw>li|;RR;TJ;u;5PEzZ{#1o z9x#h`0&Mr%+G+~eO3=la44Pc#ww!Q|_JJA$L{>!!n*4k_8EX9piZ>&BH3fKyyig{A zd&Aqjl__8o%D{F3HdggTqLrNRM8=dYra%J)buj1S$xGe6M}Jqlom)7(TbIU#&*V04mZqIf z8kTb1T*cR}6{`@GpBSNDkS-@)&4)9lAt4lkO`WqQB6kBwBKR4xf{!(e%9bG|60a~% zMGu)196A~8!l(=Eh-*_&NL?FA@eSOj`n3(TNv$#YNw1oAM0%^&qc!eLu!UiJp#QpW z`K@`7&(h`GZljM8w-I>4IOo6q$@6|Ukuc69(`UyQ6_uB~)t2zeC|!YOLc5tOCA(V3 z@m|oPCMe|F#y29|dHpDAa^E{T5x_s>&O|R115AhdeI>D$x?NY{H!TXqLi0bbh0QA8Yo?oYF4w#P!sZ&Kp0 z96@av-E{7Gg4Q*tO*pG+u~Mto z%5_||LJV((Ac8WJ29Q=j!3~}^8bOnp{M7%thVipM zr4yUTXX+pk^RY6J0lZREGfmuCqM z**y9d;dsEp>dmY_{wjlueF{wJ(O+;MXEr=!L3pmb{G`&uYhh*(wxo;DBj7RoU*arcy3l4H$Tac;ky@omrkKNDEf8EhnFI! zzIR4{T?&pvyF2FNLf^6M`LUa>)_%F4is8tlybmo&dr17UkRRN?9WYGfoAksMl)&g7eCrWcI<;Ut2SQA+VH!!Tf;YK zpeuK##N&j#4Bn{;>C?W!KDZmq99}sSxPa-1{sAgQQ#0Jm7()m-fs0ipd*g<4B-*BLSzU%wQf;-YTnt22Q(}sLE6rbuF5>3U2Oq_!HPO`Gs z&g|J3*wJv*&64SEYJT1aBiqAzho$h(k>(e@Xw-!CZ1ZE5UMH~RD^&O_vTTy2O7B~) zc4>M`+qF#-ZOoY|6?ZqSy?pqsZHqYn@@@L>KL0qpxWoP5Yae%Z&!vatgq!k^n3O{5 zmBpjSKODaGbab{GB7_I`KS>aoj{n;G58RX*G8DU?4-{EFn|BOFFX3Z5)2Rd5P&Hv>FsB!mhxe+yo{SaB3AwGl@3f&*?+hcUMZ5_7GvnGJvQHfMP=d4n7d979uENf7KG zA?Fvb;}kXP>mH{`&$0R|3w%SKkZ%$nqn~d?`ABcDY@YP(-w8_wmlh0 zd=&2$!!wa=1oPCq#+gfEB@~PNIkd&iejI=(0crw8elt){F{dULgQVXOY7*YaeT~8a zc{i$TPgp|Ly*)PIs{1_2`l&}YpHJ$~nsoG6oSAC=$H;rw^#E%?lCo;g2&V49rzF{@RdKPdV5)W@^QKETzC~c z><{Fk89eFMrzgTBnf3b%6q%L%JGV*jkGNo6_jz95j-R#(e-omLjKE!DU|LvP*s`Q6By_?z_Jkywc>ebt$bPuKT`yIvR&5bm z>!YaVcMY&J>sPU1GO8~ycEHHXCD9D7wFhAy0KpZ?8#&q9#Cpm4xDB9*I!t9$5%F*% za8dFGH%v;%H{$I?i7n@1(!Adv;_si<|DYlWr(R$K=_>Z389=GLG@24 zA!N7be?mEr(IHr_%P)goH!(X-T}k2SKv}uqWM7TNE)qj&R;>g(07(sA&oZ@`GY>R> zfG#f24e$M{)4D)r*IV~vmQu|Mw^+gHCH~Lrk1Yzqjw654LrRgVi>7{~rY3|9tc$O)Uo@kCVS2%^wNAr_&yC zmU3@;TKM>Pe@o5@_QBgLIsUEN)59OB`34@)UmFp&-R0l^oQ?W3*58v}_Dk8kV!PM_B4Vx7K0_YGVGNq02FRIjUj?e?>2ip zIbp;Gx{)6tX^y!#zN|Ty)R}Z~(HU1wolcWx{X+@O>+N~zN+crylW!5W^4#+hE(-n+ zMXO4lVb#;V2KvdB`WBs+I*|MP{QF&MZ0pgJ@TKL%2*3E{y6NmGPHpEGTZB7(kT78} z{33vNBn|;V!UZ*A^GIUDGrCwf6iae9KYduTIIQ2GpR5DwBOzW@Ou)yxryYNQ_POif zc+YLlSR3FZuixNbG1^i+a~$~MRPP&skY0ahi|z-?ZUl+t;=#b%ZJw!B*i)8QeS@Dw zjL$*@t~Y!^NzYQF_6vUUAdxpT9&tHVevs6;eTtUNdLwx&pJtkSW^qcMHsxWf#A>sx zRbSUERVv+*Xh|`@^<+8As;v(6hxy=H?5tY;hwI|c3V^5_=&{XPcU&F*ur1&4JQTwT zy9JM(@$RJIC)+tl3+XOA#O`*(4|PcG=0ii@XFbjg^kc{PbySbt-`zSKX!kDs^Nce; zeTW8YPqxHqZC^3r@wZ1l@x_7z+(y+l02dKs;D*jOjV)HY)8>_TI1u&*hRt8c7uy4^ z!7>~ed=Sta+WVD`f+PtbK!Kc1UcuLH8>l-bgcHET6Ykz#A5XfAEnG^qAcG=tbR*X& z49+{2TNTu+m8+hL4=1~Z?m*=Y@?ClLfohB0-QLw@qqP*>6|}B6FSk8^nN4n(1>!?! zf>U?_eL7(b$f$f|9fp1ypq?a=>WHU~Xd)F1)=<<2k!@VfMGo!SoxNv}@O+3e0l0Z` z+n6cgdN2$8$9L%Ryl;-v|9wvd9M*q$bWQVsMh}{L0 zzv-tTV`8YMe@ysZupUwMd{`7*z00xb`H!IQ%$knlvzrmVk==$r(0ThE_rC{feM}8& z&YslyjpOCJCC*W5v`q&OtYOXV(Q006HD6$EJ9;B`q%#GqEeePRBskDXL_B=Vv|`A+ z3zcFg29(P{7rJsUn#!4Jt%w)u5Xcy|8N_fQ1QL~ao1){aI!6oy6Fy=9mz<`K1T+~% zIiRfJr%Wp5cDlSm#V~m^6{(qY#3H4OMBQ3jU4CjMs3$S{quin83s_qTFPL8=c_QbD zmxkrgzBho+r>WFXtn{kft~8C zsFB1;?VGOv_CcpJ*w2|{<0#1Nk9GH`vsmeN=`>y$e2bNTa({~6y3Xg^sg*Ig1 zdg48dR)uea1x00U@58He|b@R8P(E!Sm>(zGtJ#K^8*O zL>r}&WbA@hm0h$;H7U5T3pEnvFxaIb8|~OmL=rKcrruZ+JS_1e&dUwHGag1J>fpUc{wp1V9)V= znNzTYH;Nat_;0L;i~mTf?{ak>ITOM`)cT3qR+1x%>MAsfCAy0qpgtzggR_b{BU2la zGg?#v(Z&fh^(4g7sPEJ(i4yW;M-*cJVV$#!oViJ+{O|syg8kN~^(&C>tgGPHDc|l` zHfjUxKL)o z4VX*5vCR?`*ll`jg2}vwxs9{I%wZ5)yW??^OwukE5e0BpSqX zVI?)Y1dalBGgBd;%YbqSh~snjEx$`oZ&9X1?_GkfGX5B1VMj=kzqZ+cpTOd=bp0No zB^gP3N4@xVGHq^pVx}X(-Cv=|ec_u~`k`o;$2aDPbJ4ioH+`?QeEVGJa~gfZEpqJj zzc)}3HR&43I3y6^gHQ?iYv2hk#SXHuem`aeU8eRvEu-A&x3a4$!Ej@*i*&s? zZ=m&RH{_%-Vi18EJSkz-T(wwI^o(;p%vuTPowWY=`Z3lt0Lf+Pzur}&n2hWYmOTl5Y z52?tzuTmith=vpC*k@65gZCfMtia4>A6w2s5CM<9wa4p;?i8_ATrFq}Zo*ZHc5Uj7 zHs`F3G#k(*ei;N2DVb0*%x&I8*rCoy&wp@ZqI(9PRa z_?p4F#J2}OdW#DyT!x%bgw!*)ipil2h`!MzgS6Q- z3ITgBjsx&01$~Kjfzlc`Hzw}~)#Xz=sx)vd4oa{dduiP1bfsXV;56EhvE**8(O9m0 zB12^AneurI*gV)bKFq2ciU)Bg<^UEU_U<5g2%#I^NwS*>h4g!UbHIFj38NDyXgD8w zFf2?EXktf#EH168XmK)BPrRYB1g+Kn8ql%5_pJZ@!g64Ij!*P7k|r^@#M_7 zZ&+5ks$%=KQZ;SQ^FeKaQh6n1T zllmKGU2hbfrz%HwFgUwSZk!(CF%2Bmj@|U_ZxT0O{N#M3(&U@R1I#c>oR_!6w(Pxn znl1+gHK1gUyzW=dZy--K;b~zm*>pwT4p4-{!&Or~#qT59E2<}#!Y1E7u+S!UA<{6% zKr{o&_p&6&3r8Vv;kYfqN?1X$CfNq+H{dzPIjGX4iNOIuybQD{spx|1eT140$-5% zPdO!!gx>%lbdgwFq}HC@pn+kS(!@ynU_DE~{iKZpzWW7Qj{W4y8FF8@12-oQJ3+od z*$v2BA?IWV%ztuh9$)BsidUx6VSl!zHHdy~Rk5~v^c2k@?!h-)`)Cpxt+>|ZKo@Gh zU0{e=I4P!YY~Gbc(R$1;(&a$YWH=!ziU+CcW4QPwl!>1dKjP1+={>m8K(8@KV#VuP zD^@Q{!YY8zfm|uyZrGbNQbP}}tupSF^cK^duMVo>ANS26TjkcFUzkHRFh{?Sn+5v< zC{NXDG6?1;)xsyhKU~y;Nq2nMOBU|?v(DR~>ah>sjFzu<0LSPg7jg_Qe4k@9;Ncve zaop$KN~WdD&G8!CWb?|Ka|cQBh~#j$_29Ca5EFn%6XLbat=ex`+KFhz_yRSH$d;y& z%ch+1tABqz4CQ^|MD(7HZY6R>-yn}fvpGnali{?J1l~`)(LxaQff@-wN1%Vyk^VV^ zWWcad2pR>djKl z3Xk3+VuK$2;~P_*^gR7e9YyRD*=LW3I2xLl;D*!nd=wOFQr~kyuiyrFjrgyi58Pej zVpNHo%QN=u=n4#LVAs6bmu0rn)f!SD2M z%QRX3$zexx`P?mQH=ntR-?l^XW{95kBOUcH`jL?vk3oE_SXg&EMs$y;6|S0@77N(v z0FH~@s%XT8(ABqjD|Fzu&iYs#WqgJXr@Jv+0pa%_df#Dy!eO`^_xS3=VQ{ihwRvY> z<-uLY?bw9S@^(3eIH>c4f(Y(E+#7HMp^v}|wb~Fc+48N|HWL{?fQOTo3B76EA+sGO zHWD)Ic%AuY6A|1uHaK1FE>W8;{w8f@>)43H4jo0h%0XfKlu6RTgOz?BkCQ}-7wkqZ z4(^UTzBo#(N!k+l9vJ|?ryziKLq;gXIgea`JvbbEz`j%wWF~E{rR$IWK$}5+ta;4; zt@Icsv9ZqwX;isQ7*94&Ea(Fu!l91V=bJvosQbRHbX+ImKj)cL`biqXiTG_iknbAM z@aR@pbt*RkiExgFSG^jl<8Bg5JngnMWX~}th!sRa=qaal5`ca;&(X4;C}@QPs-K}% zVhb93`8F>g@ktWJ&x9H1J8-W2i%>ilKI_W-&XeXzzQNlK&+k>(tu&QlP|EyLPyz3U zh+6L?v7HSPYYpJrV^33ca9D}Yz&*E-^Tgf)B34F-Sf0p`sDvblLv)rBmDTf8+fSDbT(&kVdb^_i$Mn5x z*D3R@+xjv^l>71xht|V(_^6s{8~?hBn7omy2+a2n$53hWuk0)jag7@5pzUihu>F@U+-sH72R654#cRcbCds4%V*>rdv ze>`dXr0=t{x#1hEhxu0>qMo~p15R%XAqGi_s`)2RaU;G(yOoXuOHU^bFIi-g>$jzy z1pauZQ1=3Y*Jnk(EHBt4Tfhbfb0iwk>*ul*V{7h2t+sdja#!8r;pY_1R}LCptt2G) z7>n8*&CiM7CeK%s?4xIb^EF0mMs43la~oDx2R0{$8L<5a*kZU)qNz6!Ajm*}gMu z67IBpw6A+V#SR-j1bqYkr=XcXAQ!LAu|D$6%f4}dV_V|Cs*AsDi|aj`2m1j=Bfjf* z@P->GB;lWK4)MDOyYOn$w?B+0NkHw>2}@G?IpFo6B!aL~Ef52~U0OeU1Uu$4IP}ie zxOI2dj6S6Oktm7H5a@b65ghC^EsKR4=nJ*6ul|NGzHhHRiTq~T7X5~l_>SJoYZA>^ zl&aVVgEa^OZq`-lu0*h5g1{zDu+k-eMCq7O2fT*ZiO4X#NT}R!5+0VH z@gjC4BX>J=Q3Q^Ma|+vNiMQ`db^}Rb+ou(|!eKN%4Z0FKw^nx>PRPX8=&oK)|3@ss zqJ*(UO$YMwf3T!lLb4l45<=i{*$axe2fDS02jvy1kf6kXOl^@qm^JBv%~W}G_#Mku zqTtDMSdRnxg&YzicrGR37C_a%3WJ~#h7pAb}9y&9HwJ&1hoxzRw$Y7+y<}68@T)DDvvmPDaNEwY0+vl$`mVK z;5Ytt#F*0c`nXPCb3zi5-A4GN43SGm_!V4(jg^6GGo0R;e)VpJoM#hdiG2Tc#M|skKC-RcFH)&v1PC9TRKtqSaA39K}~7! zo1vg!+2u~K9mxC1qamR>dcNL5e9QDkGf&J<7-KfPdc8x78-l~_e}k)8i|_d0Wds}K z{-6O9>p8X2JORNW*F<=Nzl`p%gEiNQbZ5)f>;|qjujiqNe&Hy-Zi9vf$eM*CaHD_; z6GvgegC_!Hod^J9170M&aEDljW;F03fjJF|dq$2ma`S+JPhyLLMRazwt_IWwG5Yit+m*n+P&?M6?xQVFx=9N~;%#*LFy!LJ zjbIM+BPbsZgF`k9#6i*->hZaD9S65EDWSMX2uX&-HgdNjDF+Q|IbqhQnn;07Hb%k) zxYthB5QNb>Q5`N4WD!A`qT%#*z=8u}MIs%TstzYui>>!_w3Z1fPZldk75YgW-6QGz z>my&T`9mpm`V;a8KQEXqNcuz8{%R+trh8j&4EpIeLEL=P7A2qhfw4lqA-73cFYOK? z-3t^^dCotDyj%wr zue-C3@1=`_M@~6(&cQu+*8P!oyWfyHX_KdqgLKiHjwEy=`x9Y73J!B#Cl23-zIVkp zo%nV4mfXh7aFxoeho531uwy6&;0cItldj2b3_PN(*H&&T$CLAsH^M*>{@NnY%>PtN6KB! ze55nMau!(WZM0Rd8wfI>D>3!W*!gBoc+oSGs*`+Df^Z!NK-$e@A{hty8XG1R4ZO5UM&Hw%IP|jZIjfV#s z+26|#3%>c8n65CBThaD|I+EcV;6QKl@WlT+yaz=1^78^XKjA~x}OZ8=OU2K<1%S8zh0hCQqJ_?{6eDy8zsQTlG~H^+qBfSdPiiJwDs zyTK4fanS>ZHiDq|c-%>zb*^vH&4Dni9I`&T3VHi63biyg(kX=}f6xdgNg81QH3TvV zy@X>i3Q%1qqnT>NL%{+gMNip~z7cnvx#+b}06gH^gx%qNlY|whim7oN0lf^74$?aa znsp;UdBV&KOam-!X%u2qXm(qtaU&rw+9RPgJn!#Efl%e)s15#~Ged!#DYy(_bdV_c zHIg@yAf*1oz~@??ue1$J&h#u{P^0DN)Ns2o1?LnLG0=kkeN#MEI5;-kIT_IKC@bE* zx9{cZ%7SpsExRt+?duvCaXpEiW>>gY*I|0Ht<~`+3U2iNxVzkiF4@V$hj`;@^E-d~ zDRq($!;1I$2Y-BYxe(YGU4Aa~l6_S_9?~vc1a9;@>O#3P{n&R{k7FI#(Kq@ttSwSQ zy&H48ym=?dk(_P78(ZH<;!3;+3r{P-|9~BuVa0QD=lxsr6T;X+Q%HUf`~D(qFX6p~ zcij^IiE|X}oR}>aOGDzpAZ<91-+>sA6yS1`fe5~U1|%;;o#$)#9Kbpx4#%hhoT@?c z<0PxX8)-Z#CZ6A7aaacM}MS?=-@y3B<*zI zCEpir#GJhE=#9xYA2$;(Si)#w=!R=0?L_3^lteR~eO|k;Mo7N&DDeAV!*wXS2@qI9 zR;GZEWScBfDl!(cjfrh}afQWXEpxFuNJpv;{5qg&*qDagW@ZOnxT?uT|vNX&|CG5IAcD>3Wu`xSS z6Kc1FYH?n?HEPXD>BcR3s;gK{YO_Qw+Nv7WtT26f)`E45KoQ@OVZL$W&CjPgd{jaF z?b?|4xyQ}V=z~P%u4Y4%DB)r+dSYIS@>2``6m_#b;c-8LcvJ^#yT{;cO*-xl9W&~b zyA3-Y-{DHKC(Y?#JSir&LVv7*@eNFjGhdwU%{C3&!kHM`B|DFAzR8of!^71^DencO zwbU^yS|mjvvFQV55;^ffSSb)bN94$bi^-zG9JG7}MtZaCO9x-x@RcBbe~yIcH6R=jhQNQ2j3fC0QhJpIQx`8?~2wM7gP_Z$R~tfTyM7 zG4OCB^IoFrNGM(2Lm-8?8MCjp{PVc2j0!ecn z1p~q%LEfmGyf#<5D~a{p1_r&|PweV8p$Z>wz59f)phBn5KwY=b{5P6GdLsi$x(OiB z4tjoRo(!*~XaIUAxIXDqOZ5(vcKOxPzEqXt*DNhs*jjF@Q^8wvy%psK$$7VD>-axA zzxlq+xnq_`$93d_A>d=^`cdDo!9MmuG&o8;*i9O(_GA9X(D%vt4clvxEuH%vgR^$_ zrbE-=8@#+;#7gOIy4u<$H{6CeYx{1r;ddwE{H}+8&Yyl8e&5xFmccrf82;pD+mf}KZND3dnFtV00$(FfjB(M zeT!u+>4B`y~)&qS>dd7^?A5I3-lGf<~c zLNCi3dyd2Ep>pI6H#Z;a-RenDMIRZ5HgIgzE$ZKijl+j^!y6mOPm~gSos)n;Jq2N5 zoKGzzRiXI0b(6o!gAzsHo`N`V=KrV%ky-&{4Y;pQjr`m-)sxQP9MbL(Q6aa|Hvi_M z`UpbT0{=*2BLNG=P#6@j{V(`}GguEHOyU=gzz&8Yju`s`nmKe=of19BqC$Q*Ub|o7 zKkG^Ey6JO+w3+S6EhNl?v(Rw@1>ZNroI$SJIjqtf*ieQJIfv<+UN_UHR%&b33!CvZ ztCgn|xn=9o#Wqz7kMXW6&|KJU!!+;f8=-s|k`V5&qCUHXU>=Wu4ZE<-{7}j)P5 zwjbX=;l2O>5D*0bRR$td05t#rKVV<`?Q47QHRtor%)4{%3^NPLV^u~LjO3KIYym{D z1W^V75y2KzMZgq53Pll7MNyYqR4&_=wr$C+`Xyfdfbp3bo1uU!H~{Acb{OXTu6>y* zKag+0S4IAAeM2Q32lZQuQzcRDX5ezrvRzDkxBBe}WSO+TlN^ZI`$*A5WPbz@S>*Eo z0RC9$-vjrx4_~2k>oG~+uZJ*a?DZa|pXv2sOj@%(hAEYDYDGkW5Jdop007a9ff*Qq z%|18#eB0Z%$$ZF^GGS^FY|>-C8G%)@u&YS2P*ML)8JD6*@rsw03M=*G>1b7HVR-27~inK=<1xiS2qOy4f-$39MZz9>I(jx~la#MFo2 zVa-8ru;w2(^o6s-6!l9s|Lqp9^HwR=vu2FhY0fL}%bfOQ!@HQ1!(7(OD|2?3a&K#L zdTw=e<;~i1?mSO>(V;VG=lM5>CI_$97cXDrzPsz<_1Av4ugl%M8#`I2T-A>H&6_&w zmwvddJKUEW*i(IVSVD8tuIeo}RgYWKoBF$jkF3u=>#q1Z>F`UsL1**M|2)h&{BoP6 z=A3?cn|s=|wk$mx!IH6M?N@6yk`>ItG!~Zf9D8+xJv~jnRb8~(Z|rL~UZC;by>)wD z)?V1@*LKr>@WcB%Yq#OqfBY2}@rXF`WBf}-<{xomnQe5I%a%CE4kyzvhnKyVU+X>A+yDf9>|c|-ela=QHFNG(Z7)t zGA7a=`c0I%P)-c98*+afiIZ{jM&218!iZ}5jWmymSSq;$XB_5}Sa|@cP}__9;_9AU zMmfm|jSu0(8@=iEuqchKBsu-E@2F16MhrYUKJgDeh84W=^OX3)AGk>s_uJij?_RGR zrEbUEwQhS%zKw6&;!|zmrs(uP3Z1;3EXQM(#w3r~95d`I42yJp?7Xhv8S%YKJIquYfyGUlG* zK5Ttnqm9N?{NV1wiD~=j$ukrC8`9YmeD>?9Sp+&2$@_%e9@u%2@2qwxeFX>M>6?pN z!~L!QYJbsrRt(x-l+uSc-suRhZ@zl%hAK0w{kgJ)9=lK2x2)OI#@;%GilX;5dt|{5 z#dNZv*%o&Zg>P>6SO0uIjb2~!-O$qRYs0dJdr{FeGaHP#Yrgj?dzsIAv*zL;SOe?-vXb2`x>vY4tGFZq2#qXZy`PcJo%Q%EIx*C;nIT)hYuy z6&Mrl8>kMyeEs+a%o_Iv@xB{;k@tAxaH%K0FNcMH?|eBtqipAtNd;ugb&R?dVZE6- zM-;VfclIup@tWyg6)#?nzuxxJ{Y*9f$dj+jv*YHKOPzxoJL{IQgJ-Xds|QH$@)`c8 z<$c2j7U^iw=x-Pw;$IErtD$G?oS&=;gg55*pNr}oVSh(fsZ;Jn9r{C%*#Zrt7Vj9` zp=>looZeVSg@O0TH~qJrcHv@2Y&SNyf|+T5q6C>6$&s2LhMNob^IA6q`x@$LJMajO zfOl-#;V%6~te-z*f9Sn+@@#?H#d&!R?Yzm6Sq9(XoM+|BFSB4z58s2kmrZ$ib%$_o zJIe{YkhruBYYuy(n{!xmJ|>l4!-?Mb+$8&|ci!J%R|R&)UiWX#I$Sryo2A{lo$h0b zylGg+JkkyrK7i%m#}6WavO8TMJ~j=ke^2+`4L-j6_`u*-?4vGT!3TK5FW7lB6PQYH z%>?B&4KjJ{05Vr-%NQg2ds|CWV|#Q%_IBI%io8O-OcbR@?h11KiiO@j?aMoEH!+3V zcRE+{F#E2J@C`{Sqd|uSlhT%Loc@JDT zktgwk+b}q;r}cb+BJamtdv)Ht7jKYJ;pv-*Yalr)ZP%tT+VOMtRUx@3nv9Xm_y&L* zL30_q2U9mfsqN{Nnt@`V9WP*?*bN{xL*Li;*}f(=aN~of-^2|~d)~e-XB10-iT6P4zD%9>gU|Qh<+4VDPYr;L zaqw?=eHa|v(s=X@fxQn%PCgAEbI|2{rCw%JWn){oTe_SydKj}?!W~)ldgkqFu)FVO zp}f9ndLLg)JbN1!8@BH};oqlz_HOz@&iCP`Feg({Sln7)UL^M2Uc-0qXU^S6=LiP!usz5vz=0Q9SmZvOiy~>aVYa0_1yDyN~~le$f+|CVUx=+!WafGK!P{;2XbecR&8k`Qa$} z;+(gB$H9&R|6>99Fkv5F;fcrJCa_;k^wbNPg5BHC0p9N)j(afOokn;gw2ajcop#KH zYA+-+A2Z$B{UIAgVFu8)12O$HR6AQ$Rx+Y=x$E>PhM9nvkOfpt9$y|*IL#^cQHd=9Uh0}n;A5(x$ify_tpP{7MlMX z#spy^Kdbjn&=EH)@`zM=M&`jIFt`8P^hPe~4BN&x(ISWy{oW5bA`S5Md|`Cqfl-R1 z7t>6a@kZu|tB6Mg_Rj>h+Qt<_=oJmm<~8fX=%5@oxUqSkadf-NJ-WF%g98{3$6N>3 z!rhL8V-1_j9HAT74(&K^S4Thx#}T#$tcE65^i6p2AB9ZU;B@q|@jMzO(A&IoujQ|n zSFtC*|M^+)*_kbO4;XX?qn)TUeH3kTz7IFe^Zq;8X^v^ILIRv-v9TMRat`0n@)u&kf{-534qC%d2NpIW;^LsjY|Ki{J}7ka=AyS4BO zPv3CwJ`Z#)*43lmzf0rYOYe)YFyGmwfg{kAXPHrl**AAr_+dC%E%H7^>CVM{Y`gT;E;Nn%(}0|P z?Q8k-s`K?F`+x06^k`LU^oj2i74^13T)pxX-K!u5zCn`<6ZC`vZQtB~j%i`Uk#Suy z?)L^6DkbPO`+5Fru#Q>HU-H@Czh`6P2m@$RL2QB@PWaBi5~}rzAJC;??d>i z+y3R>_zG+=)`3vmc%lHLuoc&!)sya3v59^+3!<;K_Cxq!LhM$Ooi4zCBqcuXKMEN51IXoCWa2al*nka2&mrF=7>V0>E7|4-3U%qd! zEUeY6KQ8l-UV7h>^~{x>pL4IdZri@Kr*mAdHhb@0UdSBrd>O~A8t2gbp1*wZI$J(s z{z3tomwRSm^z&2d{{85j&xh^K=%?)cJ8fBxmKD<%e$LM37WH?|K96n}S=7+rO54uP zN6{f>n2K+?45OOnYbr9o+_>|D@uLd81Xhy6_a)W?B}K2i3M27Sd;@^5Zga*SoN>A1 z6S~2;AHhm%I1WZozCm$y9&pL|F=X7BBVOelKw`?OnR(<7-&PYRdp)8Rbrbk;3(5t; zY$Q_Jlz^^0crdz6h7zC&`1)y=MM2&eZm&?>0d9@H3^Fnnxo@s)Zf~K^a#PB-E9c_= zTFHmL{Lg_cIQQ`xc_V}7;f@vEA zToff~O~ zg+YBI_yRt!45AHcLVqgkkS2E%VI?wsNo}Go;N`Dx?>@X?Ap_~j-GJYeIVCqRAKlJB zz7O52E7+Wi<#$BbB?+v>Qwcxy1<-DVL##RB}Q1e7HeD~iOO7p{?Lyx{QSlu?A zuP^g`&8P>$D+W_!EKL4x|8zT}Z40?br*Ek3tp(HP``}=`zOm$rqPS()<3mE#1>1-b zyS%yWZC;~VR&P8tm)3zL;x!K>hxyg(cT9G)9$fR*&L74&IO5g4q<^&7N+Jt^_4?YLU4=dy2 z65(Ob7x1Uk>+EfU(?om;lZ~|8y(;P5&D}UCg_|%ccT!gZ7iV4xJ!q~RZvvyFN^(UG z%CvhfiIDrCXF1Kni~BF?<5a3oZxjhBlFDhZ^|*CTC-rj9|CntN_}&2&zcy@K=}+$l z3w;01e|!L#g!;ToX9Az&f9<_olb5|7>-rOq<$a!g4git)BGV7X73mywGZGm&5!gsD z2Z8(rXcz8TuK-I*5JlRco6yydJuz3(HAYx)^x2`sU^jO+zE}&A-AC=pE;?A>fY+wo z-3e>)|UE5Ut|+}#FZ=wXsIU%t-!9pv5! zR1UetID;$y)fe~lZx9!l#jAHUbV*sfH!dr7--YFo3Oc{tV69=jZ&w!WetZ~u1>M8Y zsC?9MxHNaI6RO+s+r|FAcdzri`Gp?0M0ER$r*CCzBuhnw=bw# zcX|_*FH0h~dx~hj+q+|dynOlPPnf|Ij4N1jDgTUSppn6W9t0a4IkJ(+T?jMxbaRY$ z4UT?|Z|w?>@(t*{wi|woDKvi=CT+ewCvLF}T0*>hR%7hqv_iaWuVNEYCP=!rc0{vQh~&ppj2MB{Sd zMiZnpnmCP|`z;h|OjqM3ALzetmwLr-Q!r@4odv?-?+J7AyypNxK)%1|E34uAf{lCD z-kXEx#GgBiXIl6+qBR3@AiNWH8a|Q8nEK_tov7Z327WiYM}@nQ*;S#C*J_cC|Q&6pztQUFJH7Vdixir;-%p!NeJdmB6L0;*zE zQ!XzDR`2Nv%J%SXLVhs!1@FO>J5sscNg^wmTP}(^3|N-ax>R4-``cL1&zu3&F6cYQxKzQ3f`G-qU~bCm_>XY!|L?# zLcV5JbY358|B%4KwHu4A=xK3eyFhazmKJ@s!{{4vU=2I75#n#Xi0XTt9$r;FZR`)K zbasap@{gN*Z;;~iDm{V?fHoXClIyY>l8@_P<{t1#8MAoDYZ~A==bO$o65ym>g4`_lM z3YY2Ms}NH=!Bm>JZ++3S!Ekfl;0u_B{%yhzN}J$*g`0?AFC67GHWN`lxpf_aUXd$n z6T9_i+VRdFCHswje5&As0P{re%4W=K%S(mTN$vxpJ~^XEVjr??qU(PcwL8;nOrwX} z&N_c&Hs8EKw(XVNb1}hlK0Yv)C{FY-4e3;*HZn~ZVE8Y4_ciL0qIK<8eGt(aoW^1X z1!?(~VK)T$Wtb29v)0GjM;`i`dwN>ABGqEEX}S+EGmo$QyN$1W@to4Z@5t=a#CvU8V6L%*5ZDj04d$CI?Nfq@i>5VU^Q*?T3p`U8; zww#@1ImNpDa07Zx5*D&GFI&!6hJ+98HBy!#Ob=*d`D95@BQtgcrqQr9;_A zvPxE~A5K-*?xNu|mMfM~Uhx9d=U zPmqN75j)ua&%>pZYoWYBW>i_f-re^}=?!2v@GN=zek@{MU}p(loewj44}NzR1APPS z^QFyTFv#~HBT#}IBe+oP1vDcX3aaP?{g zVmn4DVhggrMfA{_O$i(6(Q$|JCaLIwxteB3i>tB##b44W{b@7O4tue(>wkucU@zg*RBR#3?4aWpr_ zeYKja^2uAVXW28^8BAFAD@a8uVVBb^+J|j z6K^cdh26N~?n5`!ee1lzT--Zr;(XpcUg-D2FV{l8MX}qE{{>BFF@e6D|KpKB^6)0 zoBrL27DF_j|M_u_(%+tUEkswC>VaJ~_y{ng8=rr?pr_!IRyW zpvshhaea2obv~qbzsTLX`?byR1sV|6O-Kts!+eXq?;x!GZYb?6{Gwh& z&b`9ZbFTJ!UN ziONw!4RcREUKIQI?xj9>p$47$N2S^^raYw=7yJD1%f++aqaf#dEAM;Fx8$~18=ZBE zs|EZ_K5tT5aI%x79ZJ=drgJe|8su{vYo-CcYvT~&-ZbAMNx|IBiyqZ z@Fr^6a-r>IV{1Bg;nnASWt$l8xeapKss(}_2fJ@>mPJ?d&S^`x_Q0nT-w3-E=0iSy z<@`tQKWZ(`iW*%XRh4>-hClG3W+{iIaF^g7UN=DSgIGDi|9ssOJ~0JhdVgFDcNJ_# z*6S5*-ye2jdfm9bK{2Yya(h@yoCyvU(!h<(zipfZC5f#C<-H#Is;4q-xtKrEkBA@Y zn|ORrC6KZ5Q7VoVarYMs-+gVZEa!B02m8AWTE$ep(S&B$X3Jh@^BaBb)TH~eMwKtV z9v2FT)vlqTV(0E}p$pUB;LlJ?C2;J5w`fu~acgNf(c@bGdF`3ueh*lQc@whqYu-H@_@=c>l&=nwdQmFG+zHA} zzSF{qr9lgQ!-rg3r%opdR)Zd5c@{n&sM>nt;H$&-w%=fKQ=Dehrg8i_;dBBh{e4&2 zJ*$#w-(@#fFoQErb|37yfYx+<`+&1c!$laeZw)GA2ePkgSASLi=>0p(|DXJB=*}vp zX4K`Ps)29Xpx8%%shDmwjlx`+4mLlKUV?97%rlt#9cH%hI?Fc`eqGgJd#(37nS;s1 zxOg$FuDp07+x$ZqH4k3l&Wea>_NaC@taq}&E<0Uo;fAJv!oQFHP|CXvmxeSAs}2uf zbmyT=(wp<+?^Wg1?HajHe|O&M*?p(PnK11ze3cP==Eo@;3xer(I&r1Wpgva#@K^Qos3 zDmxTg*&KI`p*`Qe&3AwMcGf#&@3kv;+UX041Yd(xPB&$EI`>Lis!TEPufV%kob`SG ze|rX4I-Or8;eD_0;inSl;XLZQ%z5}jEvFlOZFB7~@{8Wj<2-Y_UnP@2^Y~I?Ofgqu z|2_P#7K_wpKQS`z-X}1FetvM|#Z#;>d`N|X!rw&UCV7}|$kxI-J8M(k=$&4@HvhbZ z@pC`=fVkyvLKvwOf`J=P_o{&r|H&Nu-81(FYJK=->8<7usQ$$BhijO=wMOc`!FL~i z@Gal7OVHU_0QX;S3(@6u;Jfe4yAws`SUC7efjT9 z^h3?^hW%&BU~zTw%#rJG(BDURV_Ur@>WZI}x?=MPHvD~W@o?Fe`VqBpG*HLk+I04f zeEf9=RgV3C5~keB*|bPc-<#L}F_%)O^}GF_AB%|KA6uxcZwI|lKiePEwY{%y-VeC> z0`xY@Y~f_Y!7ZjxP`E|uLz|3+AE|qN<7(U2jn+wxigYyU+<6z9PEqPpE$^m{{P#-* zH^eAY8_|6;RITV;L471HP>!860(Z)sY3|+M_fJ+VIJYxn^nFyQX{+JZ zsGza52^42DQ7iDj7@T`F?e#AB2I_sPiGk7!2HrpIV@Z}NIaVFzG@TRtH`{z_;nG+g z7t{UlSe~>YgG-;<)0=xc3r6arAgVd79H7@Q-BSD7l=Jy~o8kY6D_WZy4(GLpxzo2= z_=T@dKQIrT`hA=#(3sYGTLTVF4HG}Aybmc|UUIE>JO{^)1h<^4+{jz>KKdr1no_$k zSLSFeEIMLjF1uI%?Y#kCd>Ic@iV-s`FW8x<)9bi|r8l7kldr$|1_qD4 z?8=8Vv-v74)_ZSr z@94F{O`nQYv9u2&O6aeu>uIH%d`?%YSszEjcronbgP zc)i;<+&3gv4D7FJ@qZ&l_gKjqxc%YuPt}ECz7PGMgOTgpI^!Z(giGVk&)vehzWsH} za_dxAuKymryq)*u#kp>BnqxjgbNfisc3Stsp>Hg5SKk4tMb*C+{%}68*#rsV+O4hI zr^eu8Q5SY^?Lc4Uo^hf#1sKa3j@p1A!=>GKo$g5|TKflm;Oeb2mw5-V)B3&(Rp-0k zH(Lv%g*K-z?tB4E0aX7&=dO>NPC2D`%NN<=Vc&igTj}p`D1ll9jvMfv zkIF4?Z%*S#-f2$&*9hxi<`3U>y6zU=j`Ps)(2m8*z+1nqtR<+xmbc79sY36`Zm`~H z=_I`QEauEg6ejXl?s@q(rl5ljwcNlHEX1TAabJ4IS@E%T-ZhHpV2rLMeWupR!}PF) zz4v-%hu-n=S7907aAHtq0qY?P0oOk$&)n?xBDU|k9vM6rm~;q1sfB_*AFhhBB0pAK zF;>|RT^v@{&fWcz_0k){DjEWVC=DZEQI><+-`?ptLN~LK<7)CLI^9flg3Wn&Z zL6-W$^s**5hV9>l6Fy5}e_K3FT&nk)V(rNaa8VpHrebPidzI7S2dA6ao+h;0zZz77 zN>fI|7QckR)aDFf24eD85VHtSUk_4GlFJ?q|a+4}@(qD=wm#P`j0zr?eV-73shJDo`*s73u& z6du&k+r9@m=pfEwtL$#cS?ryB?h>1>O8RvCtWC_oe06h@s^5Tu^CW(;+(7F%r+$IO< z!}`^Yuoj#;@-P4G*(MtdX^V2w935C!(vscDGcCAmfg?x^t2=-|1$HK(z#c2l=KUU# zcUvoOyJ9pX6Ys!-9MX6`z!m^#kp>XvT!Ewp!e#~2#Z7VZ& zRk|m0=Y{_+B7!LolqFSnpoe8>=h9(>p1+u$Z z0rK4SS~TZPWLZ|Q6+p}xi+5aFxViH)%R7Q<1JoK+i7Az&TPsT|dZHsups(^P6Rpn+ z7=Rk->oUV=%0E30&8@28SI8Q9Ej7Hw{((Viz>p1X=$%r@)@Hiq2}NhUq?|G(@{KIf zUt5{;3jj*dnH%!1%zMUOaHU8zyHgV!AoD7nutyd&Na^{sx zvx*HVR52Bq&HJQ`D%tmI%~}%1qT#^7O|efKx0d$WSbdd3Y#z&Z0lW%DqW{>ITxc$J z{p&yTDR5k0IXiC-VJQ#adXnf4Tq8K!G^X^7WXF|^RFa!%DFHN9xbtXDs|FjO`xV*e zaEDcy8Lvu)sF`U^df64T5-h16%vWktX{zg3X&6-2x|xj?p*(UMD=!wBMyvxWxhA1> zCQS2|S31*IImiO}@+|`P%dpfn`n83D1c`%_TEld-t?asyVQW%K7UWp1?VZO-k+aGV zbalq0Fm;)f#wRtkBXeiFk~K3`q3UFy>H?!vu_5CCzc-KPrG7c1Q+emTsW{Zs?5N)g z3s_A};axo&3D*ST@Q_ia^A_}+ojLtzz$w_L7Wyb|EEnbd6ey6wH?F;EjA!9PeVaQ( zZp7M~0vhgpPs6E^HwQp>MK#VynW^ z#c}QRO=dYy0h}nO9Rzqw{WVb-U4q>AdQN~a(oZ+Z9Qp=*7C`0F+PRy%L1Q@Y1P0cH&GZg0i5yhnv2YQp{<(A?NlAPFY5bUDo25a^_8@_RUbnBJr zmEo?s?~9M+U%Hp8d+$AE$~?mPubp{kg$7NYoiljHwLznejMI6L53Yp_`fcinam;s(#%yf)eFFx$w04bXJ2D+U_pWQ~+tc1EyLY?^DXLXSG6vRVzbcOTEzYHK8<*XqFZj1bQa zOzI{xiaoBCe*qMPp=eML?9PkfzFOy6QlPGzn)Qw;)}~9dekpx2n0Am3$bC6YKtPo2 z8k5bCmu2ox_tUV34s-)v%aR&XTk1=UXL=+nFq_jRupA}HF&IO1nyigeO#zn@C0i2? zY!}r-)mDXr!!4~av&lMJ=hoN=qb|Z;#=Daxg;0Fdr9G~aAqfEI?hi9nSB(PhfdbAe z+dDf!YCDD~01|4N53G8E*4n75jvO4ZJ6)M@rHfwrBwDEMd?aDpqxsS(EyAF~pvUm+ zvI(nM)jn*7w|5e`kpv!KxM75QBetm={9j8tYBfGjS;`H`lV7BqLGg}Ps|LrALA4sIXheb2i62y;4aUEN^bskjRH*l1KTJ~6T|{y!P?QNAk;a5p(3_F8H7tYktqy@0kN z6p7^v!Ea6+a&~#07C31f77jX1FdD6Uy^ERfT?VJrgyZzMQxR*X0_oueMv6^^ZxJ_) zMlWiN*p@Knj51ERmFk?Hb^29 zg$iqMDR&caI(-5DFmROm>Zuf+MI7*R1jhtX>?byR22z{6Fi<#XZP;COuVSP&yxKXEy}LHXN@?xZd?@~bJkf$b|$#w=>DuqoZ`ttN_Fvo*V2+w#Nw zt2dx7)*S2N$1ytdjR??%5J*! zz&nIBI7j9;Xc`pXXePzH#)0(Qg+mZ&``C?vy zB^bC7Vf*O#vpUJp~tuFjOx45sKsTttm-FF>=(H4-l*kdee-q?=K9S)EVhH7kC zv7%hIRKcQY(WF?3oIiHn*@IotG_PzUb;LVC3xj8!Vy<#DoC_;8?*ovirwg zq;T+=9iWOs;(dc4IHq!0XI9zk0V$Hyy+F+DJ_Ziq>MF;FZ5b}kVvmpQ;XF|@n(O2H zpgH{yzjsjh8ZdVrZ34ADN4FMrms>3^mZP*0z@#RV7hs0@P+^rjIU}B94iYLiBORRw?E*i*M$`o#|rGpP!#klv!c)$GD73)GO3eILb z_=YLiFp{VRs>Zdht6e&e21@9`5Os4Cz_yhOs0-K)*j)r!jT0jUKG;_OXGhnT<7 zAGjwA-&?>s#P2kICX^Kjx1k)xH=PYN7cLTjN=T^{@r+CU*X@q7dwSdF`fe-C_@mrY z2eNlE-&ib57e^LeLF@lG@-5KJH`8>BoWbD%H-H5_GURpM{F$sin!PpmYr$QM(qt%8 zt3ld|-jVzN+J*Wh)i)MnqyX*N$W_AwS#EzvU}Qh?jJ`KR)_}ooN%TqBz3&Wwdy+-U z0Os|wqk%Y(z*KnVen!RvWG{kR2kF8{9qyv^K&fq{LX#fcV>8;@*j@h@b}gbof`;1} zcOb~X8BJ=*lQw1c9Rv4sckq$1P;Z1rw)g;?icG4ZQt!KQYfIgMDC$tV$URt z?UrH3g5T~0lfBoW@CIJK`NR=o8ZkZ}9+~QSTyGvnJO|#4WF8z2x_b?AzGHWEZ-yAA*tnT?<@nzalpj3=t{A4#%~D#~iK1LLQ)UZf$jC+K=-F8wZZQ}NgE?OSBq zI*XZTo`}{YeoMk=rgg%s8E-nKclSXJMVx^^6)gt_Pj|ZhhcU-(s%|v$0RBtoTqxyx zRA7by;ewHlbDcbuz3nSWs*$%M%$ser>k=>>1Vn8UGu-5gsCJd`NQxdd9-9md6F(3! zPdV9@0AM#pYAnz2au90QJLTg{nK)n={nP}_gRnKERGmpvtzGJD^+W?vmlyCre1A%Om&8+O!fxsi@X0LJ*c#*Px?ESe{_YAK%X)BUcP|cm(+JE)#<0p;7^rGGq zEr32o;yTS`E_~w|AI=*2%EB>VDoWoLUUj1$twCm?YWVwoOQN%T?ZqxRZx=A}`*ljI zL`4A<6Jr;JYmKLRwK8mTzz8G<}>FwpFON*L*0qo zlSw9NXhg$B>)07Z<Y-nogUB9&_;N3Z6-L5G?u;>1H@PCQZzL;Y=cw-0AqeRQ-Yf6KvjvBkZ>mtkvO z@y%?7T1NOr2uUcM*g~PG@EScjK^O&g7MWNwG;Djq#(6a)7C{`4>6k4ggIGtNo2UDK zS;xJJ2W~6@X_Q9$Lb9>qY+?NOX4AKPFukc{L|6x)oQf1VzXxY*ib0b>JR`?R#TO}z z$Tv#>Y>IRU3i9~c)O}pK9x>*C0`*5gl}8r5gL$l8%9>Pe*u6 z8xRQ|#(?)bC$IZ)6};F7u~ZlETg(B#ylz{vmAM&p`fv^&3=I^9DC{i(F2Q|G6?@}$ z#64hnbRS$B*@mpDl_0q60O_2>AST`6KQ{)%*x)t8Is>j{t3@wPN;s8su%BEv-HXf; z$)P|Wn<>$OgsipiIq-dj3dLarkvrCExr8ew9AB^1PL z1HeOw!A&agqNye5wryy=|KLu=bKO^ilUJsSWr2D3-4$w@I9iu{)jR$ zFoH7VtXc-ij54{DadOqJGZQw?+=LS+WD<%fsLBl724I9O*kX6Wpd2!fOyS`1IZ-2{ z%sH%%Z`0iSGS8s7!CnJk7=$CJ?(N&M={gS&M}|`>KXYWj#!06Y++DR0<=0NBs>fHH zHZmvM)tAGYH7Bl;{0Y@H(YFho+*+!*#vlBVm>avcnMPk+2F_zptf@>^!}e0uvWye9 z^54tlD;gKNZ|5#??e6ktSe3~er9eCKz+#Jab*3&>FFYp2laHF+kuGhqg`|2&ne79O zW((>%pb~{aPgSn#axkFSx+(qa+C`bZ)mk9WrMTuFv5R?`WcAiZJD!qlsM76FltH;eU|%6@diwa zYY12#ocI>Q0`BbY!KFA)lwfnXZ&$Su7m)ww4upepuy}dBYB` zy)F2-%eyC518=rU>>^{+dF961#JG>Ol-+=)kwl07D@|$Yj67s}xztlXAA^^3=sE_u z9Cxl}D)Y`?1uS+qzjW}aDYADA=m)k{1Ec5Eb*SgbefBf-(wAd^dL8BLpwr=B3QHM1 zv91(XXm?$-`pt_DV`a~440LFK`_63uiC_#+!@|0-s=y0tvnCuUe ze`9GZXRqm+C3che=E?wKZ}Bj z#`R0jeP3Nnj?hk1QM#(+O(eP zz{-~H#S;JXt?y3fw#0&=3@h;a2Ae{Igi&Idg^o=pVm!}tL09VDSlQt4qrn9m&EG6J zNHf#*+u)&@#i2`*i2;~Qap#rxVsm%n9G;QpeVGrMmJ`puR7DMQP=PfmA~_C(CmTan zb}snPX*IVwmS)OW4%t(=k*odyBQw4jw>Y$HYmbgGgI6`1NM@-wS1aoUyeqFyA{=nD ztBvI*N|C&Z+D0$b5qR?OfMJyt(Mnl|RagBT z%el4SDO%Q`raPOi$wAlC1x;urR{4d;K{EN=JBE!j&bG-gdW(2jhAR6Ob+UL{OM9R& z;!;u&L!0y=N-_12fWRi?L9I8;@#3RVO_!9DSC*es(xXyrX3R7K8ra6D2cT+;*Cp;+ z*G<(ea+YLAfi31mE)wi1biR0zLzUuH0%_@`huM=`qS)qD7W5F8N(%J1EuXtZw3hW% z(`zDvla7$9#bQ2O0IuwL1}LWl8(eh2rd5EDtC79hWC*0NLgHI5f$A;X>9{Fiq z$x%&_-B5z6tzKBPajmte;5WDrvLg$U=NsrE{K5gZ7cVj+ETqV zjE4>$YK6-_yM`@ai^Y93iDa&o3KK7AB#C-S{_3i9v)#wO!2q7;|KHq`cW@=D;`MWv zvP~@T_MZO~<{e%58*;f?&4o;InpWad5Rs0p&2IOV^LDm&RdYVFk__};H;V~#!(gl| z^KWg2u>GdJL%U+7uv0X>H;Kw)*8bD=)G?5#tyepkD_qxQbg!?nr_m*2hn`83E&w|- zi$kt`iF`Yl)3WLI?-yq$<^&T$btyN583{GVb?c+dp`3ZUsi^Kf{^s;rGstV9)?Vh= zko07KMn4`{1i_`lEbi~$5%Im2bPM$Y_hi)2*m~}Ui~D7z3FjHUB<-O84A11uN2se>?*eMDr73 z;8jW~TZ>dQ^6({OIt(M%!|+?OHQFQF5xEBy$U>ITK=byD*@PA=&U`{(Jt%An>NNMt z9-{JIjOeh$a{^kZ!K6;U$?%~@^*p=zv$scWQ$0cq&j0OhSeNHa4^HR@Yi>5F0W<7{ z8qG7nwACc6$hnmwK54`DjDR_={DCh+*t;4p5nXkIidynI6lyI@O_3UsroT89sX3>@ z&J$Uy^C=8diNIGF)$b3GH|c=S@=Ta)`Jk3+?n4I6(_{NbtImYGnQJ*+SPo2c)^iyx z*sD2s&NC4hql;?pn8$O^tO^hoY4so2A`Ag^PP`^ESg@yax^)*SIdG-WYd-OXz5BHe zo7AzGyz}d?rBXVK_aEf+P||9n1F42h%BIiS&tTn+>XD!X5HAfK1|MeMm>pf|^-TwQ zc%)gP@(hPbqR)u(!FPX2Ynwi$?6|&cr-@-tt{!lRUSrEV;*`O4nlvQ7%4xPKQe}+C zoxEIZ&?J8GHx|YVh;vh8?=_xMh~z0wycjI<5^GiS>{w=C+-$n<+pT{=I;^@JwoAHz zUz*Y8w~Aod-Kst(j}<~QJpu=W(->nW#>)J{3QrtWr2ld{qFowwCOk|Myegt>jeB55 zMNaK(kVftAfC3@;^VnhmZ8q!&rmO*SJsZx3u_^Tida6S*<@a!M;PSB=o7SPc{Bs8} zrEBE2&nLo6!dcAKl1P8dmr@rkjjOfJzv$6X|%t3 zBS6rO^}bq0&$wg3()8iw=H*of6l+pcH>1hPXZ61Z@A|J86VoTR7)`^J8tr!h2?svP zr1-&e+I{$0G_5T($9gd)Nc`VEPKsM`%bvU5Xjdg0ul-Eag6|k-G>5tBM``1u)Z{dK z?Xpx{_Za#)@X?K3z_7EIRysJMvL=;=$*zSjPyXElg4D(GnGN6Tv|~>Z)m`RP3yzx_ zSF)uzX+I8@SJQz89{BiqI7egm`TloSImd-@6g3-NS~xIwIiQzW&%H!%*R*;p**(49 zy4SMGR%cUV#Vnmd_oxEgSi4Yr?ol8Fo$?Kqy?Tj!6BB1Qv1@eDB7tfBOU@W$v`}5w zn=5T7;H=@AXl8%DDcOge(GT75IswvsI%D*0RQIXRtIdC%rl;Zwr3=BmK}Bi$%)18) zlU3nV@4_bd5;_Y}S}BDKR)(V!tLoSHwDg}&&psCMC@_QH#2NxGPD~3`)V+JNC@q`? z-h|^r4Nbh44RVVt7cagpa%nIN| z;Pa$kW0bnHxK?yqe<5UIw*8B$0xYfj z3LxWipU!1~9c@IoMpzwOcviXldW?P}rjH625~)u`P1#K^IjdnIZNUBxp1@<-M&*Nu zdf&8RQs9$}W3|LCJqT91dOyIix=91e-wHEmU<2d=g138{MV>oJfefh7YnQ#<<5-VO z-g@_$GaIRiE(S)u3qC)@whiEY8t#)ljx4)M>e8#lMXo5gc_k?EA*7npoW6xwJC5bw z)eLD3YLA>+gytf(T95CqPxIOfM>{8!+I+Wm;X_5|_#JdxzSUabCV}vd<9HtG*I%7^ z^<~qDwKNYKDP`K>k4kh$Y}s5r^jr?LwXocf4&x`v@Z$^%{J*}ST>Zzi0W(^TVH4DO zY^#7kHW~GAlevn%yCb97pG{pu=s1zDNT*OBTMKxSLqkgeS4I+fEYoHucj|-8fJMi~ z$T@yeR)P#sjuhoA{OhaZ@yf4*Hj73rR~W8cIn&AGNGT?{d}voiK6=BIxx>`V0Ay`B zl%|Rw$q5AbaUjcfZ7hv63E7?4{5AG4fMP9tIo5zOl^Z*bX)woVYVvq`B&LJlUAcA5 zckyFuX|z;>d4Nfr(`4jidQWk}HcuVUtK>&bpGKf%{;u@O>)&gI^=FHEB%$%7;Dv<2-U@#dP|OMin_DCQ@QDsVm!9DP^aT>@@6lIQvRCv@&^*7pZc$ML6<y#hrN?}y!SVR(#@i2H+>U{8V=Uks3r>o;MY$YI*LSJp zSEB|#-Y1aAiLbDdnxhj<7gvfIc9v7PCi5zCQ5Qp_lE6HqZ};-@@lg}2Vj;3RtZN%_ zSkd=L;0e;Cz$lx7y)qS=#*v0#90B`D3}h6Ul} z$MOuQZ4Yjp2KyuvG{Yv`vANW$59uZl^MM=z0e!34#!B+%a3{@`v@^$zhrh?6aaBh) zXyDFWc;}mT{aLrurZE0$Pi8mV>QLi4n7@c@S^>82(nYak;hR_hAQKim1UZC4otbMN z?y^C6+ELd8S{Gn7;$J;@rxpe+oIJJcwe?NSJ59Qy)`mIbbz=4uyg0lTqQldJSouCq z!Rc%Y&#CnaS1sdF6t14cDI(+XK5Uvggop`rp(}ktwmO=9Q#QqMRMXD6rt?11|E7Pv z+KKjnsM_i8RZUbUK1~Go+@CXOIn!AqdXI-~x>P(kt)YQT19z|9ps}d|H;H}}Q&3G5 z6eMolO1CBGq5e7DZgkSFR?=R(yeC<4d?hS;1$>d3=w1;P?qY-C$}GiVmiOEWGnbov zyid5RcN3D>jqu`3_@Gzf!+$&*#z(z70lyyauHA1(|L$~)InQmb4LbUG~{UZ!>K+Dnr-tScvB?C(>Q@vI@ZE@v2Wnh+Nh7B5z?H3o!675hdMS@=cLO# zsWm{<>xKrAMZ-jP!DHGj|NXGZjTiHqqe0u>=w6UXvu-SS#Pt`}!0+oFP++Eh*p%WP zZ8#)d{SVf9nf*R22lQE{eZ^!h%jsT&mgT$d;MjR?I|_Q7+v|YPa-3HktmvhSuA)AJ zPZVQTPJaMdiBcfKSA#adKW5247Z{jp1Q{|2@(4ceY3!9%wF%9PJ|{l90oh|+WgU{j zBI96uT;S$B#+o$5<6l`BkN5j@y|57~#b0lSbFD0g=GQD_TA0znf#7JD%ce<k2HGvEgYm_gNxpXD#2qWLe$wKz~;1=i>lOZqXtr`rfFq#$8gIV z__UIb*vq0oAMS)+OR#Rh*PBh&lS!?TQ=Hot%HJ=`W-oSo2iaU6thS^vY<}L$1c=%4 z0#bjttV=dIrE&t#EGp*6Ej@5>Sl1uJNH>%Xa;K?}COjj_9a#^9m?|S(*40psTTSwL zsvqX6GiylMRB5?d5sX^$R;q}KjSr9q#&kOXFze}d#+U((GfiD4>s?oar~X3Y8qdA4 z85uf@{)>S`Gdvfs_vyX3Oa{T0yac+w)|S~?M?q-RelVF9i9`v;RanLSg98xWcc!4( zGuTUcGj3x61z9C!v=4I7x*#5;ex*FO1ob*<6POJ?f<;}VAxh8EdO{JAR)vF8kN$<-kz?%b_jj#WfS< zAQ|5azK_bAqfG5m4N{+gVhD4&*7j8;4@UJ$<+V;{4@#g%EJyy4C@6pVen6-CkAQw0)JCMe z(x(n{Wx&ehu(42YDQ{F|fq-x8&&B=k#G9Z#BZJ9YCbi}Asnn>Qg`lJvaz2$qFtsS` zjz&ov_%G%=8F?oi6q>J7y|3=7S!vQ4e{~`H6Hr^OqUW;o)d&4*Gf$}Blx}WBqQt!< z5pO&y6h6izCKJ=0CIX@T)hvp(={@s7(WAA8cAsf%jzkGfF#$`=OV0a|c7I)o8m5lc z=?SOW%HC&|2Hu6fsaPFAvndEz=;6)j4>p}JQ{e^7iz$Ys;Em}Nt|(J>DY^cQQI>$> zg{IWc?=Z(V7P!fYX11v9nIsAia1)9JcJWQKpzawBW+U}b3h{0Mo&oq^O>i{#>P4=s5!ZU_q$m~f7{XiIh}iK=FAMNOyEl>=)5C-z{)Km?^d}e{VFVQS+~CJ z9|$A{VhCPD-!kiryema<=$PA~3JZk%L^Q z34J$N42I&zU=QofWt$!j3z#~QKrz5se>9%QQoRYZ&VON@U$8;FiB!5o{E zu#b($$cN-9u2U5&bH2oE4>*@(pBof{>E+O{bJ)iDu3Cmw{xs;NIiVKSKRO4vtvql! zysBBe+wutSTIAiE^-=^}vbqD;O*I^=NZb4S0QtLYy;rx%oJ)K9lRtZ2)!9A2vCLnz zWj?-NrymDqz7B@t#L)+qyu2Jf$V|(h-qq>WiWG*2MA5qPKzNEcv++Lh{!l!|l76S^ z!C|D_PLY-uRBN4NXyZ4#D7HN0pG;*XSLKnon+ykJ98~Y_rTSq~W0nm6sA|i#e}m>J ztDd?#YH;N8rFypv?r3PkIuLNyt$>#A0PNq?#bW!_aG!YZsq4sd8ts zf}OZE891pTo7(7Y6ldKdpvS2Mh$EfSsZng_O&SKgp@M<<@Zh+tW{>7+nyL=?^p?SP zfA7#Xk|D94$$&M!(t8jnixp@utrUIND;?j#>6FQN!C| zShT8#UabS!L!jnWJFYI{`2>2J<%zi0m@yb;+ttoX8aZ`nv!X|$MN?`!3u488*(JfsZi!u#Ou1SRb4tWqATc)zKdo;n6g2c*WK?F+ihwPgZe zxoB^W%|Co*&KX~|9IITfZpyCAmj{>>v4eDEPA@Hary@73^+KB8xCJ2szN#|d%B|bt zHBM;M-Q<%G+t#^aW-+15z#wHAO*ix%}}|=}zcT zywaNW-<@g>>OCT8Ad<}m(b9L4j0m&6&>O$A@VmtceL1Vi9g0@c+PL@Izy>oa^^m5~ z;sF0R_2+V3kdz&4^XgTKJ;iUn_NN2xtpBZye|qINpmoI*^M_~Y)NeGcnq63XE)GIm z3AXasllX8#Tt6<}7<*G?s;yW@8C8(@_!79`ITsyGiVIy@y4k&p)+b0C3KJRyd5IHg zO0FoC`ki7b z+)KBPGu&96xqSF;P>Xx&6yp5v@ZX$fX6O;GOc(0 zVL-GtpnH$*09HV$zrOE1flOtW&v*6cif+Z@{%)nt3iqO zR{mbBC!KJ`+}9>^;~)j{eM4j>G2+SujpyQGGd@4j3IH+gQr0+%Um{RDLI&-Y-I~g_jUk;*Oe=cQOu_Z^_Bk@r3!(9Jn zl$ETWBiSaNw2;=u*`O^SJg|knmJV?~1KK8a9Q1Rd9 zy$xOCoAT#LIZ3lN^y8M5Hpmip9oYO9n7VK}-&w>I1&rf-E>hM}L<<)g1VP<`*Osa` z|7v9kBn(?K%4X;zj9n1tM-p?=aPGEM=aeaOO{Q*gxMe~d4$!v_eaAE`G$p+@t0#oU zCdtBQD$(QItgZ}vzvX|~?v`X^wo^xTX$DCg%_OV(3`(O8Uwhj3+)~AoB#k(iDZZb%Hqf;UB>eeKgE1$q6KIS;| zoP*D|WpZiCTGC*h{X5zykzo@0jW<5`v2uKqB;-@*u$rMX>b~EgDy1W##{n-gc*j-e zd`<4a<6)qj%l#O*^Vcuw&p~Z$Y*4VxAwRHIkZ*m$wp#r-5*o(^RLicUwLm~QK0MjV zH0?kc0S{&L=!fw`IroI5t$Rw6lClKX)BgsX;qYhF--Lg1{W4Fddtb`uz*7rRR3lCi zxQPAPsp!(=1r;XV`=B4{Q>11DA2%@cZ4&eGd*!0R2o;ev(qS>YuZ5i42tHF8S5%;V z=FJ+l7%lGmPrK2o^JaL-7?t2H5XX`a1ZCFUb{ze`{feIW`xrdYt`G->g%ebg)U+Q3 z0(y+_4sJgTLa9pe2O3QT_hb@jL5HclUSq;(@SOzOZ;E$?(_&9qk_G?S4HAa&)1PV^ z+?Cnbmcvtg0$AmZT8b4pN;_SluBZq2*Qp9_a495+QvkTX>$E9M%3ZVJP3XPPT2QEO zdN=C5-IO$*_6FApv=?;B6tf#|6NM&rEcp`m+!dg0oT396``g5(;TzSUm8EP875pC% zS#%`3{^+(L?!)fnWY4CVR+*dqMrqp%KvYFrH00Nt93Y2U=%Lb`8yt4*fERegN1Psy z^0Buc@Wwm*@73+MJ@(TTU%2zKa=a@4*vXmSEPU>wJpeEEJ4U?pUEVe+Ev?%&Lt%pm zvUjv9bU^!WG8U3s`%O3|0^1(`SMP9FDS&GtIgy&SG|D-${OUo?`|X=GMFXvv=4ogL z*A;I+wS37mA9dO@kglGK^lXnrkStHQ?MrG!9F^?!M?$_kYWoebjlxaa`~ zlT)ng8`?MB3nbFJw4NTfGw+|rd4oE5Rx~qL5H@`4s@oFMvKAe;*5%h5!UQ@A&g>4V z&P*wAOFoXdL^ILq3amlu(85! z*Urv*KbTOaNtZ&Fj5-6tlDpG7oT_M#T3OmoO#=v&V5R%TI6J;UPw89M{Ga*^WC9=7 z|59pLR4)W^3Ei|1*<&VyaghtCD`;?rPv1J1(7@c1lk5v#ux?n%dKn|po!8k$FQm#- zsu;A(gX(vZwn87;o)Y?&= zUDb8cD4G!fHJ#*#1>HEy>>MZavU#DA$iyEj$Q>NtW9D$>`brOLG@DdB`tD45*YeX0 z4&dLQR93RVw4ShwA zjCv0b3|ezhbka7ms~;LS^XR%S2UML!xc4*(?nMJLT~MWSwB?RP9Mz~8v+iG{7jzMQ zmV%}T??|RHs$-@w|GZ-PygRu0 zEcVsFXz*8casLau0B2ML-ts)i#k`XyJ@}G2i7w+yee#x~B-Hia4##H;Z^pjC^j)@M zka%lfE^(yV5c(kZ7i$hqHs70k$Y~0G6u3OlrSi@AO=9^2ah-U?P{jd=wq3+%LYadM z$e~f|y^mx~ypz7AMl!$+P3jM8pb$R|fsJXYX&5d8C6ADbv&t|^sOPLYqrN#+M?8fHTh5!haj1j_W|kTA zp2aXpL<&`)=@yRNnpKYvR%|J9c`q8$;*OG-eq8dD1;Fz7HQ~A)pJfJhkfhJz&7q+` zmeL(hu>X)bDby`d0LkUk&Bw^GsJ0}3Y=JtT1PB{!^R2=LSn1hrTw{iMH94kOtU``v z%uj4VEq8#T3>eBM)S8{ZzRZu!80Ft_lU#9@D}tB(klMkI+SN18oV1=Jh7uPEq3Zs#3P zTHT6StBn$IEAs)CAG_gqQZQh*m4JLke1kL~1~`Pu#Y2HW7jRx}Q<9*mt{$dSFW8|Y~0UZ&Yv ztpAn2b+c){22x1PJU8G`+IO2`4<-BRP4jrcd72 zY9GkXjYTi=ifKotktyK84&1_rnROeLwwG0_()HSl#N z4`~|sHn^x~RSpjBBhjgL9unq?OdgMOxo5;&KNr=QE^`6U(x5tbW?7w7GJ?U29oIAJ zE~an~yF9v{9?Z6yrsL+krL{giz9=jFEHQbzaxvx(-P!Hm;Ss#e^+CNk&wc$?gO4#k z+Hf5+biVA^c5ud`l@Ny2E~_O#(IZ5g`6lyoNQb_cQg3Ybk&2dzb$R@`46hwJz`N*^ z-gXcPD3)#A%0ob7M+2$H%QL<^&T12$Dt#eIA-WA?Tp5{ZS9~ELK7Or-7|hCE={1eH$Yx(32B8xb& zBAb)krEV+H14|?^o0)Sb)#PMAW@bUP0FURO%-nAZox2wt8yp_gbykB4M|_$vhY7VB zy<1Jr7sco}fLJppeNPj*SBkZgBa7v z6DW6~u{_3P*f}M#vN3ZM(JX+1A&)wz4L~aS*JO(tG~;^kA^8GRE@+?skTVw5=#nk< zftcVa-ve6*JNbnk4az}Q^ZcdqxO}EymH0nJ1ZT8#glKBGLh}+PEJUG%%>LvmpFw-|Rx=b^Afe3a5iMACqMc++KMcmm$yi*gL3wI0qfja0<|PRUEqs z;vrdzans!u?}`?;!gbE)D_Zr$EEQUBE?JNDXWEO-bwUX#p!J{j=w47xzAIRzO_))X zEv5-QguGARnsc{en|7tFwF&0RH$nT*H%Pl;+T@K||Je(*BEG;_t=-xzaLsi5Ek0PK z;Lrp&86K5X)aTWU)fqA$m26TKjID>}Vh;NQ)4WD{esQ4{B2mo7P-Q#&d^t@Apai(DEAz5Z|49 zOA%FVOFkmc)rjvKs>oDR`B1$-9!LL>W6=kDCFbkFRjJ{ zqorV8b90>;YBwFqZee8K18X0&nk{L9Hk);n#PW4ttfo-e9NcpqSa1~1-#xAb+ms`( zg`a#AHb=rrU+P)SmR^FF)W!TZHDtNtyUKD*m5kHSG0U2W_hCeIUF}`p&Tc$Flbq5) zvc0AP`|Q$+K8KB#!T2$a(h##=5mVE*@wuZRNJpudX_*Rx&+;uv2+uhqUc4uzy5;#l zxjH)vIIBdDHEqBi2L(bcN`le%S^JR}b1^0`?yZBzKGXAGIdI>wVkPv80DhjoxG%h? z?3}g((TvD*P;kR9zb_;wevqP9fpj$Q_S$-#WG(9$!DlJ$k<3)@>ym<^0azD*lP1lf zD;!-LWnXfj$}K*ryiI)*{8@WK_hgp$tIr^W;-RN?+Sm%90@ardmvgIvBR0-i%%iUh zFcD0U;;QAl2902pDm{jL$X~acLA^zfz&8CAt3?RyK~@#crBaeDe=PqW zVb1jBY?60Tp<@t~argy-G{Ks{K3Z2tM|E#jJNRdmE8mR-$3~4iA}Xy-ebBJ!eE5JL ztaE7XQ)bW+4Xm4Hc>@-WU0XLNbHp2D`Ww}Jfg`^ihGe6(ZSa|9`-!shUo1dkG8f^b z2qRe!+K?xeqWzp(lNab@Ix zMw?L@@eC`0(~l?{qfGj%3xd7_5L3-e!;od9t$}@@Od}v?+YpFzx$E~Lv8+168ARM@ zREDrk6LB&LkrGHM&G2g zU9^j=EFpPF9Cba1ELg%hV8{A*20devv4f9g7`X~1T3OwgpwbgdF*oV{EuJ>WAea=PoG4#=J+*@cR8IaIYK~Y2o9lbg$8!b1-=LZr z)H!9GFlsGF!mFB0nPMKA@YkAmKYtH4{!t^q!2x+E&Jnd=&AOXjoJT#(SS)Z5*GF5& zo=A+}-f;FYfoKvQr@ns6%msk^uy|37T#YRiv?7($5^Ya)^b9FVr@n1k%{?Zfp&>VS zvebre&+#*cdlpgM?v6DlmNsjhH>mN{1YlXf0@IR96NEr^g@2)kP<2wV7Kgqw6RZW=ji!olr!$ISsxen4lJP{$tKDL?IE=I>${U%B$@p|)Wt$aFFrnZk8yxzt z$ztDuWtj3e|e|VMjln^D>VE z&rP%dz8ha1AX@}$8?VC?Fkx?a#1r_&T-b?E-{Q9~&D;6*b;^Z3lT8nn(S2UBHE{*c zxw_1TWi#G2>u5)@#@j|~HVIJ}Luz5dnH?STB&ulQAxs#O628W5(8@P<+3k!~_$xtd1z-~BB-xZuq(cif5 zBi_Va_$4f{ds7CyfC=7=oECTQE}Vq203`Q*(#NF}V@)I9*tZTZpBd}B*I)x2I*}-U z7w;iPI2=MeZ{WgMr!-4QkweefZ1B% zAqZ6U&6sg{HBnu zssloIEV;(PQY2?FDx%bP`;xN*-!;(Rh z4r0!v)1{*~Q4xUFPEUtTbUfc#mQ-=t?yOE1Q)ZlZKKe5}g>~?_Lvubo$$-lhmcaS5 zaP9y;xp-cqM*F2?89)z5MZ`v%J-X_Wwn(;#TAj1q4{E;3vY;EawC)dS45Or9MmAd0 z`p1E@2g|td157OtJZ7A-IM}g0WZ!?#)xjZ5he;RF5Dyz<^6I17jW#4)*;=LvW|q#` zNQIoBGH?X(n~06teF=U-UEDa}HZ2Bh8~KL=r3F$GSscg1ba&EJ^DBslTz z(f6SDwQo!sbN*K{JUxg5PE(8(-~dyR1wa51_$C1YluhV7@&2J%gyIdtreKrS6Gx|W zMiWr;`>wHuFMM&O(}MWnBXsTjnK-}mf^jJN+}_`y?%${&bN{t`%sHR{Lf?af_d#?v z1gq}~)~QZ+&APlO#)6IdO7(8aOEtcGjbtLzM*S;JNY&gN^IDRQzjm`qT;K-_sT2S$z#Wz%Q4=@}- zAlhr!`@0Ly;piLL8zAJv0YbxzV$0bp-xj4aJmtPHb(^k`GX# zQ*`~eW@*DVOT@<&mNXQ{2z8tJEzSoZ^k~9koXY3IXE^LC^wURn-hqgs6RKB6$6Uy8kU3K~ex zF1yc6>K=BseurT*kk6(V+NRWISm4v0!#9DO2Ct|=Hy^7WOBFv${+u%v5v|?K#i&Rz-o##9_L4IPlIcU#&Xpgy}PU#v}*^W?JmR0`k z>rP-uKo7&f8boyh)bdnAhw}Qbq@rYQo9ST-l>os!Yrabuwn(Ij>(^-mn$=DSBdz5F z@DtvX!cPAIda=PULr~(NddoGf&Iy*Zv+XcRWE%aK?g=uhppt!dSeDn!yFQoTL$o*6 z;T+R?)QSx^q6V2BcO&~i?3G2fV3LRPO0CzW(DK`Sd$|Bm5D*0bbpRt&a5Mk_FJPa) z&bj!0-Z{SayZ7nr!IISjID$cvC;n=S+U&L@Vzt(vX2?!mLS^*_QgUH(jQj0Om z&1i$`+d*h?-8o2$xZVe}sMN7b0E7}#2mk=V${HU9^P>*m0h#F0zWAFS;ajfS9%Yt~ z?Qm7fsu3FvpqbJ@z=Xhn02u-Rnh_{4BRK7ct-n3=Z6BKT9lNb2O(pxxsUPj|TAM1d zXl;bRLcp0BjFTCFWSkV|ML-_o32{8$(qqIwIw=VVFR2!jGJ+#i!pWsh6iRYos_`Kq zwZc^yOaKY&{KKgk2yT~@T@Kg<4$|C+OtkcwHq;}mb@NgYUTGo@p?qQcMs$K@3i=6s zm2l)Hkm91+dIF9xjm_ClPiOupR@`5k8u2d`=`f`2_s;~HYf}2dm86YFQJllTg(nfE zS$VGbSBnU>!CX&NDzT_}*~1D|gpN*)X1x^U&Dt-@R+wtM4{!ZFR?I4qJ*9$#Noy}e z)I?X>*YZn@$AeC%BdC6=0)sSSK8iIV%3p=W&U|F4M#{=O&(e#hZBcHZ&ZHbj7 z(!t-NnGUMt;%A_VFUwRR1DDMyDwdgGg(GCk((&vWXU3aDXwI3lF5N+Y&7X2+9NBz? zs8I!@_;3d-@?%f_(^WTg`;PLtpZozE-sS$U=T(0TVZO`!687c&xcUAYZzka8%>3~* zpM^Dx7(3y_Sa3GXXAb!locQrP;pVt8GK_7=4`)Q@G2`JRWw9}0$)(LhWU(>gpPDL0 z8A`E$f-55B7Y!UHV#yLz>VU+8{NX10Qdk=&?B{W9eL#O1G_mufcyTC*NCjO)?38hfAc)k6Gl!0+Pd+3oou0oZ2ZOl*m*gRPjT5Yn3Wcq zFW8_qK~)88clAR+ZR-(D^sQ{Mrq35u`j+~F|Kd;1AN_NuSD$?=Tr3Atc4n@F$~^a# z&+C7WdA-*G&v(TmmB*Tzqi5{_`HvIz!!Y)_b&6g9{jiK`|sC|?Y(Ed*>fHC zW50ISf4%L>?mFhTzI?K?e(PUPdh)}b>Z_x__1Jxv>vVoPtCKqFr&;RcdM2Bmp`x3< z=%v{?j-Ji!ymUGD<#s-~lWTkA!*2NH_kHts&U?w{yzn3vJ6_|y?!a$*fIfcth(C|T z<|7OEIEJrax|j5f-|?S(TN@KpR@iJZKX~7Xp7(<{Qs0~sa_ZOV38>5r4(7}L&ue~@ zZ}Mw6`{jEBc)lM#-HE<<6F>R!%FniW-0;HzbO~l~+ES;D zHl2#I_&n(tG49YsR@h_tWQ!EKYcEh#1$*jMPbH0Du|yYdGdMfa_AT{ z9jSD$SNK&)27V8DRSwQ2Cn#y-2QI)AOd4A9rdOlNbU2MEY>GN*hKxsr96i^5mWv}} z#M!ZsKY>k|o^!_dxMGT;MJ?Krrel#UZ<;a_NtRLwWyiSsf5|A!%$liYk@V8^x>?gV zlx#yQ=997jGl;DT80;{F?3I|ZDI>V}($lG@p1h(cc0oK8+G zS)B~zrrJ|XBS~e}@DF{|7Qm$ovdEha^n6^XKVI}oA6Zcsf5M!+&pfx{Vw`GzDnV*h zQnH*bn6e=hM1+H6@lb4hEY2RUzH+yY3?KjEsO%*(oCd=~? zCDkbZm)Zk{d3@%-ANsNo#;!a$nLf)8qRY@`HTRf~lc*vc%X)?uQ{yj-uP)9?W8Y?( zqBNRUW-?Quq~Yc^-+v&AIr-dQ#TDh}g)H6EHsjT7K9}KkMvS7(`X_!nThuEHW_$$R z$JCy6B0+h|=~SqA8_6Im(XI9jDaAB;6oOCK<#UkqE?zoK?FHw-+7J)*6hfi1yxHT53bfNSEAXRcRi4DAK6HjXe*uBo{J$e5Go194NnPI1`nLbm~-x^7J?g zQd|}mXN8w0G=YiDZ#C8BnmtTY<-b#xG-vyILzG}crIW2X3QkpBG1i(5O&xrhY50G? zKaSg;^hJdFy|_>aw0KTEB3Y48W}QNTs+1^{I*+!ONXZ5B_q6Cjp&xstqzII`PrFQH zpvp4E=u%f=WP|2kLSwxAu39~snHE%*n5&_fMI8Q0yhzZsg375<2Xe(xWNC8n`6{Fc z6nwuri;2q$3yF}hnL)Z`kRbBVW_&G_ORqH9O52jN#_KA@?Oph8a{T8{<4`5x3|w6} zjIQPR*l4HDV(4tNCMuhIk%}=X?6oWVx|#G`5T{~5yj7Co8Th}%4Q7I%U#*g9z(1nI zBjaRM)6SaAZ&s6;r3$?q8KRO^_Z*>qShhKVFTB)5Mj)Qco?t zm%)n0GL)E5k~nb@Y*|Ex9UONar#E4)*2ysw^z3&%^Lyzwd)Wz<~xFa^U5^;KT9!Sy^r@q$n^x;u|~BXlsC*nBrz2 zV6`AMPTX;jBsd{R8rbL|t`A9HSiLnaP0%vMYW6op z3)qw2g6FUl@DYPcAc9~D_@=%awe$PznXmWQmmRTQHDGLlc(tK6vi0TTJ$0rq@78qF zr*rA5EqdvH9(tR5^j*)>c0;=6kFMyTzIl{m_~T2;<^lo4fHgF`0}mX7FD64_W34*n z`81tV@_}0KqS`%3_kG%L%rUMwW%+bGjZJ4}fC=k>2 zWP?=^ZarFU>m;tyNm~1Q;>=P)!Dp(NP@NW921hJihTTuamA!96tuor^bgN%l9FydG zq~s0z=m`^+W#=bCN1MjQKg>=S)zihYxgg2J;(%59);;cy0%nSP_D1(`Xdw6Pm^KSw zp#$(z9bvkZVY`r|x?eyEVH|~-qjsMusmo-9+%DUq>V&?*qvGR_D3Bw|(Nm@5rRqss z_Jfl1>a2vFJ70-WWsP?uNywz%T!R$x?&@5OX9KJ%RycM1se zg>D+psg;(fm}8fVivRC0Xv7jr{8xzLc(?_`2{dYCb#rt%CQsea5TwycS*dI!GT_2= z#0+zy73wtOdCAl`QWkJxvO-A~FmeBi*q^*W;Y`c#Z)YlrDvLgKGJ)i)8h9RvrU{{Y zDVw>uSc;_MFN@I*N+TbU84=;9g-VBRZ`;SLN-2AB4t>cWdh^;u3UyX~U0TrpCW4ls z-$(@t3<2+&l$Kr^3}aR#`HE1XSe_d%F-?hWH%azR&cK-o%|E3oyzphW=1Vq%RemEs zla@;0y&n~2O9AKF+9gi~3GBq#8?pjPEoFU?2AcVJYi5W3AQyypdNQT;Lq~Ip&*p8T*@&q|Q&9Rr!e<(H6RQT5rft1}4x8ts$R+e75$llrcQuk0p$wtuV5 zw%Yp8sx$ai5IQNoeI!g)a{hXtR5YGo@-UFETV{uY`By1X*=|fpW721--uUmWqDZm? zIu0f&CRtS9&S~UmKh(||gM9u7lu_L{Gy;`02>L1!Gw_@ee*C1F1DQUJRX0JSr22=I zlzI3nvv~OLHnn0*Ek5~ybP1%)nLl!pLR%SP4etBcruv^BCR+mVwB^%wq?27 zam--D=-^p1x3LDTG;jMh%$@RO@iC^6Ys`@8`z*gc>a0$BzK476^=rxRY5=LKQB@Dy z7qB+b+CiG`O|V+?kT#`1ZNoUY531aU0`P`!f!+?mC=B_gnPAvOah!rUCvICS`&JDT zoQj#Pz}Bwd@EYjB#R@mrKhY*gJIHrujN9|y7Em!eoboJz=HmO*L44CUzPT*ktXani zne?)=Yx>XhH>=$M79kPtV-f6qdZ}GAKJ-Y<8aKO^lh8MDJ$}FAd=mf)7uec&OLhQP zjo^d6CVXtA%g?&%{czuPSf~@Y4R+8rK5Xi*K01YhIeMMz|6BXsbI<>r%QJm-MmK8< z+7Mm{%fP`zBr!ftW44<(mA!E)OLi2t+-(*d>^U1?mE{6qbdvT{vH~0U?d$dK;pe-2 z^zT=G-ElrVKHS|S@5St!eQhf4`3iiF=flb0z)QgStlfhXTdANq!m?D@caUGCiMpv9ELg7Dx3nN}RUu2K|Gv^cR)tZHfT zocbSnZ2eclYq~DoS4m9}%q2V8b(s=ZT)|L`#Ib!CQ4+d^C{a$AHl}D)N-<N-s5y-yJD*xGq=e znzK`QZdx;UAt_0x$tE`ZRfR&n;!h(-^6CdFQHoPKiq_$EyTZ}rW@O+fSn%phU~aSA zdC8|r9bcD`OTp+$RH|fSag|R-TYez`lOvHCb!LbX1bsQjB_WJ!KGM^dL_+SMb0{h+ z6QI+ci6};!GEo2GXQZa~m$yTwX2g87UPSDQM^ZPH@}<%<)zTy)Dp4`#xX7Cd4xR8o z(KqOFW-gf}e|EM#rgbCHfKjN^nd#)H4&ve5c;s5s`#y?5g+)r=KNou%tod2yp^LKZ za>tj$8HRcH+?0u_0XEynY0KPtq_nC`6g^M9A#_y%NXc1Cs|&=ngD6#J6q{y`)m8d! z751}Kk5!gNhZUymZ&4;`|1&oTRAyW&+;PCfBG!*D&yNvu<4nS6s?+3473iZ~V5Mox zjGnG(T9Fe^F)x>zSqeRsNWKT}CmD!ji9_wl9^^Fh(sn;dSq7#(gQmps19$!iG%*p6 z*-}&q6AI(2^05@ZjI`2D=tGhdn$ZVlN*(UI4{eTF<(3bmT(jtnsH!q5Gq|*Mv<6KV zG-|JV$;?n?+Ny$&sO_YsDD^s(%{`LLrGF3wnv`CSA2CS=RR{Nx`%@?_Fta9s`i$Ns zTj@ijW}E6QN;1mq*~qXx`xh9tvr_`Itpb=}3NgAJG%H_>%##T6}+$J|aLy0qRTmvK^D?g|yST zd^!>9p_R__PoK+jaOSM^$~-hFbQ_bVXec{FJWGY@&Lz@?B;^0^g8Sju43^)}!L$uk zu{NZS;)foyeP$Rd2S$NvoL8;%*1+POVj>|5MjLDAZ?!~+zAIcfeV{f$1iVpvzRV>@ z%%8XI9C~l&pGjuVnQ}cpS!BbKA5Ud0@4_wA;0E0~{mj3CFyYx3+s^HS@$Pyb4luqe zNLfM9@7kT9a0>o@`{=0IJEjX(o1=`P91C8@9oXD{ZB0{ikvhJlm8+-)j z8>7Gr0%vH#4VcrAl#G#af-Ds*;*aWWJol@xANRb7?Q1ur(7 z@FbKhIDLnQ6D|egQErivP$x(#nx`Jfje=)rAEU98+p4M*dkWzOllkaCB3q4;+Q$V9 zQ$7*#v!_w$(`e@_CZr@Sw}NCFf$L!aIWsMZ2dB)58t;Y+pC-oSqe&4?p@Wt}2tzAS zq*>lQ24#GXBg-fYDtP02kR=ghCu(NoUy&L+fr~LMrD#`=h8TTVC=u|DTf!`sC34s? zwB+NtYRH+UFp2AlS<$*g%YUZHEGsmI-06wim1^%zrZSD+Xg%L$ z6$M?i@0UsQ6I`luGSwEvULvHAXHl?G`bZvZL~{GW>FCW-&|{3*f~mA6M~yRQ>bhS1 zE-^dSt@584JhZ^q(+wG@DX_2ZCX~GXSoL( zwR2MFfg(YV4+-j~(_^}MMONLTS!?dM{dRJ`IlcJw3ay5oJ2@obgO&`rq|W9^QEBILoHRc6Em9#hep08LbygNlL9^#Tea0Musk!GK zlP;HNX}WClf<7{SKt{axTEcn}6-L>27DR*iO!i!0oU%n9!H1UsA)a@nKIK<+wjSi%hH-snDgV)Kc>sXr!!k8U}6of>BQRTch&v3UE$@r%DX%|HFZn;6#Z z_LM*P_dhqc>+kz-Y74~OEZKW)UDMTPV33(V)NujsNH1VLQ#4t) z-$dRuH-Y34*bVxonXmKJZU7q_H_)Hm>dL{v@C6|ob2m7V(SZH*Kqp`gLffX}`RGRf z`slU`ZKK+|^A@*!(kHxgF4#Z}`}OTtf5Et0*b?l${wsfVE^tq_k7(p*UC`C^DR2|M zPxV5TH&I{M0W;v|S1-4*C9MDQc;P+XsoUDSu3u|*DSy%f*7p?O2COa%i}^m&m=bpe z-BO?D|DgsXZJN0MR80{$Q*pDn0L)Nl+tY?`=i6j~)I zt5P1tjteBnld@Gkv;$L{HfHmyBMxJ`XODhQ5bqkTX{DU`k-}T$#L4>rC5=VD;Hn}G zXTXoDZMzhe+#s9qQ4u^RG{r$yo{oT53riRTb@?J&_p)b5Q?p%i10(K7;n1a}BgdjF0R82WCcyD92Cil4fj1w-9X z)Zjs<)0AXh;?K%7^s!5Kd1R1EZXQ2ry*P#sKF}c?R2-MWQm2(;AIh@v%*YjJ5M+-9 z`(}MEn@^)E%fi`Ib~K#;(ogiGPlb_%adTm)bF=ypCS;T)m6Rt77AQ$B+cmZ`!aF2G zW_XHcO^wiiyrhw3mpuhgTc1moX5Zw(Xi84L{y0Pnl&B`M({jv5D<)H>=HKxSgPuF= zAcBRRc&JK4Om6kz8Mzcp=#hk((nH3~KAk=bqN&6+(wDjRym0DlggfV1eH?Sa+Zsq9 z9oi;WJA8_a#%mvejmP1aSz=-H=~G=s56=+h4KSu9ucdJ|%?~EdGv}=;6%jEmd1<5g zowwc)oN~bYiCI`xWXXghyPkd~ybQsc_kr6M(DkTBXTS7PH5DeUTavhoe!GG7rG*Au zmFVmsr!pC@Je3Pkuesj5FF8_mjw3#eWc1thlO)f|#3REq=G4KF0a0vKQ6Y+Gm7)k2 znvi@jP7ORLuT*hNd4PEJAgv@vV``Mtc`7GXcTCK_x}-vvjrXYYg>d3|5v00!HzXR} z`ztvvwOD~X{c{OJIXqEi`RugdGYz1`KFuV==;81FJ(HJ#M^VnI2p+nWGkl#kW$q|9 z8)-7k2rhP#CPyfFfOmz)EN}0sLyppcC#I4jrb1@UEm)ESa-wgFWST4AiYjg9)C>MG zem$Lgp8`cMBquZD`>2E~eicq9`+}*Kn_1FOV9FlCNaMJdrO#)*byDOQ0~fpp(j1gZ z?`Xw2?mbUkX(TBOel}rolszFJa}en9dT$N&m!D|D^iSkiN!&kVg)*$XKHJn8-u+Ec zGOqDXsgjz%l0}t1;8qHLRGj=b3-WOOpDr&SXxd-DRi3{~I0J{Di7b=o;DX4GBV{S( zFCT#t3&ZEXj!-+M%FL;YZIs&)N{r^Gnq@FpYlXLXXptC_Kak(sXltXG@&)lRT-if@ zl{aY1TQl^XUp|o~l^Yt=h8yPv?YS#ENxzKHRn5Rv#2xMZaIN|#1!vpt31N|5oBlpw zO^o38p67A)y!+n=E!(DdFuB^6dDq=F2q?}pwX@!L!`iC)|L{_}mS z4VkWB#`y*aP2iT>Yq3>(_3CVmESDx`Irv=-Z(6%1rqE~N)pj=>H%D&UvcV}>55D)S zV{M#6DbEARNquel3N(h8?j}o{BPcyytBTGTQRMprEJ4u+gN)ID!2ZGfyN#q9bqL>p zVr+_^TZHOzyq^UY^2Xo6@EKsgz5%}ZjW6@b5B>89vTpfY4tVjZy={M-X2YSM0p)QA zwPofZfMx(4wx?7a*#tog?FLwcOOyw!K#}$g*h46{cw>?d|HVEIX&9awv;i(u(o%ymHBj zR*n!o*Z0lK^=oBO=~kz~hy7fCYOJLbn2;2;d0=*=v{;h%NkjB$DF~IEg<4cff`e|X zT(#c!66i`W+gdOe@!?>mRbP{poU{Rz{FM!<6@YJ|qWmS!3ED$63O(gG;q4zy>B9yk zJ4xz%9%uNCx55_M!y>3)$jUEFagO&8Ri=D`fqcXFEhzx3G=u3jboarc&q1XR$>%JV zpd^BpI}PvcPo$To$4aj=$ZL2sS^NqS2o+szB*tVdHWL?v{)kdmdPH!J1M{Wgk?Moi zJV8{G4*AE8y8R=@V&vM6rQ?%$c5-e;X1Kr^olvT{r;%1JAUVtWrV$T7tX)>1`FR^c zK(!}>b18=^E{lqzmW{}`>Qlyn;IJl6{2yZ6@)uY&HP+r!64{1@ZD-Q)9{)!w7v$X0 zpUh`|<1?`{nZRSAxJ=_LkU{dkolNJFp$$&sw$1x-_l62TM|*Dp>YCd1*$Qh;j{I{l(Jn_$srAIrwnF*W<%({PgzwHXW`ub@mT)> zLjw)<)Gfd#(d%OkjB>MNEr#i~dt39*TcPv#FxPvZ?rq?4T7L zqNE5u+0O<((C)>~fw}xPM`}{@wst*S(iLg6ge+N-RALViKa4|0v{i-8#&^U@DHuZz z2S^YHFot~_G>jc*7#DxzGG}tx@Hqo+mpNq1Bc$m3`N25&xgdAd)t2gcc&}(+-u|7)a^mLP#$xHGZp>eIf>fGvLhJ=} z)i?XD{@f_EcP}`61{u)TVa6*kMvo~DtxpnmOV`yp_3ChKqyZ^XqnJ6@3*s?5H;>tI+q28P) z+?H2u7rpI`7n2g5)=f^wcbr8m_!N^FM%`CV$*p(FnfWhoi%hRW8*|;9P$kB1D9~f) z@8tzr>3Bs|*yysfF^o9nbm`N|BGILPJ56grPxoQ#f`2 zKS0300JtPZm*wMAAd*98W39+S6%gt+gn>UZOls*U0f)M0=I0=3i-43og$^@ zk<^G-sIZh9vCXyAv-pCFv5X$)1>6U`{&Bnt^c9sokvMG@fTr3U$pvr6_Vds3!qsk+ z@@%P7=JStC-`gpsi(;J615+i*T;6VLB;)@@75!9UfRu%pd%$9jikVJHqzvcss==+trabaoYp)* zTj*z3%4!eNp-EeNqlY#sh6Y3h`sxQ7DkDAGs(Oo3`)<}Kyw$87bkX>DDib-{yH1pNMM}af2!g1w@WY9!miY2n8?Lt;F@$>k)BiYVZ~oX8!oobGLm1it4t44rqmP7m@|0>Ee11xm7D*Op z^Xq1HW+d)-h8?NN4ow_G2;itXnfpwqON(!topJMD@>!JgAD>OswCS>*_9S_>T}M%o ziOf&1$NX21%CI;Rs$YYb!1YdB=n`UDyNX|wSqW@5WhU+hxPM2cJhbjidym322e5l4 z%d+Zz3AxC6EsG}4zxSsonfG4TfBe)WA$U)`z?=Hsiv3rX^2qi8z+;)8F^mfk=qzc6HVeokLt z!pm=acl%q{`#Dq4tj!H4zODr_U%ehtV0ULMUn$DVP!dhXo7pHAj0j)L~7_L25CXg#q2i-+Bl*k6~; zV}V~sr@vwsrEli{$~1eo&5dR^F(}QQLw-gwNkLh} zul30F16eht-(=|V66K~H3}$lb6HkWA5faM453}9h47znV=!YXa_fb`TG#B2IEAWvA z^nTQ16YFsDeN^8bq4KkgGcFq&lq&8EDRSJFAyODIsna=1vdqy)CsLtAOfQvAFCFNiIGLg(nOvY78g#IJIg&}WVo#j{V&&fCnwEekDXTigi-dh6%!gmI$(L6y6}NHad9kT{xKbA-_KK#fS4sn?qW`@h(IMm2+jT;Df4DPJQmafEH^l9 z%5?snC!bY@8{^{U4>J&v2svM-Au@j8iu`EKAYW7!yvVq)vs0189NTGy)@mMkmQa>i z^#cY<6BzNTrd9Xglc>z&;6D=D&6EUjkgeTQhR=J#u*0|EXdz7sV`!#QGOmb^gp65c z1xSu?_}TN{y}S}RGy6$|NigmjM?YOvEYdyMB`T{l9RQPjoWT2P>7@n5D^Pc3A*nST}HWF_Vsqe|DJs#ScZ%@@NTPab60aW6R5s z;=iU-X`xaG4@Xh^4`_x?pt>bX6e9ABR8`hTV~@!QQmviT6```*&Y31QsL2(u+oPDt zQo=YN$f%8!p{CYxUk^rgjYU0yo=J+;RUzbOsNHOl0>CA^k}h+j-tkaW1v+sC^qMVT zHfn5B*VBGV7YtTfTtyN6l2n83YTpo1si|(nQ}odtC7Z(iN^5@>jpy$ineLX= zw5QhAUHv3-%ngM#PzX1I%km^~6b=OjrldNvxpDkAG-Oz~wh5Q^BWRVoG>s3zvVery zdP)pbyrjz3%Pur|O}QOILx)$4Bs&p8gd(0pCEr4ffYCr<;M=jmQL)$(_y|PYj(UyM z#Kp2^DSKI~Nkq0FB%m=;VzWK-<^@DxWMTH^K&!?Zf@s>55cN9iN<?Id38wrQl} z*B9AI3da`lwUsLN9@9^j2(So6fCjZXyNY|YnqiB#))`THd(aUh5@+v`5bp?9U>h7 zHgkX%zuv^Hk?2YZy?)jBtSOTF*@$)T+BPDML^b zo-~4{YoW)Y$MxOy!TkBgHCCGOdWiF*xDHcR?_tnoS9%0^&$CK(JP9GBA3K&X zDL-{1(DFI|i)G;PQW3`94cHA?PW?wuNVm>V78duIn!Bq2gFDEtPJblMESZ-JrZtde zEtx2P>Op`xY#{cF+EId@I~w2{tXNk0|I^QF=CemXX#tQZ@m#*Fe3gn8S@K9Fg$ybe zzSSB(`e*JD>nnwGR|HjE#j;1^7*rV#QUUB2^@Xtq7Sw}kP0sqGR^}?lb=A}e&gnce zpnY2aQtq>qjoraohTO&9)`!=6=yRCY^p_t-qC`r$D6b&p`8q@a49{c9P~nFx_>r_A zRjbqqxYVgvCgrqzaecaqYp9&!=htYfniZ&Ue1i15YIt zrAauZaQzkW&5t;|Z=kaA{Bd0*}gF#Pa_{2R<6fI+Vt!R`2beqT-=W5=ZTd_$Cw3#}#*|~W%k+FW+ z&nX11yDHwi16D0j;M}h58mvs~-79r7fyP3y3F~=EVZ}<9wQCudU2fEwzE?mw#cBGM zuUm2d>Lu9IZ=qwvs?6O$iC|k{Qq4EGouf&2WBgmg9BMql0YB<62Vxt!)Fo$>W@zO;qj+Pp3Y)ZIyZ+t5-hIQG*>GhROS+dn2S2OI}*%7bs)%n!}C zsaSe7?|#NioTL#kj`ZFoWI3;~^ucl?Nh%4FaGod({q^C{Wxu2mY54T^^O@$fId+^C zIY{c-D99PkOY-m^GmFv{Km`w%ml{@w|=Q2 zv-5gKoYIEGCWvVCGu(IbnSK;z;?P$AP|61UFhns0kll`JX=T^^q~dHJUll$;=L;6E zJk7r3C30w{0H~hH$t6W|?XO97+9f#e>gV#&?#8D^ZQPHiRbGP``w3k)gwPCehDV{1&+JY@)=V1|RS?1li)Ha*SV!na4_pL!K(YGIai&)_YR64iOo%a^;)J)ar z`FP}JiReOck`g5hG1Pe*E^+Sz5)ZTNGk>FoJh)K1pt8(zlV{--Cd$Rz1w!T$x9v*pZGn35 zT?DUYfGBy)o+n&|sAv}r#Zx7!k}~o;=>VNkSM$_uffW!YzLjGoGsMPj=%i$1Zd=t@ zVh{l_zaA>z#@>Dk`V4N?>{6`~^ZKU@E__6gS;1}-wOxH~y^=RL(QqT@5warkQH&W) zmbPPeKDob!TpK*i>NWiHQT}_Y>Q%7-`O;lNvxOUTcYdVSz!m2Q-z!)Y!D`2oh5b+I zC+kez6~2jZY>{&lHv}~58;rltu>%(=UKGIz->;+XcD}JdiHX$uNl%EDa4(@;pXfQ7 z-g&m!>XYE6HLvn$SnNMskwj`b+>kkTS81~5tDDuizE+2t2l*<=KYHmJBD5U z+ZNuQ13BlrhI7@p3)I}NH_v>uD+Us-bsQW38tGiuw5;+%)rW5%<2L8)oUc~wfp|+w z*c#>!9Kf-hI8`5twBFbU$^!W#(|iwx^1R;NyUg!1z=fGEzuq~fhrY6{TYnr0J$Tmp zmSoHq7Dqh5Gnkcn8!Ufdk%61oU5R^tl{C=Trr$p&&We6LO0^wH94=_%eses?QOUZ! z13tF5Tg=Hhc8f_)zL8g!jtt_!%9s;JA!s<9z+Od#F1WdlsVz3`1W|5oXUDPQ`1Epz zGL4MHMJh-U-qVh!8Z(>nC&&#l@{SVQ>0X+UAMtb8E_)WlF#&DhQLs&s!V-ik4j${0 zkCcoVy2wSAl^VO{me1l1+p``<8TdWOa53#s;i!_RQbq2x4b&|_%&MOp%CRSdOZbwdc#c4AxmbclbmwnU%iD6=?@DS3P3P$hb z#aiFhh>BzB=D`-v`%2-W^P}l=56LlpSnZ3oO)m}#vBS1~0r1@%q>FMl1NsI`Ymn7M z?^P?h#8zIWLOHXrk6y~n=g_S5xp87@C-^20PDigH!I&^9YEyhRou3BYXyvu>;qv2#}=IxU3^k zUs%5pz4jiH>_XjPMQQI6ZAbR?e$mJ&_Z8U-$M8Zt8xC%Un3 z3U-CHwS>G^+*pjhDY9!vgXvdZXY9fP6ZrmX3JJY*vh(trcNkImuE)8jgx$5zE2{+} z+ctNdLK+-}Df4Vqe}+z-lM{3haBBMC*dWD!jV&i*SCs{goHk&0cTqzX2RYw;=8&BM zZo9s;|6s86-S>RZIlObw(%j&b0|vNm>~17^4+>Ef<^rg%xGy1}QLo~MmWo}RZ&kN-Kg8oFH1g@M!s~7E#@lxJ;Rm)}S#Esm9&pHZZ!g=@ zC3eDHUi-p+k!ZsqUtgr1%G7{=5JfQC_W9v+YgL%nSg`}}VAG|ULTXW}I4K6TQ+>&H ziebKDT6u!piZ9Jakt5#_P%pt9F8fe#HfRXMFTG8iML4?N9i|!8o`LEKqlBO#yx&t& zA{W1W2;zEKwh~x#@V|B_uEg84QzKiFHw{%v-rQ20&n#t)0k7Ns+YZ`c(%C~~#lB?; z@9zlY6(OgL#_F{79}wu`M>4djkV^_hJ>DT|GV&yQpQqiG4)+8}{gpNKO1O}%(6`i|sBH*opYFaX1P6N%5H7c^1g^!9UzBDVH0Ese zJaQzel|i8tWgP?=2cbejiwrgfUpV-gt{b+f)$F!l9p$zXLeVd9^f%i=WOgB&?*Ke2 zrHz~3Cm2BHfWvcN+{nGNx>BhzcQ+a)o4 z?^+P3w&ai7k*EsyZe=eNN)VdgP$e8n5G3iS5C|ouM5d-XLf$P0+?5}1SAr42Q=meM z-GpzC;KQq(kh7MbK-d(fD-4i!ralP@;xg1?H z$u%@Y-)h!dW{n%I0i`z6h-mOS>|>!HHAY~(3dU>Gf`(hyb*jce&_XbTZ!DKHbZBF> z-lsri>S$xu*Jb+U^{T#7J!Wb?XScqH0zsVcP4gypMK$Z%-81gHrkr@XZ{O|s24;SS zum+-z?A}19Q+erdkZ)XV?d#IJ4(hArhW>e&Z+Ki6{=|aQnz^tqYM@`X;BYr0`wn%* zsRFNKR|O3$cG@+V>o_hOt44OQ+q_QbZ>hvK0k;eJE}xqW)3{N|Al>7xHw@i zi`Fr_TRqGNzkZmz#L3+TYgMX+Q@+itG~Z3F-_ypWk=sATvr)6%sEeSA(E}N}yaCHk zPin&rp0lfk(8i!T@1Tfi=WH!iFH6;#x}24)+}-Ikp0LfEqM(Uzh#J*(P!5 zUGWK*wK>no-A+}r#!0nm$IyfuC$wwneo)WgW#_gBcMx(2jW;wrFc;N) zlglk$MGRyJ>W5NO?SjMO@(@Gs^x-ZWURnLZK_q+P zl2K7T3|Ko$LK4-V4pi>#J_`LHY9oCUhavEqBW_GypfP!bQIPdMA zt*dpP^MGfkWlrkY!830->(Ce-J_gsi?iGfcj@>6p3P z)b8z}TIsGkMY}C^DDlm$PlhG1-u!po245M|9_+OtpAT+NytBw1^uMKv!eO?rGpATk zbOd%Cx>4J#eP*&)yX>yiIR0xApgD*a;z(aQ=Y22uEzh|%Zp*teauJj$0)^m!seA#k zs-d@wp`n4)vE!B-i&qXu>663PMgoqWg{X|0w(VAjpOITSf&%Otm zaVyy*yCWL{wm0qXs#Ot!K*|kjga1aIF^=x09VnQ#fBCqB@&9Y-?+1*`?FW=Cb{xNG zPoayuG9|UE*i!sb^~cc%&7q-5S6IkB^oFiQR{J+TRg)3|%AL!WPlVGtc|o=mjI|Ebkx)S+4_K;R?G8oP!v4_G*idqzstBJEEC<;}T2?m@(G zW-u71RSZfWg3%h zDH-kS8--E&qG8}iK^GtOEu}zqm7_f!T4%e3rrUzHfu{VoWi^j}7uoyUv-|I3&in=3 zpy?iwJMOngG^Tw>Gvq-XxjlUv|6lA<;?x3A4oz`2sG6D!4)NneCF6E+gVkKaOX9g+ zN19Ls^;k(=-p;37c&S5uv-aJOkTv8wq;-EPN%VW>1-#u_f2qCS#O^r3z`T1c0{X_( z29p}fB*ZSP-6jsX`ZA8c5x0nQB#!&B1Nz~%D`-1S01eDLz{ge>Z1>>Hohph4?VR_m z`3QLIrh7e&yJpT5v@2mP)&w<)#d5NM(2LaR8?M)S?yimQ?>#_uKy}hq*x|EyVM>!v z*D!j0lbvxbb_6@O-G7c}ccQ{07KKyQ zcc9ze*tMK{rH#9Och0B#22OeBW(GFHzMPpe?{mx7+}tnQQQAiM_bPk1!ED00gCT13 zO`n$BT08upB!j|3b}Ug1SYLe(Bv`w-*XFQr1N%7%P$9mstM6B?@m-%&N4(N4TYS$b zUw8Ffye6+$t@~Um#t%%=x8KJgif49|4s=;YplH`O0*@#GY*06t0)rHwwslFfvvI|> zdTi@|vt$9d3}CfxLn3V#Y5X3W*$Gzcya+)4STJR;Hq)jJSn#P{(t#mM?=#Lx3sN{Z z3KXkrgtn$imK(U-dIkA^m2C@YZg4xv*DaJ52&xmf2i|SA-6qzApWSbjGY(s}DpQ)N z?@9?OGK-QwDR1w&DPA3@vN-Z$d?v(>F3Qak`R$*I%E_dB_pI`=DdSJ7i%OOK6}>8n zWLYR7gqISo3qp;~?N^@0sdjFUv$kdd*QLZ-BM=7iOAolTN{xPtY)<@T8oC1s&2Hu| z@2u>yz9q)@7WTt~2HCF5emg3m2{()cwL@{=)KAdYa0sdb;04k4HHB%u*^X^8^tyqD zEl28wMPPH!mgdj^S{KUGQrCms8B`Gf1QVzFQa;?ewiCELZsTc4__O4B+&` z><$F!Bd;`EWu$GKoA_TNb_viN^1U0Yzolf56}^)GivOFDZHIgAO||hiB)d>yH#^(C z)84z(QxF`4Ux!Lk>P~V1)cX5i`zSnaQ9B>@>Wjd7v2Nq@o zvywG8#iwlrdbx#`ue8`}zYDtHJF)A7XG-dQ-^Fh&TwtG zE2&xZ57_6TynJg>ie0PeBoA!-IKl4AHxq0KS!anL1PM+H2re>UTCl zpq$$h*ao{0q}s#4vI4W~Zh)BgP0&%x^WH|jfy;B|z`KpUjdz24yybu!>>uye|2LsU zeNTh%8X?x)R2#Fa8f;C9#dP!C#C6WgvY-+s`}^Qm`%TbL#6QH5fDd@^FMWXY2q%35 zLGiPOy{H5_Z)5_#Vw;V+ZhZ&17!&B7>e|`bf%ozMzAOBuHDUp zJmVs}ZuhVb;$LSec;o(%gDbVV&u{p*rd>D(0k63=x;K(XZP*T5%A^5p3roMAHzpKE z3m-lD_gd|hkWec^k!WyL&&9^HpQn~5?5d*9tdQO18>IQz_;#S9yBl5s zc6Wt>LT{OqM)NrRRMWvt%q6$u)Z1k^&6|&$vruEcIgIdtGDmSLao&XusW{2Z{cgfF zg(gLL`mV5N`m)r!njneghbgW@3lPpjeqZD0Ob_1zw|7om8!_DL4 z#pB{{oVekQJ>a+nmT|Uz&sq}Kk;WT&O8Z~8WmAhH0PCupRrC-|@0BYX`1P=idJq#n zao`o*H8;gBbDx&$oXw)QP)OABs$P;wx`v0prWF2U6X4nUD&TO55oY+e6BYpo=VJd* z`z8^c^Ay3}EFyK$mee#sL7W?4c~6{;(*8Z(4)nL0+P|Ou!92iiY`M$EmLBxnyj|a$ zb-uo7Sm>_9*4?i4%0Y+O!C9}cIesj{rURmy^W5!j8hRfy&<&*iJ24}>>||!7Z(u#W zAbj4>ErW|wzz2K4hu!o=55xmja$}CaDAYT!L=ZO;C6d4dM{_jB|8su% z2bkca>-n6j{{T>V*ZtbQTI!v&yqeC%8~v39@ZVqRMFG=Z30DO)hTNtfRg*({RSJ335 z^BAnYdMNi(Q0QK)&3@DUPPbp1LcH$wVyn?t^3?C^TW(1kyteA7a|)XCsl5JgpJtbB zgsZ`mK>hlh0$Fv^+`dUEaB{w)$nccsPl#DG)n9U5gdpTl9tkgITDB9THFww^nE6%9~?XV$$`Ro=kzgr~xwra?CqbsB3}pJvcSP)&&@;3 z_hV;$1v%$#p6Lp|%3t&XGGg$9*}=?6M8v&=%e#HDMg?i~imM*|=O#zi8ql6y5D-}h4 zz*zi{Vl*Q3rN7YnHs=qMe66>(&wQ+kL>F&gboyQb$1Ijxn&9bIe-}mgM*UdjS3>$wb{l*+s5B&eguqdf`T-kP%h(W{Vr3J!3nSoA^oM(7=74kh zu6?`W#K8?()e%7Ns-z69tnDo@g8)~xt*gD&n9b2KwyRKT8}=7$nfU27Saf2prMLPN zdQJ?lhhMLJwY$Ob!{$@ey$Q+|VoHRilZBIlKJ{+qd>pV8Eo$GphQQM6aVK6EVzg0i zaP5Y>tb5MBettK%gOxSIdYe_j${?z>-NoJTo#g!6I-n8(>*>4y{F!&Xje~C?W`?Ua z-RXD7IL2nzz7sFpUNz^rdn35>m=-~VZw9lh$}|G(6=*7M=&*VwT%qkJCUf_+yQeqn zyS6~7gWlFTJLx(;DaxbEKgZe6s1yJGOS`M1@Ewnftm zt6QzEg{D4rqE+L1fuaw$_x-`0O_!?FRag_1vt} zudlByVVuDDCW=gL;Ckzh74NTsdbC)vk4B#M>b}NeC1=IyjO(Ec*nGYj4C#{=u&So9I;U-#w?f_PbEg7+niJyi&W8h{s_HuOB^1>}A zKa1I#*?+z6g0p(%a~}B?Hh%p_slQTx2PLG9(-!$>zPYWqb`K~aD~Soe(3KJIH^SyO z`SZesW8Lev>g)VmZ+05*t$mVidEeVp<@E;x024|jO-jWuFSd%#VFmC)MQ z`*kG6dT-*)gHrEoEOb_z)~}7%v=UiO|1r@X)DvhXc69n9tVGW0^`6CFjq)1c*gD&m z$WeDL%(Rw14>K>_E?`MMI18gc1(jNzg7s=Df_(M7=0;)l#w%Wl9Xrg-0(}F*Ty7%G zH&#$;ZXM=!6ZJOh*TOE;?=e^0NVb#sCb%b((U)RCuNC%OZO#H|=@NcWRtXy9+ZVHE3~OV9W3mn^pZTz6Nrs2;&C25ah~Qra82cU#;$O+?b&B~f^Ebcl zxz2c>TlKdEUcJA9hUUPrU{{)x;zbVw5LbN&w810-H@UmG$Qz(amVve)2d9cl_-Y0N&uvh)Jnxrf3F4C0lEO0C`FV@MI+ZH(1 z_wFur=d$QOYgp(a!(s^1slhKKKxmdlnr`m=#m+bTHHwXh4Eow{(CwWZ*$i}CD^2V; z@IdorHz8t{!~TgLD(P!zP{aYq1{xfBzB{f&1&0)YoHpu1`uJfFp6`4?0p6@kHo1K< zUgDBsyfW2c*`0DH4H~}`j!v4%ci1j4 z#|{^fdGD^uSKx$jgTWpks)OLWSZ>=c`W$?NMfdZh8+&f2e6H^xhWS-+Z<-B)>l$~K z?xO~>^eL=iF%5`a1fsyH&%b*`|4K2oEs5cx1Ar4}!{Ohbq@D^oc5MVVAaTUC(-!t&w{h$1wiz7(lX~Ze z*tyfq+Ot^KyRJzkVffB@Jj|Gm`r%ZR+zp`=N~OY&CK!B0=H|Tn2l9ctn~O6Gbpcz} z$Hi1}8x*Ubb@+4KQ*2zyKD}V=8)==3+8nQ~E`0MGZ;#D$kGoT*x&^;(x1kAibk99z zgdR$6X&q@@SJ8~Q1_W3O+|o0eH==g!)^OCoQpU*=vM$yq`QIE@S#XmmU zhkf?rf8b1Fgnw(^wX&QyxT1Q1ub>IBYqeNx8CLJqF!~_VrB=;3B^;giS6ufw@maA$ zQqUaqt+$LnA7q-G;b|Eod|rU zR?)m9!SP#BE!8(@rt)q!(Vw%2g*VP!OJ?04uLGE2cSUZoi>|kCx^&g|JU(N@LAmEt zj<;^6;V{K^mmLKxT(oQPY5_Oy+BfSR1F2`mVzK$VR*Ah9P~_C>w<5*fImgFVvw(c^6zfHMc5E- zKwqwBVO)2@xPZ91;%?C_?5OY73}^A@jxes6zi0S%e)+BZ zwz$Y!#>Q=4wb_rV-3r@C6Fa??=(|(KJIzsdX)C4xAO79Wo0_uqK<}DMl8Wa(=oX@O zw?dM;{p09EQA2N6@ppT$@ZRm`_ycnpaK@Y=PdNC<0fys8=Ah+?-C4esSz(CLvcoWd zYlHX=f_JFxJQyDvZ0Y5YHH?t+*RhL;_h8fi{KfG<}K zEx@do`GD3Wt---EsKX6>p7(5*p16rv6Z#{GfY`NkUDd0N>seoexdg3KSh#$t4PFBS{n0XJ`yx*Rwb7Vn2& zzSCVi^-o_y*!cHfs4Opx|Gm@7#Fo4(LVUiHGTqyK&8{;iYx#Q6s${XT*86V?G}i8v zLGD(*tY9&1oW|P$RT?ehZjLf$-rHK{Vk#j`r1han^Mp$TIhZ1n|A&t$JPPXA^Z6 zwPH8no6ubNx+L#?(4ZvCumM0IH!ATE4@;!ESoiTb8ET&P4Z&Ar@meR z{1&NCo;1dx;&uR$o)n}$1H-q2KaYs#d!Sh)9VhU zPD(&{RoByeixmGcWTu!n_$b)7n|)8&2`boBhlmja4Zi^ji&lRMZgKN8=S>ir*f00z z5;y!n(MoLkgL1ie+y9Gzncu-)@cV843x8GAm)v#ApDpnr-u$P978v8|b^91f?D8i) zX`0$coNulABu>;F)UWw9_BFAkUiOwWJ@6y{a6 zb*!hJMmb}H=1}F=71ac2DFB_aX$I#Iyequ|IwlN5MRS) zAIam0rNK9#!OgFKx=ZiS4PNQ<#0xb$sG1Rt{q_S}f|hfyiMMNDeZlS5@~F8EAV)p- z4R$*p72|RXU$H6-IGGQrgp8G7!b6z#*E4_Zmv=AB3l4lt7mf4xJb@09_zW=BtFO#X zwbd7$zAD1i&dqiS6}x6WT_$XM``eK7g`4S8W5>3gQ0wzm`d;kw3aj?(!r@LXY?i8g zO$uM8)?cK2>viwuhmsogICWtB*xIS)|GBdILF__794EO5E%FVx!FxAHhz%pyzQJ%d z%Zm+6gYGsW4jkZa5bDFOR{hpCN&td5KnI@Od%n1Y)XQ^m0{AMMkeVrx@$VW;RBP$I z7O01DkbPsiSLOG*T3DS<(9!&34GyNlpWqxU*RyWbk~{7Y?RXPXpu@4KsS z>+1_ObQxbSv~h>}IiI#tB2dk5xX*=}rtkK;DR=K~+_#Y%*E>X$mPFL&d6WyiacfBC ztSMhCzEilZcg?GI$6&#NqziP9;7@?yM%c#ss%b42z6S>zSfAyuQXv_iyM@O62<8hN z_yXX8^hX9L@^3_qWzKE5w2qx5^=1_o{@6Efe++h;Ko(s62A+eg!=Z8OmX@ITtxH5p zUORhSbkj9IXPxyLKw6HC2Dd-WYi_Uk^C{L{(2wd}siZuzDY|oRf^8RpYV}Q60c+R0 z4q)^gZqn|)%GY4jcdLS2K;ZKmH^;)f^C`db%5EHEwv4m5?`b0++6S8)BC7okUB*%Y z*WtVR-Q?ds1#P@(aJ7NErr(Vo?DGwmr?r8>Yn{{7VD(Vqec_{B0e49BE~vQeE$2*w zudw1gc=Zd zpKYys3sdhUY)W$R&* zG}FrJea`mU#D;c`wI}wtlsT~-N}0K?9I57jpw*0b@=+~`zHlk#==njLIM}rtU-1z}svv3c&E=uXPzoI|)YYO}G)w?!zaBhO_ z8V(ku*Cpixm+Wq$Mm~`@D~-ukY!#u}K1IxpLf?+yb+Ix2R_iw;=7G~ zgS%Pc#D8jZ)352WU*5d!0dtL2Gu~oq`n`q9-tXM!FXy)+!!`AO>%`0;-}N&zz2&xN z+}TUtG+jHZcnZJ1*`cgZah;1hZR?-5bMl42 z5ZSVE9QYg?xS)e>BE-RDu3?~>L2er+1%9ds4rCS}YC|pY?JN4jmTzo^uAs&W`);&u z3>Qe9ksAVj7`uuCXvS9b@BD3R#LHLnTCv(Ai7R@IMVRnzAka0j8}wbVvRkXHMB1F-O&zHiri;{Y5}gAV-HO!4yF z{W*{RV?)U|8R@}pR}`D)n&95IJ!m<~npBOb+FaX=&WA&ssk5>N;u+kB^bxDtbOrnR z*|Z$*;m((^-)`=|-NccOU*bQH^v^iHxpx!WGT!z@roq)UTZn+yW(%2lJUQJluE>0U z@%#46>t|1eC;k7RY28}Bk+4qh(`l=t*QQ>Az3sbG;|9Ku2prF{Z%H}6v4@iv#SAC3 zX!}k$oF$_XGGFn5@NRhF1FK=TmIeoexi)K=DEdJx5L$!ZK*R|nptkWR=iRJ#?RKE^ zT5-a3@}Q}{fc62X;$HZA4;ukOwbrhJt;F8}Q#;#UqfUgg2w1*o=q;xl0=gT`&DN<& z(*zwrL(h@j_`YW+jl2*AE0~M1o69%v8g4_};4oMH7WHV^aO~-vR=bHduX>vSZo$%g zmBhkLr%#K*Rm{rpgQc*_u3I0lEbHZ~mV#@+iE6OpqSSaAf`ih%g4#_B&=31DF}BZT z12wZ6PaN!X5F1NlP4TI?bADHFRUj*zA?mw`KJW0 z`5sh#ikPfSw=E}9b;{Gz2jT(!_-WVk-~PRa17yQuA0B0pA?7*5MlRy;cO0zly!U<@ z5`Rtn#-DsM+4KKOOq{57i}&Wmd%o2f*MF=>bLiZ$@uAaM%f#Bh4=HX1=K^g((!{9iVUjrzgd zSnNu05L#rj@5H8xF5bnS_2mD$AQ-QIQzn@}J?fja?STAKLV%{OtWjg|O>;NfHDjUg zG;kBJxTPxrKezAo&pouXd2?cQSBd7B*2Gc{CyK)8Zm1*uX}0R!1#Bky7jV=8SlLTegEKXu^zt9On0aofns()|Pcn{Gis1wm4)zE0l) zf4h+tf$7H8rtD@H-^F&YipNU3mR;+52d8piaA0eF>!LW3{qk*_Vx|(Y*Bv4F2~zua zy$}9P`5SzLx4D8cVuX+01OS=t}^Rg!Su$6OS`;4 z;kqc(F=D$WZf;#+T19tTwf^gzh5Z+SZ<@HW*v4iB*{!P8f-8FXc-K@tx6qw4XMA}} zo*yBR9gmQVkPrrfvCf1mEK?9C&WjNaEV2$PTu=s#6<5X_B0&}oE=1VM7xlfmUCh-# z9HT`(90eQlexJO@6a4VT1!%S}3F{v0qE=TcQrlzo5=ddWLHn>o1vm~dP#u20m?`s0x#K!NIqoNH>Z!Xg3_f;`8%osnwcJf0bN;Kc=35d~HZsBh~U;eU( z#g|LI)~pKe7|X%}s~^j|0Z8~@+iF;5?mBcG3s0*^amY`&FtgX|t|r!RYyC@ysa`YT zIC+tv{Iz?a_5eOna1gUB!G z)@FyD{cYkOjIv`QeC*cJUgxyDe~BV(tDVh>)&5V3jo;GL<=)$qA*pK9WX!$zIMbBZ zJMTw7t4rQ#eHW1ibghG}olFq-)|52YOkeXoZD8wjuPssWI{;!3AO`?(6aYX3097FX z0001lKcW&wRCQ8?%LLV)7D{_I3c1?7DjjvMQk9)a)wLG2r|qE)1--iq@dc`yycVs#2Xt=S*zKR**#h5T2 zYzYIuf$v|7m)+I(mb!RuUU$q^W>yB$B}UicHA~DD_m%5`y{vbhEga@sAH;pI;aph% z6@LSly1-GnhS~~W5^{j4Jw^*r_E6as_CFcRHlU~1A zu;L3H1N(Bjdcz&PE)>yd?sK~IF7j%Y(u~ z)oxewHd%+YZ*JVn7Q~y}E_W?`JLIZ{@$OCAHo8S*(OI<}Y=vIywvIJt-(0dwZDXDD z(r~%Hc4XO|uraPP-?DA3*gJLrdO(H0lL2enP4JoR+OFH?o^uYIrR5FHPVFvj!(4Za z5A9q@*RcGJ&3dk1D_M4{ebp5n2vhWH>EAArBYndeQKyDOfNd>ZEB|@Qm6;1rw-_( zAE}`SdFU_N&`qgPestHBRV>Q7?=LuUdLrv{b}e^0s=0VviPXq zb^&bPTe(PW9gfMz8pmwoptRNfnc3Oz)e4ccy{xi-J-unQe6(i^Pt#xO8g*`3YZhG< z&f~3ypkrXJZdSxSkFl1%cQQ7Ufh^IyospGgDWJifKy-`n;>`p)!)h=aWR!pOO-h+= z;TA9=M{~(69*1*o<`?gWsZ<~lfx~?1w@BX55)L!5UFBiFh{y)4n~6aKmSvPIJTVK0|xGcXQ?-{G;CjpQyDuKKw?ufH6#KO9=-ki8rU6yDkw^#hfbFPO};v6qJP zk6evq7R7Pwo|qNC!-X*6`xoBjc=t19eElV3=-zn6vAW%x7+F^sB(5ciSI@O>KoVh` z!Y`hE+oiYu-6oScxsdk44d*xSHb&bev5m`etXyhU3bjscOP%;7L`$9r(j@2`IgjL~ zH9HV}2Glq^w?L_&=~J3@NVQq+mh5r@ms*xAMZ4RUvua$ivbxs+O$#-h zSI^U)X(!_ncfQCCI4%Omq#H7{=6lcf+v~2AnZ7-~=B95`Kd1WPetkg>(l_ZHq_`&1 zk;*%r?&h0_vvt_iBu=Cg!LffN?MNhUj})M(Ajf%)@*^s5`qa8%Fm6iVHX(81R)(g41b3Hah)g_sE1W%1F)_$uITrYf zry<|w;WUGZJPypUnqOTN^c;PYiJ5Q7E&5(?@gY^in1!$?k-wPPPR!)2`Z6}qBz+*mT@HChilDw5CVq$~1g!cg`LR zMn~hOLKD6bDIZWgNU4MU-9}qoWS!aR(6v_DZ`4YmbLD4>H4Qv8e0tZ{cUE z>i${8O@s(rvzyPzOIX4Ot`hbxPP6kE&hz?FelBJO{HTgRbn}r*)=%9J?qC6X+_?{Y zenbQbJzqBVftCI1wt~>NYE&!q{|a#fHHo`T=&wcQ`5S_bz2>-blN+~vE!)%u!*L7SNzXi7 zaZ|2|+nLaMoACGTw>?&d93}E4bnnGYm?cV~cuVHoFQfgSy4N+psMg5kCJYC;AkH(l zcru=wo%yO@%7AL+3$2jVEWJ1gQ*XH-s8fVM3H#zCEK%DP5kbGCz5m^Vhlu_Bi#DB* zb9d%8)9-7x=6IQQ*Mp*o>4x){w+Tep=iM$fpW}I_-L0lm-02ogk()$HMAwLMr|&Rc zVDl~Ma>P^;kd3suo7{|Zlun5fH0edsL?INuJw_IRaGG=T`nf)MKe4{j$V#MaXHej zd8G`NijY;o0~?MI6XC|wt|?W`4_$S_O?JagQvCz6isG+-!!n5aWVVpO-J4i4Ud&-1 zn6EC3t_R`7&P~T;I(_CPd-2`7H?N~xZi1}rR+l0+@N4zFesagx>by}GYzuTv}6Yc_oyuSe{4 z2p`vQYbsn>wgg zEYzc<8g@)RhX>Tj0EM{o3r~tY)_Sj*7&wVE6S<^mAa~vS@Q$mWuUp^d znW3ba5hA!t9^XeUzi z;F?ZdsGIUSgQ)ec`E;`AA$KcDcH$ATFSb^Ow3J3Uqs_gf-TrMl&Lk6KM`*MspdBrQ3T`)DsvrNl$b?WmH zu0lSy>eZL#fz|E`lUwf7azli@f=JT3Lbv{-@v!8-TV3K zyLgI|dfi>S!AuF=C?_G|>3LK)NnR3%Qtf`5xSI4$k==NG+nNOGGImw;CpY|y$oNS; zAnu!ZwC~E$X5HC<^WQfFYYu!A{@{Bj{(@nkl>2l)>U01f8SuS=g z?HX=zRnOEh>qd9b z0sVSi6-{>=)M0iLRs`e{H|>4bc@Poy=R3`msg9MS%@k*kfxXldIv$_61M)mJi@JZ( z|J=+sp3+zuddyEfRviAO^Q2x!p*Q?8C>DU{?gfNli zEu3pMa~2F%NpJ}EGtew{uYug+eSW7CtxO#b2_nyY1rmP#LH5?b%}SYAO^ex>c*}G4 zE8!>JiEX2f!#RIdl^=4?iSR;kzi4WZgP8#I$VRSth6WeReEkuA;5C?C7u3U9m-TwD zGO)X5;EviDcriX~2P4FUFyS+&+&C;&E4G^pcrYg{fLm|t!nbd6tJj~&jbqFIlNaP= zwvWLZ2{|Z!u;B;2*|bjbw_Hz0nf5us zXB2x>apI0i=W!9XWH(tI>aMY=aZ|SG9S^ONCeU8}i{d~gS1xxx#$Lkc{ zsoie24=;~Y{5E>We>l$SFqm9K>}D}pMSnbo)STh0qlvqN=48r~d~ElpQDqrFj_xsB zf^`DXZ;^4N&k=8%e~6QPDQo42`J$hxQXba)uu?ZyC008H=e{Vn*22G2NThs9ZfvCl zu88Mx=bV3GDPXpV%F=i<=^gW1!ks76Fon+5r&!@_#gyw@EhXEwMsbUd&uQO1Q)1T| zt(zY-iw4aXXI)b^(Fw}Q7k9#?nw=QmKJjrLW9iXuXUvwIh%w)#DZ{*uJ$NRKQ(9lV zl%zTEVfU)+30%cRM$7ANFKyu)hPjxs@BYxX(vDO9x3!*hvNH~N4)-4Q!?T*u4*$7R z^0McdY75sXd-IHUJfbhndU#duDJD|d#U!z4;B2$Dxtq!T+|Kto;h+UC>pDF*Eu@A| z%y#oatDGE82gCMAX-D<=hgD=byP<$DIq@YuB;Ao{g_q*SfOMngF~yc_iDyZrhFxR# zj45=r6T0GMcS^d}Y97a42MyPJC<#Qk-$#(-MO0h@d$e}FH@=P=cgMzD zvr+CNH}b@~QlhLFO;!<@^Izc4D7cAR+#dB>Uy!jZx*p@tR4@w*}J43U+@$Oak9svMrz}9YrBj0OL}%M>j8=L<8Gf_3ztx@L-q&0_il_J zd<}1!B1Y7by9*Ke6yB@2_V!Zr=2h<~+2$PQ{@gdsMHiymYait3`)$3wqrA4&C@~w` zNjTYWe}4QNau4{?R*Y*ZU3A|T!21aj?pdy$TqVOpE^PP9~h zEysWvC*XSSBsBw5{thUAx;YHaxlbCz=d3(;5?o*D{^6hRBW#4&Hx4>jb-@-`5-X?qOj(vB){E1mHNqI?zA|wf`wv=s*JM^H^3s3Pq6#QuMX&D|8B zDOol;%HxG_B`5+pxtvK(k+qdtp#_ol z%Pz5zyuN$j(NZUmw)e$zT)Fb&#Ly_6mVFw7WpR^|lj783uLL4_uk;Hv-9P*ehl;$a zISUf{e2DmpB`!~>lw{AM;wR+3#=k!qevz;1O~e;~f>DjSr1*EJgar^7RKD?!KrDa8 zIUWbUM^gC&i1H`v%DL5)gRvjthbKQN>21dBlbEU}7EeV@bQ4@G)5 zJ|d^*IpeHw-vvX9QHp1pC`G6m-pR?Yy2Rhf^yCuZSwA}9_Jderwt?*p2C2Qo2W3|l zw~FSv2I-2wb_{fW6r7}-o-NgaKM+Y=NV49@!K)y#;((Hui`>+l%;Oz;CwTXqhyU<| z%ISI}z&KA~)b>u1H`-*!)E;b|R~-Mk0)lY(j-M4|XH5ng_H*`Qj!=v3LqxDxW_Q!z zp6||i|20HEIO}*MU@D)GeWdZ2Uc{%Yq*YB7z3ad?^{~Gb_apfuM}f;0UBmDJ=gFJO zRsVVhJNk~F@zEeJ@;KCVvz9=W&2W23MauR@no#zV9E{9MB{e~qPSdM|^raA`iE^>% z@k(&KM=8J9lSdnCtkQlK+Ut!ts)*MYmMwwZK5pz2Agq5Yc8f=ttdW32NW$+ZQBf3q zq#$jS7!_HO2J2d%j*3v!W>4KQqoNnRsCOB4=viSheuCrE3cRX~BP3@5-O-|=*F2a7 zQUX6xSN_C9S7em-K=ULjAW7kG%`1KtSIz{FaG)`tJx;kR%;BcwTl`Iuz@e;R6^%%2!=XodfR!d{pe3u@6k=$51<3`}bcUmVP`i#}qwY<;_Z5 z1r$C|9rW_RN}?s@kLfu8iYB4JD~NzX4>FiqM2UCJ+)tK~sk+dJ)onQ0l7beITXoTY$?{h3HKS0!5r8`(Uz(3>(H8IH@ zfj_Jc0e^P@ADlz)zrACc`H=;1%(;_#+(81A+}y?&%n4TdII%DrAaA=O_VoBuTQ86_h^LrSWC>Oe7_#4S1 zg*B41#GhD(-7teukQO@ObWff4=mJ#k*Q>x*a^iqrNR>u^&oy35d;^#cCVBDCq*IJ3 zZXlgb8VHK84$&QWe28Q!thj%?Z&|AM^3;^B1!~pobyCU;Idulp^ zZ2(rM`s8O|+s(*yB*1yIsCkONa3c9cpg>77Y^Rnb5_q!THadea9=a9V^= zoZ(VT!cR3EXP@XRKaO6O6`WO3d_J3poP>{Zv+UK;6P);r@>LA)1ebt1M_VUd=1&TT z5C+WEyeXtP_yVwi_n`n_|9TwPAZ8npXa8fdxaJU7nq!zuBgB-c2n6a2q=~920Ye~$kLehW70>-47Mhd(G1Z+MGDvAd0etsmln+LbgCe73q-vhR ztDbo9C`E`HhHA_~Q1Z24djPbM3Koc3<0R5yHzUhIc8WDWOdwK+x^RDEsG>Bd)tPnz zkb{#c^~W-H!>8FORjH-?U!OinHMvJZCo^&&QRYM?{Td~69aQje+9OGxi~27W81>xFDmnOL?~0k@z%Zzih`_-`TGM#5(a3E%YFgamQv$ZxkFLV%27~* z1jzpiVy$eNMD_UQ8-nn0u^}U}b5RDOoxI)SYleC7_)R+ z5P;(@f9%+E5RYTO8^!k`Ll(;V7_`gbwko&ce2DiWY1qL(cdWgErYyIOA0p72v|}uh zZdLKTBLwZIvD7qz(>D!xaH%$knCz=Qj6pWUAStsa@aXm@@z@gbwy;ab*%kgrggPSQTiLCbcJ z4AZ*TAl`q_Q)F5pST)qXiWvEB4;ceG0&Pz5D)A<8cTyCW`E!T{FYgW0Gt(n z$Dl2Tjtw&DJZNkX{zpbV=9R)i^7YZl*axe)it5;^M*xq#4D5y<=RFX_yQ}zcunMD& zu~CtR-c8{xahb+e4AX_*(6}GJL5^xjZZ$S2ZVK)E4uPS-(72E9knH^usT$EdRcqik z5MwTQL2G=BKCE+M5X48`DVnU7>>4|y<;9}qe!#_r^jz!^8%hq1{w)2-gDP58U=Q!6 zS&QQ+mkp7YVIK2B%HIi{Ip77_`dS=EJ{uA-EoKLH4|0_8J}PpW@7xSP954 z^Z?I|{?`GL;3v>xjAIWinTjVaLHv-&J6N>_2FIU5NS4^1xF4&yLff!Z=RCT>j-NWeFmro0`kBXqeVm^h(R!TZkcnr4C>ZyoKN!D0K*g0bDCD zcm*i}xc#&NJeQ>Lg37G^q!U{`3oF3-+Hg`0<`58LLH8XLxe-^y3t^)quLNbG3v&L z0#e(@u)5Yq=Wq-gDdsCq@n&^by)Y|DlUTiz}mkAW&0Q@S=ak(WlDk7_%crhtC9*0 zNHyA)P8NeKjbVTlX;4vupM&pQX>LM1L3mmzp}!!aha$h-8Ku{U`SjpLTg)ntgh-S18LV{RTV%~3{ z;BN=ypz)a5djR&}yTb;P)*IjJxJFPfu>)U{`(IBB<`Md@7_kC#N($ji3bE?EQ;Ig4 zd;BQ|1%fkF2uHzS3SqP>Fhuj3>Y9ci!eo`O6V@N$g4j&95#4fjK>=ctB@0mnR*c#K zAs+x=8iC`}k%o72NakE6wgU*V+{TK1TTG3$-1W&qKsdffy_ZjT8LN3;jH+8XgA?*T+xD1Q4r@oU`k zr-Kn~!1x%bdq#rapSe#d?B0SFK+qNe9Yyx97bP!5&ZHOcEkES1<5%y3!JqdY#ncGP z-}iTvlq~u6eI6eszDqIyLk*58Qv_*nE`7mcI=9jnobd({rPP2--aQ0=fm!^&l#zIh z*xz4Hs+bNJTSs(Qr!Pn*6BNP*4-vGq)J9CEv!7?)De>c3bxg@ENJx^OnQCWLkJy%z zstgemTOgkrjf=6Nse!osd5ZQX{L~^{n6069vlzl>5o)%JjdwdjW=kkX%#@1TY`VH| zqI$1($0U+kHl1&<9|kUo3D|=%2w^73DJ=`(Y#LhN!BcI=;%rkI%fW0LvgAvM;A67p z%88BQg@?4!f~RovrEI6P%!0{thBfe%jzw9vQyPiDwzwKxz*9VS$=E`ayj%VPp3<8G zW|O%s_aO&!FvCXtfNlo)cLYRGzavK|26kZBLf8#JsmY!A!QG7R$OykdN*H@~O@K;1 z-4YY%bPkWe2fABK09d6#Y9zZq^c+*lM)r9M8G$PxgldejH}C?ZpfXHL$_O{XBQOOlzyP$2^B#=kWU?CyzM`^`eBk2-UPeO-5upN@V5VY5 z{t6*c&`h@$N=2D*eSwJ30<2Z^ZCft4h9Sj12UcqIn4KP40d#xbm9`;Jd{(sVRu(uo zA>NM{cpu4!P#tUvsbDlUm=L-I$+Ni3`i2UA0Iv;lFj)l*dN&2|wpAblmw_11vVNP0 z+C8e-5JgdT-SF3$i>@4^v&bWrTfgfUL6WL65rfl)=D*cD@d|0NtKfo`0NU}*`;zPh z8AC2t(VQWgNn=&mNM~+GJXG7@dd1MT6&)Bv*~RLo)iN4DnqTeTgp6hbcD}ctb#{?Z zcu>D)S@93s*$54^vqX1b^1*ZcW5Deu7)q`1@!4vE85K6b`mpQ&BvMW$A3@~~8*Lkd z209+{21dX;QUZD&Mq`09zyd3I{Vzg8!A=i7nGL9flh<*;@?q=$5jRhqv_Q5QPl(Qe zdj<1;Sd02t@$3I=;{)ZqrjKP%V*R&kJ0XkxPfc!%_v;k|JIDh|`hCk6$D ztkggj{teUxY>m-`<{e`zMts8w0s|J4CYlb1U<}IkQ?l*U;x+LB@ergTGaLqqHaO?( z2ojuCB2bWft9Uk1W2LZosBIB=23o`bfN?|JPQd3F2^xGTP$vji;5Y|MY;L0b3bh`m zunEI!n4~^&v?wz$Du%CM4>!VQXKvK({zf0y5Va1X zJkXqzHh#WqZOZl@5J2Zznz96sto?3nM2g}i^zuZ~nk+!uKc{R)gR%~W|35T1!DtW) zhp&QJlrZK`%$ZO&0KgC+2LN#t06+!+G!g({008@6t<9@eS_yQL!co%sMNuL;!yhEa;N;~#eeXL6e3}MnCiiXNFMP;MB7)4J**p_IMV$Gq=qoq+F2k4`X zHcQW6lI9<7TQox(V}UOm59vQt`<8z5Jz6qJkIp}0MIV!7vaeuiiPj6;ecOT#oyBVm*I&o{{x{& zo#+YXO29Ezqs1Ri>P-@7^Vmg_Mloh%Lw8x{IG1e(x3#dem<@ zdXBTSy!*?n>KCy6XG)8;xrMVH#Z7iUy}4E)Y?pJiN01(~#D4JaUoW42p5||RB1iW; z*56?%LDSJ656ovT_Op-REr*-W(4Xts)Ae#dwJ1l?_s3mJp(Q^saSF9#VlW*Ic8rXs zBSMa};nabSh#Knb&@ot}`=TIz|UhksJ5#!6gUuaHdQRgwhp03AC(<4|* z(shk%u1D3D&y;jpQSGtCwXc{UV)U$Kc{(9B&>UUcJ1%@=ZCOrP8{ ze@134rE5jTGa7mum(oVJ#+Iz!+?lr{n-9jQ8cF%k#?w(E2H(uy$)Ufa^RbMm5oHG9 z>DtP@X`^3bZB|k5OSpAdd(%djE$cC6<(_&U+QxI~Bi+UbX3QQbpQHfeKQ{j25YX3_ z(>0hGut(a{Ge)D`%i4@tyk{&&&BtTdk1{rXPS?_0I6l?ae4A$^WojR_doEn$tfe!? zjTVm~G@ZX=nnw0ES7b)O#;Rw`M#09m>Drrfqr+DZ0|S_<~12WF0pS`zG|C(MtI#$=?vLX`$N z*EUZ}jDEFLu9;ch*Z$!_b$O@tXCoHKFskb7*3FQw@X6HMHIzi7t790eM{OEt^!{6o zK)xu{-`)X;3bFAoXn%YxzNxR&A7>k-o`&+dzva8&YRkheloQ5Yw7xpSf=4wSE7K#e zj>Ko5%M{3mXdABSOvfAX9h0MJ)DwemR$re;&m(;e9GOOOjTO^%uUXb3tFhM^#nGM) zo1{lWIwYKZlSwE4Ix?Jn%}L3J4bEwOK)bs|9A(b_?IFnrCD=Zy{LDpi8cUk7I}#mR zNj;7>+KziV^>`PXxs!Eyru7l+;~M)K^D{n) zmPcwG8zVd_*T6MhGMi&Csi*BG;E4Uht%jdk{l!9Z%3JBWq`ZeC)zdS^M@cOmV^KOg zRykueXfCnE8LJW6nDLC&DDAe+K4xc3Mry`_W~_~rjvd3US{uPMx~^$|)oAG$N$P65 ztUF7!o~}gKC0;D5m}ZHMSdBH!I~%bYa+-TQu^LpDEN;eX=xNy9jMWj;nC6VtQPh~| zjMXB$jlIoS97*X&lc%UE<>Ozfb}oD5HKsLVb2Qa*GZvz^j-tuO)r_7I)3K7)=xS2z zF4btdMXFlP#-hk-*wa|~{J!0h8o_+ID#~9D{l$BsfO)?+)BSccvHS%p;Qv2^e~@Vy zoT59DpT=uFaldW5$R9rcIsS1O6!{!_-}n1U*8P*i$wrzj={#jipYs-fP}pK!Y&v;+W5)!QD(O#@z#?8iCyzH_m+k0mSfIPtm< zpVXcpRBCz@KV3?)9Lo|b-!W3uD@MplE#km zeFVMVQj3p<@lkJh%d_dtZ5RSK>GUZBEK%_Q(s@ZD>eRy&y(7VynQpcXvxq`{d@DS zwxqsOCy&a(9>T)6nlB@luJGa)U-f2J>2;21dN^La%TDigKP16d1j>(=7V{#F{MfbU z+1(?t>CAHt^FR2Jm#}&y-x1~N>TC;IlhxeY=>Xi?f`9K>$^5=IbmZf&c?Qy;KzZNc^?V*QT|INp`s>0gR)))5hEq~n{v&ZDxrg1LoKm6dU z_~dUNo~h-h567P<$7~IMT8yXU+}l#W3uCvWxZ7?3A2){jhoY|dca!lyS}p(I`ZWLZ z7S}g4Ni96xyQ#G2T-^-+`C0tT{+kCp2v0^QkDk*+?lRNv;o_OXXHX!AZjqTcukB&-i^_vQ=;pSMLE62TvE*v(l5Vr?{dW&P!? z6Z|~TxYR{|4>@hY{!BqV0BulQ34MXTze>l3cBb1+l}MC{e*b$zp!u}e<@1iH_5t6! zZ0>vePq}lL`0d$gq44Iz{<~3OZ1`t-_n|k5`QS5sx9`rmHq89Pw4m7ikL_Ro|FcuD z&A<4|pSC@Ai)aVVORjh8?D>rDl>O?@mKzwleb>Dn!Y#+Y5bH@<|F7;hyGh^&XZ!!8 ze(-B*{jje8pt=8j*{c7b^B=i3N;@Zaf$!$rM>%a#+Py9+_*PxjT_^} zxN&aW8#l&{apT;$H*Sp^mNa4H!4y3_nYy&@8jop_YL;C z{sVJfd;j&2d%rS&dtW1M6g~at0~P-|WkY0V|+ZRwJp?;p%#+~ML#_tlnf zZIb?f8*(OKN%$=J*yOlK29%%%v6GP_q%sqs)jIe0hs^X3b?WwxM#*q|O}4ymD*8G* z9}m{Qcy4{T@p?gB@qTjBCtgqGT$X0DKBCQwH{blpXV{%zZtGvE(!?ckIPVR1jZD@W z{8OH#|8P#+H*Fik;k-Hi1}ATgp7U&Er{p?eXk^*h`cJr?HX7%7$UN!UvuFGH@d?3B zk;QeRo#MWR(Z~V2|4(x8Z_3@~&WDkC0AR5rp)u@TJJb~=&DLce5|(>DJhoowH@ehiSCIP5mm zF!Q0cH&j;3h2G3mC@wcD=dNtBRj}}jqs;$iD_0E#(>R@w)p^XPYyAstZOBd1359Ak1{7RR7Fr{_2Mvt2=he%#ZAV zna|AuV;VWIOrr;OX*9r&jpo=f(HuLbngho)b6}W84(!utfgKvnuw$b+c1$z}j%nt= zF^wMB4K^?iFzi}L!%Rb_GmWVZI1W0@IJ7YHf?mJX!QDcHYSm%T^=9R@j53fRTejFR zBX5Ng+qTM;82K%v?A9MX?Z{bXLC7#cp~$yy#Kal-A2jvf|A@XpF5GMx?6-0jA3tcZ zTyjU24k9rh$1Uu#c$ji%pM2BxL&W8jGCc(`v&+QUARq%iif77&jO7u=-?z%4xbkV- zn;Tpdc^%9R4}81W?R{puH5FbEYGfRxFTcWs8+ne3 z@nE96dXL?BMdAJW$dEF8qPR?E2^PwOYmWGuR{fi!#SNBb5#HQfYgfq;8HShjieOh!9hmGGkj5!2?@qB3J?!E zhcTlp=%Gh{3NJ1?L5op+nKF%CD8KyZ9LA2~q?47I1%^vUe(+dFW}?uLI?NLF`WJ}l)KNb5+L`Jothzb&S4Xea^o~i0t8I6-#2trgYp>>#zxgTE{u1#2 zc5-_AOF6-XuN=uGmLqxOaugf)>W|#P%IZD&C)cCNxfD5aQ;tWJfV)S4xxA5F+{|e1 zx#m?~_8xTUzDK#`_iPpkcQ{-3KB^1^J__0mj_mJlM|Zr!QQq(Hj~B)wiHBq2$gy?C zT7Ano?cEk1diCE&FJI?~w{LvZ^=z|k@yGhZzt4z>x(;H&eC|u`;f6e}iX|8HZ2asJ zahLP^z%o!YFg@R%4n^LvzZyZYY_^2|0D!^&ZU^SEz()oDpS^T?v&ZOdAf09|G2qpTvc0K znCe|Cbgr}BI}IS9;r%#N{Q1x7YIZW|cne*AzNzDL@i+F}fAct?VmWxNWlL%|KV7$- zSvVFQ`*p)6yP2@wH4e9KYXxd}+u9lP5+C};M&CK6Yhd--y4lVxXAyK=eU#)1zp7tM zzH>hUSr&j` za8==7)mf@Jy2$t0B4h8Dd@F{&7L2L#LXX!O*rmC3``ufky2ut+`3`wkRTZqo z$anRQCdkb@z6oP2sf4gsuk@AkccpL3e2d6}tBN|Md@4qU-+-M|>>7|4;a?PcI=riI zJ>FL#(4LHn7+vt@nON}(@Br|)c#x2HBa*_ihsO*Zgz{O9AS1`45_um}taS5OAt4w) zl#8T{`%A6L@(lCnuOND6l2++FlT)v8yg09~^C0H|o*AZ;WK)PFUj46$SV)+6@+t_; z5A$m!a^6H9--x%Medm+;{O+OY&@10XeVOl%Kq+&2pL$Wf<@fYkEn86f@5AKFi6p(W zy*u7iZ>y0_gyxiTPA?LYr9@Xfl^(7%RQXO2P=A{MPx`Yn?@CK4zAvXE9R ztChy&Xd)b3!HJ(VW0bKTRhsmO@(~jGCd(^7uXAQuQ+(5NG4|V<+4mW~A+H5(y~lW|Hn9_I~Ht<(x$`j3j)C zQ<9|RLbm65A#HnbB2!91FHPQJ^62gn_lVM3G#M|ElhWKv+v}dEFVQg)l1!aQF(Y8I zyoz4oG~d#NBuQ;ZBMDC_{%+NI`0Zh`MDpp!lOwZ8NYDRQCP9Qg9hoXGC2j46?)CS! z=mWzfiTwWF8h1xahxhhm=>NtfkFlZOb+5a}OryQFJ-}YsTHz*1n^jq)O2tj)HhgUzmuk4L@^FqPW zy-KmDl;cZ%!kHcCwiyjdTb;S0y6Ubpb*q!}O+H4~mQzXTm`^?$YLVS4MW#Ppj?rnz zQ%SH_q$|}(>PnGX)a4lslvB18%7mS?+$7Z`bFGY)<=a68VqFt$r3|ers;ffkp)Shk z-Lf|?LLGCiZbD7k)o(~2nUz;TT354I+AEaimENY+T}6#W1Kn46m${Be>&jO8I_&M1 zx)~>-q?;+h64PF>uKK%x(PhM&-=OXl1hdk0iR}Sj>M}m+u8aywmF`r#CaZm%?^h)? zQWs}*ruQZNrruJc6Pj0r)M#AHqvvHFdj;J}Yp&ed;*4!|S#=}QpxpG|rL9WMukKcd zsr|azqm}eG*Co41pl0XFpUc!p(fyC*SwioXU(+S`3vUFsSSHiCoJWKX72_+tb=$oT z{!KfQ>Ozlh<~`$t#l5P$9_n4JXt}L@u5%xc5@jLPT(i~Pb=a~+uK#GL(ef>!>}q!t z5A_!x-RvhGnMK#R3_t)Fik-X}uwBN^hPH0q8 zpUL%nMWaWOU2kAi3AW{0Np^Uzxe0sFfF$Cp)hiX=TJX=HX+gDQTy?0He3ho&Pkv=M zU~9bc z73xg#^p)=ldr)s+e@5432TZOWTooG>rKA(CWsz5lnRC8sR<3lg*TTt9ItGed%I!ww zApb|n6Nc?DiKfghyfPgGwKUx0A463y1$XLjB;ijM0qI9uFO;4A zUG64dE3eoui#nerUlF$^SN&;b4qn;~?^0dl4l`Wht(rM}!G5ysg#~h>hM81L0@8%O zxUUas?UMM9lO)74gz8th<=Tc^se_~Fz~@GpUrnz>ua(TU#NX{f8}ewu&gHpNeMQ_X z?Q2%j4jv(|R<=kNUoYtrZ(sJ34>FZ-%r@oGu9wTN%h~5wT~dRHYpl&%sv9H$ubLT| z=?imKlwGi{y#>frd$6^R;NeYG)r^^BT2AOf5U;;$wbB-`D&bW8XC0r?V54Y(97!I` z9Of@s<_l@ci*?Jj>tbgL_h2o9 z;|tR#_pkCVy+hS5`zECCy70xs^;H}afbQf;$P{2WmALGvYOODiqh;GzjU~Kct%Y-V z;stXWz$P`{^S9M|fI8c1HW_t=hiv>B*sHQea4UK|$NmnVApZOvKb!A@K2Zt7HVXot+D1g?M8 z=gF`-YZdD+!t)EPIeDSwm!i)?SVR7td2TXzrsbc+0S82^2-stvt6NR6#eHk1qzeP{ z398<#uVv5&XjmsTH)VVsi#3Uy+@%E7c3sVqr-2S@6CQd|kqO~vhrmuG34)52$w0zp zwbLJlU!gxXSXzL}^B!SXExIK`yKJDcmxW{T&<=q3FQ~3CzG&9 zDY}$+4DEk4!zIEqN>u!1_Y-7sxINS>A1IXgQ`En~CWk zrWa6Dwc#6v)TdKk%ppUz1FZ`6Rzlg!hE#vFHgZ=D5!B_7s(_6T`XP*!Uzq^DKtaDz z8B>G&nRG9P`ejKr^zc=sc8@GU)q-kbYv|Xl?8sW`hRxT!u&ln2vQueh?(JR)s?s5( z^;w|qQ(lLp9C?@0g0f`O)<2jS>gmgN14MH%>pnDpwY9Ceuhp_F#9^L_93`}9SIsge zW)M<0L8Kig(cn9oOPPMuW@=l^8gyD{Aep~1mvxl9Ad```clo&ckxpAOmrn-GI!#dX z7pZJnWW}sjr$vME_k`_pT57;cLY&vg)zj#KpdxwiCYeji&Y0FOfL7M%v_+lF;+nFM zXcacH%)q>@O){q;x2>$~Gd zkXZ!6A021e9BgWwq610)Gdx=xl0kc#(DH){u_c2vp}7vF%%$m21?z@OpJz8SPpXQb z3=100LlX6#0dt1R{8s$MCqKGpq(N-p7t8HN_6u3ql4Iw3K*qtHC=Fx-088h_=U-}5&LVwNJuK-E5NQy_;uY{K|(76m=&wnjJfjg9F zK?x~*P+2sAA+}audl$0eGJr@DSi!Mq8kHDYB_I(BsI*z7b2-EPR}bMYiM>47l%^~q zfN%Y*xWTNNnPdkb-wi4pOras}$RH6HwEKayDdBTvhL^%lH2=yMAiNBKs*9z731?*n zlilnpi)tMK5>MaD^l#oHNj3>5Y~ioRl&!VD(UC?kYa)W)>`ThvEYZ%U&b+07bVp-Q2fBvk}4+`1pg7Ln?Uq z3m6~C-a}yGVhGy{WQQ(mwbogh^Wu3J}2%7yF2i0*s}h3vMPo;ckTMmp;=Q0N21l5r-g#xQblycyp1x4!U;6M=Fev`dSuwW%1&IbCKttH@zIdDBe&zX#)dW-ZCO3ku}fYyvrfx1_TxffulB!007{svHD?34sL@3VrgzJ_W>>5Q3nP z2$Q249EUG0bCD=vUn}oApv9BG2n}}`Vg)yQ-CvQgLJ&s~QdH1kP1!EKVd?|5hL;n#Z`r|P`+i+!!IhBoyy=rDi1=A((}Gj* z#Ty$sR7-!;MJKy*z-(%=rf0Rm>CbnEx}QOYr#~MIP=1~nqWjEiu*l7W)X!+OH67DE zH2zzd4dnH3$>gjCu9r()GLkV2?O>KD$qk%SC~1VIq&*$U%nVo*m#$<>Mlzg3SlcBo z8B_f9mc(f@qp8w89qHr_*{Ge}>7UMYKUJDxQ1!-7=Q<^z zMi5WwQ`6WwXH#{)r#_wO5YF55o%HEXr=7D=qt6NWbrV$aGCa{?=Zr=pW?C&#Om9pG~ZY`|suqwyXa zX-Jbv(4rgNNPu?Iw9$4}-Xmr7d#{a+xCSZMNp8tWrjl7q;kA_-#V&DA$1j?y9l%o9P$du?~?=Z=*SVjLiXK z91rLhsE19*Ofdu6z}*PyKr{k+!p(7G91iH?Xh0u8eNNw_Ie(1pBwv?#DmLZcdp1vm zhNVPN>U$|l1l3-b5{WF|OH!if__LG>x*juVxoQqQLu)E3Ig+WFt&=BXC0sI+F=SdX zxiem*?#1R7f?W`@kct9?7pEqqA<6hOQBfa0e$UXLO80c819a}r-UOfcbf=RQZCA+s z%$z`>@YY2Xi;yfLvkA_Gy4WNHcq6*_fbx<0ePKF*xjl+~wC3`y4sXBNgc4w!2I@5WIxGwHIYt`6vPVbl{ zM8x7Ai|8(~S=cC(hH};sTXT|q(E4Q=R44zt+ATTB;2Aw3E8>!s3{bGW0-cK?&!710 z;C`?%8ahs}SU! z;ou{FX}_0j!Iw3N+;ZQvdx1OPI0tv1FaVMPASlr0gdhUK9ZrsSY2;v`4z+@_h$dHy;!AT?nUMn2%WM}~eL^5!Jtq}tBAOK1PLTuBaCNPABMU<48pc)qz zu)FI5R7;32I4}p3q6mm|U?c?y zf33hI1%;QF*nALC3IH$<r(l7*1Mmh2fpoB|2^rqQU71S0((r=8@h150O+A}f$sEdp8xj6o z7_Z)ojHG`8S_`ybK_GG$_<#^p4niOy1Oh|C3nCPFK?Fs;t&zLIVPZ(!fq*d>@Y3K! zrc1gkmPHVh2HCyfO&AseBRnwORT#nvUvmK91_pF?@X!edDy;y%2H_3|KcIm40|Gg9 z@W2S~)SP5oOCSe?u<)KWMW&R?;uZjekiZLsLqsS~2X5|HVNip?bqG43U|(`BF$_sfV2(@DE&&k?N^N+Np^6Cw_u{ZN<2^Kz0?AQYNSG9A`_5pQrIgTx#*{Sr z=o+7?&S)T}`n+wU>R3G zPKJ;f=p)#Mbjj%-)F-NLR@kryRv^Q5a~i1YK8J}VHj14zCI*q3?eZ9-WRmD(W!+gK z$s3q9yxC+1rj74!7MTEevd)AyFy3^$F$H#E(IC`j4I^zEaXHDSkDg|+M@yNEI*)-Gvw@CC&Bj2Jq&8@J(g#v3HDTMa>8Gcno@PhdhPX|6`@X8xL31FG zRjM(p7CB$(C6|4PaPc@)MF@(r+GT3Lml7gJe<>G?Ey;J)0>WT zq~3P7WT!)&z6m?w)1OXs93%Mxr}=cJlgH3?M@wdO5M%q?r$3$P1WTb$8l4X54Cn0D zO*%SctaG=&r#Bs^!=1xfJ6zN6bofVnEtTgxIfpJ9J)>S`JY-ncPL7EzJ126cn<_oiQ5yEu zr2JI4X{^OkB8cv;l$7YywO2|?r2k#@J?59sr!!A7D{3bvwC^z`7UZIF1u7R2C^KKA z?hC&Zow^`kLDt0>3XolbvFFF+=@*GCa=ge!0mloJ7U38=Iwx*{PDV^hpgkj%Et8y* znVF?iC$=RenXE##it8;=Kfoi3l`g_h2;!n?1*{dJXyE@4HXOIs_mp3HK$&?FU5Gm4 z7`?J}B2f@!$3%WF>c{bbW%NgcJqs36KPV((uq%jPcF`Jb9ClM>CjEO={KFHV>(lgYGR!_I%KqGtK3c3>6;GI!8&>l zYlNM?={p@cEr%NVkR{S*X#6CtWJxBHX_>b3CvhcEGD-%Z=|IrZvmL!7IDV&hI;ZnG zfQN7dPvLZ)PC5>GIm41T9j9YDi05z^PvUf*4(UXl#I!tgbdph>%(FO~r*S$@hjco1 z&`BCN#Q;o*o$t_KFA~0-2tBzk>E^^uqZ`gCozG2UAOYa{ zk#zFt1k%kTgWz;^Q^IDf6Lo0A<{N-)5K2kNe({j(tg%@sg{ZM=2Y`6HDJfv&u?g-s zluUF=!O?8fI|leo@F$xehvR$3cqsRLPkVsB=Ga7@Qb}-Y)OI?L1)!%-Q>K6daekVF zO+b!pQ&T`@YzWz*rJAxzjsr+(M<_LqrNXwFIsl;C(5FD2sYR+OMI@`q+yS~!>~qkR*3C6xzxX#bC+;tncczvoyQSea5f$tM}YTJkhAJE_t&olZw|ut)2* zPRE?$t_iMB;QCn>fx-&GCq!8*`kz2)h0zjoTN3q(KPci|0RjckD}|sHepvkb3?Z?C z1t=6^uqn|9jLe&XlW~$$ zG9>e4Pf0D*$&6(|8KCa>_o;t&cUSc^g>2E*d%XNKe;7UEC}##tal5iE-!p z@dyPFEL3};U(a-6a4cS-Fo=aX6oRo9hyg^FD6lMrq7<34Sd3zH7H&vJL&?p(iIbi= zgA*q|B~CI*Mr4SYlsPJMl2kGYrX4y{H|!*;WJzX{Q5me!Dy@Q< zGD!x>#LQ2TlcJK5RsgAKDWeiMou@N8N{4RLO}ptj9n+a~R1xdesn4`xYZZ4-aC!p4 z5=2-l_yQ=bPk~knKPA$(qWp;wR|-G?=nItS6+%!7zbyWt0D=W56kxC~3BzI-3V~RQ zLns(zff7ZqEKvekOhu74i@_*FXXb`%G$dza-WZ(XCQ8rFe#l8t$&w5ulQKtUPLxWW zWRna8tB##do%?fofA>8V0E50je!w2e8L-F$oP`FKgjq5h7$<@s2Z&it`kWN_F#~WQ zalca|13+o87G`hKHY$!AvsWFuu1B$)mS$%Oz`@!DeUGUP$sb_hkeRQGwc$*SAb%Ac7=DBPMad8xfQf8bOr;IwGk_qS%Q^6%rL`HHaV_ zyq*>(B#4TPu1Rxc>=V68 zIZ_57NGYRzB!RNCO*AY~NJ!0QQY4LS3Y?u*=GsS`6iWLk2N6{kVN8-rZ6A%0QX(@s z{B3yJXG_U8k@Ucc3Jwwyh^9qlAZH{%Q}wY?Ig*z;PE>(Wkq{R3)Qu(;lT-ql7Agp+ zCS-wTN3nBCDiVE3qP2}=k+@w_3K78?c{DYo4D~i>q!m?R8PypKRLD}7s#t{|3&2$* ztYXbK2uBr0US)-DXiQ3rsKN+~2(-e{ZMvPx$@kd)Wc zsEY`F$Qkq^DR`iZK)eVmlEca)ExbC+s2iDBei3N{=_D;Z5CyOGaY=o!jHn6@pKOs% z3jJ-QNdZnN14bhS!XkpP@FFa+&`6+x`>6t}A{oEw_u58Bl}+s;4-rUYPZN2b$nr#yC!;(7QWJTe$nr#yCy6{yj2)+`RYNMk|UMYjjfNv3a;dt4TQS%qec-xk7NaFj5ZS6Q1*>B(6PPrR14WF-?a zLK$15k}4UJK^WS#B|4pwVVS3qD%+Bk%*j|LZgWdpGLo@a-o7O_9VC-v_6DrPN~~l{ zhBAXGT;Y2Bp&%zUM7BF6Klt#m+(>giBbFZg8 z9qEL(&}!t^MCsX?QBuj43@y-9tW&{K#oiXSD*1bSM*{Y#X_e9y6EPElZxeZ}*s%i1 z3XPtpH{P6coK_}hT4=mNtqQj;;8#rjEDAJCR7_M%RLsSki#`{CE*M>Gx;Xt*3RFx~ zOvOwWi7aTlXm(-A;@#(^K*dDGM9f4GUV^iP@#vx2Xy6s3aUS%>=WOT|Cx49)PnaS8DZ+uHnG7>D)d$2vk3Lq;nxT0{y;S0qT zjRB~0E5H?)TtcpeKBA8TCq6oB0&QHP3gw%o!f;b zi?}QTvkT1^zbu3T;4)rNWHk0?Z8;@VG9|+quY@g7$(D>{#?PqJXh=z=XA86yYg8~) z@wbJnio`9RTbzE_O~_lpw~0Jf>{tP0g$5TFF6urUPEcIYxP@93Zd|~wnB_uuh11V_ z$u1XUF5q18xdL>>=nK;psXr4ZP%K)pIPD^h1#B1GE-_ifWzU#N)EAmBepv{3!BE0z ztaREZ=Xg%_bZF%hyE0)TRI#TzozpQLq@X=mTY{;Ir=O)0sTFTqSht97G2X(yMf)py zf9*4i0P(@1SFDd!fMzQ0hMmAJ zI?0RHp>F2Rw+k`E?lQ8qliX6(T(w$TFI3#9)p*s;_0q1mI34v{8zr~K!!TM_y{f*u z##$%w?r^c8wO{Y3#J^o1!C)gg9ux!vh|~u5AruyV~GWc z4|(+ph@~=dB>#dpl83w7q}W{NRTSXRY26a7`G*r*{7<8yr&eUY56ve5$nw+BJ$e+d zfGKuNSHg(Z*kfC#+cy0)N=#cnw`H|Lml2z?GxL=U@71H9-@;&@H0jvAcBT_jI+m{T zeZPmoY0^fc8fcW8nhj5MURLGjov!P2(f`69yV|b}kW3^*pXL0yTi=w*@b8#Zf~FtX zy4mP}LZPuEY;H*|*ZB3C$PrZ^{)Gv-xVk#=!ihD<^#t?o*p71O>XrMRZYobotrw%P z{$~Bz@hrGshjU-uTTA#0cx~-oR$`~}&X8(P{4wLIg;}@Vc*l!mw&RzZd#o~>(p#S6 z2KUwr{Dfx4ydQNEO}wdvAwSBh;~sp1Ut4R5b9jaO!b0lq+s(=CYLT)vML z&iDAcF-JVk+gppAVVa=69rCEiaa}EVjAh94-Ck7FF2QrwPB7m;II^7`FK`0DBrLe^O&>7k^9eIM^-c8&bfB@=nCuh>;RSd;S^ zjTH|N!%N?Op>xA)P`s1-gLiK{u=`bPY1(>k=D)6c9{UM1V(r@IYi>RE(YnzeO}ui$ z#K`=|=zAu+w@l)JXJD~Dwe|7>S}_p)RjrP^X_+nmoW0u0>MdAh-QbFF;dHG9zIw48 z`|8{FWOyqlUeynHSUTFh+=>VOi4T0@;+dL?y}9`k-C5lP{SQ4F0Q(r)>U9_2)x2;XN;TEzTeJ=~m%aFI zrqyQ_-q-YOKt-K@!R2lX*I#~n$@l?%N|zEp?P1+p=js#xZvL-B&{>{jzt!F-UGDCv z-h|(txVEfM)|~ToTY-tg%Hyd`=H9t^JoERwlb*VyhLJz|nTFK9FM3tr&OEWTZRM`l z2)f+4P%p_{cR!EpkX{z4BJOl8-oIC^pT^b4Ek9I!)KT8ud%I5%?Dw$`UY9lV*MOJv zxw-SPzdv57VYWHqIJff0{IwT+U))De#mk~fK%@6u(Nl#%f4A=S-EinUE>&&33_1Jf zyDmDL(_YnfUw>}abkD90YW|aj%5l*=bnV}s9dDph8@!`9yvC~ccSUG!x7+6BS$%G) z`p@h%^d~##Y^gJJp6iYUhXBL z(|xc6>}TI19Y-J9vBn|DofTkmW?wc9TQBNtVeV^?@m!evt5)7g*PvAHTx|1})z!s8 zRFx_{Z`GCiUbMgT$*4CoTvmOj@{4UL+m?Evt6dg7v#r!xklC>B-F?HxyRC7IQ|`m} zulI{yP{wbyco)ZxyKQSlr>N{ZV>YW7AEY*r&N;BoR}M0 z2VJ9?wq)yD9}5w;3D&po8}mI)+@7;7-bnNu$VK06dp@+Q`{BNGbKTWroa)_PWG|Ko znsds?oc_!AVsXq}y}V1ti8p8NtOs!hy!?y27!$gEb5QO|olDZz*34m&c>^KJ2Oc=7438{iNyBk+zTDyUI2lDh{1nb#Z-QRjSER%M5u3-F#}P zU$l(**ydZe$-gfwMK8X!wm#ilZ@p)_RE3(q@@+}i!2CCX6nE1^*M&IGPW#H;8NU_T zQd>;sGn*^0>}fZdkz#z#`*m-;iDBL9U)q`9C8xtMWnFdO%6@u%lq4^S+vAC4xo+#1 zd0NRcZLe23IpM6X7T4v=u9x6mVMG@))+a$%xpL(DE#wyc#`hjT7xuP!L?&|Q^5mV54h2~0N?$KBX(^K7@@lCXcPp?7>` zyVtLwZK-TtR`zoCZnra_gPHaBMJ>uIW?e&&bM|kx9Raa&gQa=Wbls+wvdSH{vX$-I zt?TM(b-cONx_NDesshOjy>)KWs!_9fE&?mN$vBsFl5wlOxdoe8)v2}ay+Izs#+R>M z@4YsP=o066hrqiVYx5j_9pUz)OTO|NJ=xdHuTMWOxc?1=AtYISoMz!eE`uccmmG$; zl*yioeuPBj9a7Wp-f|$qU2v(b!w;KwJzwy7aa6|xJ;{WF-BC3!;XiRtQHq3P@gp_< zmhLt?w7C_s4n-&1w*=(HhjD7R+sEF1iebn|R?c4R`|T6;n2A9lTTZf|c2~vJ3k&w7 zQ+WjCg{LOr1MuD7Q9ObPm{^E7Rq(zp?_Pb_LM1=+8#v6Yy-QQ-H;kR zSpPf4*kVzL{8na8M1!L{jukGb6XW5_jzo$$>gLpHJGd1OS41T>xM1?(No8g5%60^m zE8srQHp)tt(L7b^yYYbHjzY_KQMGSKu9@u@EGh+9DXJ)pIlz_H;BkkRPRAT`Hu%mt7^qo|} zf%%_+llUb0z`yZN;-USvcusI(iv_X!w_+>2B~(B10!AR%(z(ds^t#rI zW~}eo;Ew)R`_EkG3BJ+(#VLP|;Wh$88~$V8PtE0$|7co2WuEFAR!jQ=mo_)px3p<3 z?ZA7i|Dv+@9d`B^r0TygRsqiS8?;+d;DN(+KH+Q@o*%W#D87N^f78cYzk(?iaJnEH zfp&hMH-5_({)*2pZ`0}Zp9YNJXDn2H0pDqxDun&~FRVYFH-u*__~}GN|DErIy-qkC zj*^c}*Z-F=vOZ8}W_z&x@iZ-&B0k?TyZ)8}5T|Sa03mb$H2?r_0Jb+6Y$nOkh2#X^ zr}un@uTr_Lb30w~jrWZy-XR4Cv+$*}t!ml6Y~>s3beHO_;{4H9)cDegY&YrK!p+90 z?HGFihztSN$N(Um0slX=x8B$H-ZpwrNCAiO-S7+inw%rslv7I5VD=t6iI3U5Cv?rv zb9pn2Y-- z0^%I{1Iuc&0-=0>Ca1i87J1E)X!OxWvs>B^k8Y7UIwG-g72YS)#2N_bRORN zmR?!Szu$Wno%;n?)W|r27cxU6j*xg4i3}#`S&U=^;Q>Zk%S6ImE}ZDySrm!?sWiLD~8Dq?!Frd|aphim24^4N?m;8MV3@3PVd?DjrUN93P}bad1&pQX(H z#Hm0pJ(7en2O0N5$s*;RGWz$<(GMPXvqDgMwhXCl_SGun&r7n{YNSNYiqDfZyV%uh z4T@%?#a6`UN=U9Ocogt%zt!W8Mh!g8RanM2?I1{bw$k_CHuhYPxv>j-hWm4!k4urF zJzzgy+1|5YjtnEzegEDLj9-yT?RxKt3emNB)-9EHthR zE2cgfX=z<>hY$xte7p}RFa;v>qR=+5^mzG3I&VpZ6gX+ZNa`tqB&#^c&kC*{!K(y$mRA7j@3S4a`j`}0gj8J9xRUID3h#nqK47T-0tHS5`;wF}8@hwclfIbQ15IElAi{?4 zya+?gXZK!^sv0QzfW*u_!vTT~x*rL7FXdqObE&;zO3C%r2|Oa8vM=UWcnSW@oHa^Q zpqjKoMXkA|alMLy3h%s}?sYk+Lc~$h->W)t6jmVz9|)0EVYOcmohWMc#4x;n*s_ET z#Wg+eY?^yS27wz?i!=of{b|}h4x(V4LBJ=gEAKKBvQul4U+`+@Ab;Yg>BD2oML zaCl5jwZ=b(71(?6e&b#und>EObm+!c`;IeM#pj%}BPP?Y|16ZIvbz%m6G4ay(#&+1 z9`waRky#+>t`6!InCq6lzH-5)Oy8B5Y8K6t3td#3gOteh-*Aa;h5wJcN(%6T#S(YT z%^W9Ii706zey*h!L3pUo<*tjA`WqF34uHz;e>ZEWo^PT2Xzr8k^To?vkXBXboG z)v6)A5)i2v@bde#A}m0rl2TL&RZf8Fm}XQJ{(ZKRj582JtJW^o-gkMs+=gsvd(0cg z1<0YZ#FlP`=ySW_?k=7 z;#1?|%;U>ou(I59_Cq(k1_uTeLTy7hP0U=D=j&*Um+Tom=TK%pbwUMkFnf8-tnyBm z6E7A*vdso&d_j({zZkN`HfU6=cAptDh73H3Zo*kPui-o)loh~u!}A6ijqYA{5T-XU z-DWCkuV*?zBi=G+!c3D!H#h_1g|vNe_RD4x%{OqvLu;CmNNf|Z$T&0?Um6o@`ggas z&Ar3v)Th#`cWO=RcD(|widowcV48YcFzc)XIO>*w^8$NQ&oDdK?2%=RpD}G?)=q?; zmuy-U-lrR)bC-PynuUryAuPL9k9m(w?r%uOXxLcR6M?BGQ>TVqn&qU`U@r1JcLR>{ zOzQ?N)unq0F-J{FX=)6n`o`NbO3!-w-$7 z1^IS2)$G1j`{CGp+yh4BS9}-)lfjdd)VXB&<^s>LA+&(Qadqzor+Ms2*wcugLet9z zp~5&&U|fe`ljQrdVq@l}ZR)R>17pcj)1Z1q$hEr0*SHk!knbkRG`5_0f9qNXu6Wqq zx|Un1Ns73d%NpoClG#>LobvuQV;lx1lR7~Furnj%b35Ug4x04%EF&4}85pPUMDL9! z`ke&(NOXYyQIdIb5Z=w&L{gzVOqpBlSS@k-Ry8|vVx?nQR?egE?f%4IWCBZu@oVD_ zR~k38%0#&2GJ55%5P2<#t)o4shOH|oPX9SqrF~Z}z_DyJwUjw>HO6UFxJ;KgG#28A zvlIzP{P(`~?V_NvN-)gIEkZrK1~V1T@$hWzJH~WQaA`)73U+A5xQyLMLaFz14to(! zUcYdiniAOQdI+q?RN_fGZj-b}3_dXCf*%j#WtlHmR?}P}aRRM5B2LFZVrefmX80bR zzU3ji6A=Z_aiG^ISIb=hWNqJ-$!v=mdX>%cQS+SrE)y8KtzH3`uv*tfz6bzw}oWP zG9tDx5mUeM*(|JGMt5?@D5F-wvh(0^b6HMOnd5wWa`PyjHKX)HYWs*!-b}>$OU-Na zWq=ei9_U7Hhgx;qfo8P6wDOjdq8dJ|5pNj4c+{^DE*6_I>K-`4P~Ukp0i>1vneDJW zl%MG|EyVZTH=U6>|x~N7~Kt+wh%b0O|Y^!&3)XcKhiMF|6S9e@}}fxs@F`f1MRD8$2?}oi`O2svY~N#(M)T&uEITQRB<4T)27V7Eb~s7 z-iD}5ZM_{bkiToEwNN24swt?li=$j{u5@2V6E3q%KdT^{*}hwc;m|u7XV-aJC=1k{ zg$A%-z z1?0?t80L0ha11&+TVruDKxt!q5Ga6`_VxzT>}wl;p9&zvU>drw2d{#&)Y#^5L0)K3 z1D-srsoTf-4Z$o!mNR@6vx2715%BB72%cKu=x(e`SXz^$9F~UNA>!hF@kpj)d64;f9T% zYs{icTct5JK`cE&)o3VxC#;l+eE%H6_RA27^6=Uw|1#|4DTD}+NDuJfdb9-pN9}yD za?2DR(vO~6;@H2^s?}2n>yLOenRVGMGWexn!Wrr^cIn1t+<=!0QiMtuOG5+7F9|EH z1$~zff**&Hi(~5|a}H8Li)h$O(^6skBU&`O&=_rn{Bb7%{6VCm_au}=xTy;5dQ#}# zLiD%eO>SWvTA^5b&_{ngUszDoa$BU# z5<;Y&q^Opuz=uO4_9jMq3Zmd38Wk4yNA?56%E0ezGQQpjK7*3S+M>(c(x-BQzS1^f zCEL@h|5R!nRNy0_m(&DalkP=`fUDmf78r;qwU4!(Q$>{GMf(e^7D)O+Adn6$OF5h5 zXNnWweI1$aPxK(Q!`UNBIEsa7Dn08U3-sNjbcx_~@MmH5!~eM=f!KYAXn?n$roT2u zeSU`3g@N}wC|K(c{n(cG7H`{U{y1p~a-dbr@>H*gfGo8=T9M&`GHwCA(WNCB{`u@z zZAs-hNiE{H2i{}RCdT)Nladi9JTzL$|9dv%q5D(cN3SbTfN-hMaTqlt$a_MP-Nl7r zbPyd-4<9;7p?a6yl!!FN)0KmfdzY5HzI{vlWT(#cVv~bBCTkx*TN2?MG;Z9X`$OfD zQglS^LzjmJ^PdAE{c0yjTz4Q~n=Zgf?azJK+YyG`|2Jyu=tphQh|QmT2M$;q zS?lllkY_#J7jNzxBL|t{p>ZT@I(=E4*F|@r@m(30Ado;RNq8zEe4PhFbpDBo5m#Hj zGrdfsjvQsW)awTNwJa0Q$NWAq5wAnK!lId8-R@vY)`Myo=V+5~3ourMnt*Xc+9(x_ zdyk=%XeTye#Vk|mlXD%@)WL=Ojde*knXe@ zT@ON~Le>yIz?1IJPtn_Dtozy>6%ir_C8|ayxoCex9H|l3Mip40NagNJqSUJStcZiz z!OuuDTb=He?9stpUN?J2=6o_$#HNcG%buUfEoU`^3tI8_Gbag|rf*8xY9byEP7VF6 z$KQRHVE^ZHgebdh35GlSf4YA2ep0=Vzu5i5^a1waN zTQ{5kcP51DPPq@vzj4I@NI$J?1X8$9yK?4IbYH|6+ilFFCm{j&@Y<&K81Yb(RszZs zT(sAolTXmt!l0Tj`jS{FkjV-G^0X1yp5=YMyuJ{_rDi`iuOt7dC-k2~V;c0fwiVuI zsIa!buk6SXh#<4oM@OJVZkM)D?Cm2XP*LuS$H@JWkAYO^-_1ROHn{Y8!zoTw@Y#OL z16^pR{N*p*D(^|`{{G#u29B+0gI`_!FactfR|d%8_b=4 z#`A7V$?e&tQ)-N;%#$-v&p2a+vI#6EV60FLW|q;e4l?JrtRe>+(@@Zun-U=|+-H?Y zT?AHLjo+klGUM01ja=1LpbM&VSCFY0GsRTHQRg*YJQNgRX$I1{=u~XBEnVkRAge?_ zR+>T@@xY)POYjQOkV&YR8}F0l`rz*UZBBS_#xJ`Cq z!8Og{f@^>j1ynAO7zKxT*={u#MESmc(i9ItAwhCX4!+KKByZ*k?mE?UIyenmNY0NT zsEKlXR`~qw&pH8IJ9P)1O6=pH)8W~*mgJ6L4o=}ZM+KitNX$4M3y;wj00iWH^n359dmpJ%8ebp%|zfbq=1{o zd%ns|MZmlAimkK7fm0{vT`Yhu3MNxVBAx6;#Xy_!>{orrN6lg@*T3qX z8rm_aXN(^!3ZaDr0?~q8(|7U`e56W8J5`s= zQJqs#$@@_?e=*>j55_q|W5QV~I1F>*(rID-aLgHVMs`EDQzb>P&tkKk?6 zACC81d2g^{$*~NN!F>b-{;s#T^8XB*BP1g+4yf=CDAi#QiIWW@VE}Ld2LJ#7WJ_CJ z)yO3Mii%`M_ubun_ekGKR+*Xow6}$|?RD=fW1)Ez?^nU%I-XN|ot9<4TG52nir!_O zli&4qUAamJ^x=p^0z_1RRdk4OGn{7{OPPB#oiTZe|T2>+CHIkR{q%sKOvPpvpe; zqxRmo0Pi!wiNNu1d7DA3?hY) z0K^3d7ZG|U!Mi^)T>W=J{!Qwf$lS~pMe2>8T#w>R(Vt^lS0|{2pfOO11W`7MvG!tg~a!J}|$&e)MnD3ZEK7%$j=z5Hkc=J!*l zhG^*x7Ing$6GXrfzWEg3X1KfaqotR^f2TxF!t&2gh38PvDla&-_#xC}l&wH7_{HJ5 z(0s|_#ehF_ikWcveO;uH^Y3aT;US{4K?uTC;YQByi{NV-9iI$%d^GESViGyNu)YvW zhWyiHtMg^CkoBh`(vy^L3{sMp5(_#0F`f_{R11~~`J92-<9Nz)LSrPqXB#yU`ZuIf z{n?(73o}f{u!Nk>RlO^-4-xQhN9?83lg0VhLqz-Oht3}qf0?hGTh31qzEqT*AfNqR z{Le#$&*w$64r|{d`rF9TWo`V3?HT(LPhS$J38AoLDB}K8cE%;SaW%llhQH z!{KwC2nyT$!RV&poI7~@!6GCVa?kYn2XqQ>PK&2}?V|){c!PgB{uc4MG>F3CfyfJ# z2_z3Kw9Tjwt?~ztv9`1CLm`iIZK8zXkE0|raxb+DaSYCX+NA5pMpA7@`6sD@^Q$%p z;lZV`Dv!2~wm26nA;n()B2~_Q-$Zn9E~OIw5Z3nYcS zFBeE5JmeHuk&^s|)BP!#;r3;ZDq`g9(|1x3-p}?IoKC=%SU%h+g7=Fnf`k_%CtZgU zcYZ7_@cz6)x}0s+mdt zRg_OItU0v&?uTpXiMu=(N}l1FhX_Xoz-=8*a%4JnvzHg}2$7*x&3VZqR?`R{LQY!$ zNc#9B@}Tl`gzq9RuY2?Rsi$*to-N-P8^X~-5oF`!Pu3}DeM#JA#Yp4i*9#|v zk<JckMx?!-{iNvB7~18zl_MzoQlbby}Q+C@EXe(&l|^gM{~lW^9*0IBC4T| z`nPTYgH8PFJRvj2FPvEJ{OAsw^6PxWzT|MTr=G6K?|M%Nz%cXuNz0>|YjO`HitFFy ze<{c$laByd`@-f$L^^-rK_LeTU6VWwR3T%#_>RZI$(Pcl6tmsesi!cE|G(rA26bq1 z_xXqFx8!*~9LNf46@=tvVj{Y{>z>ikG(nY=~WGKS)WQUu~V zIv~z~#ykJ^r6s;peF*kL{D*3@@u~iJomPoiLxp%J=EYKd7oHv3lP4t-xEK7e@GnO@ z#NhL{DAolhQt@rEmA}~{u&{WZGt`XT+m;uWBku?vaO?($ywG1dOCurNj<+v0H9>g6 z=FsoYan5|x$nu;hFG;_h?-4;I6HXn6gHQK(N0XJ|2m9|@ADzQ<>M6_*J1;j@?D!UbhvQ|$5&KxC zZfK}zjD2h)J=Jl|LF0-9-GKT~4y??+?D;Q1TwP5S5u^0A_#DtTweJ<>jDa{VD9)P9-X_J-&Q zH=m$aRQ9_!5$Ra_d<51vqGnC+#b*zNyhB|3?`r$9jnL;WP@x3k@cxG&{B7nYboX5e z<42Gvs=VEb+^@f;!+7{%`#WA`dG~Bkr(J{Zd4FY9&H0-@Q8@hEm%9gCY;;)z#Afzm zcRC{|IDSG^KYV)$^1adeWP$c#xhmJu#Jbg6DtO0CCaqK#T>!&d>sBp47RN{ypF6Qr z1;2xeHlA4Ivx4+NVByCA&-A`jGL|Lhfv`7P>h+B^U_sKi*|L~q>zm>@dB&2+mhlD8 zbB*%`WR!1+{X25Z8re($cMuM4TouG#GWNx#Hn^Z$4)1sH zvmmL|O=`$yTX(gEK&~$9{*nr-EAU5;Ie0Z)XK-u}T%qS+Fzs+!JgszeCkv`rtj$>= z&SbK+BWchUlxgqPbK6klG_X_~TB88`bHtaZ3m2 z`Updwrc)}#9Z1kpWEFpO&*L87+G~-a){ctw?r^Mu*+zIhb$k8_lgL!zoQtse(m!b{BQqh^GL4*$2>j56xS{NGa zsB79tZ{2r)tgx)P5j-vIe_vj$*C}hmZSM^6v}&_LGEwr`U7? zd^h|~9^HbcJ1vWjg~%`>fu!8Dr9Kre2}VRyL`E(Rj}JR3==vkIi6hpg;6Y}1E>p~U z45d#b`W-VoZKvCV@SwSWVByhkkFpvEP7ZkR5MEcbIY>?LqZWE!$tJBT(4;4Exg2DDxazHPvrHFoaE0UwKc7+V@5Xcd2j7e9J4Rr3l9=Ui0X=g{P(TVH8 zJLgygd7<4k04D9aA}s>rEWp7dv#^0eK}~K7Qvi{Ii)xR%Y5V)$0U)Rp8ifFB$?vDG z5A0?n9_hN3C%1<*iCy|w77NeZG5B`OAM_{;bbF;3fbYSDii#^tS%DWcBrFtyJ7PGR zNVgOlNIM=Y&>A%j44;V+lf0r}jc=N)tzR zQ@?Bt={QTVOlf4zJQngx+@bcsN{xAwf23APlcvf8rgt10TwNBB3x0PX+hVg!pU<1ZdPm#ZngYuUe53}VA-fEAWF#p}et6$+$N6%HaiPHB&+m76 zRB4Ohw7;q}z{g1WziE0E?4O|ZKQ~fjBA_e6z)VU7sACy0&+K|!5C?$RmkeyMgs+Cv z?dD|XV1{<>Ea0ldd^iM42d_=kDN(8vv0~hgBa}z6Lp&`5nzS-bXLLk@ zLUi2>2wtPTOIHfoWI=TxiHVo=pOVVyX~i1yrtJx4lPOVUc6mT3qu3U;$ka9|+D5v@ z=r8{Cf&!}qo{tn1=)9uLDZYckf7KrjP(Yi`_ZGx7kZ{wc15`z{kHyz+LAv8iN&5GTA9Z({`TIc2t*&-Z1VR*i)Jea z@Biryr(yqiBqj~z%e?s?e-w|=`b=@n!T#by=pDyF^8S!hJNRgN1O4+K(b{tPLRap` zRXA$;2MvV9h5q{N`T{i!K-CogL`y4PV|aoIYMI z_QyL&Mcb_H{@6OyFqbXm!&_a>XFJ^GEgyf-7Ri5Ha6K`{|6|yab>YkDPgb*_d{N$k z3O7r9PQPA#pZy%AzXmG#!J>5f2k-um$~__~ckeJG|MWgBhlc&c?P)YQ(`R_H<=vV7 zYXS4eewN^i7Y#zgZku~@$`e%FUG|-JiVmf zx$V)|{MY|0AQGL5t>ydU6eJiGen0p$H%jTGk9cmy{X_aF+Ie~Z2`YNwZ+!G)XcfYt z2Ds?IOdnrMqOfc5`}E6U)?eY->0J@}e@zd^q9S)ukh|twehmXmkSI@c6c+ZBn7g-G zoPy6*3$t>gK+LcGi~Y4c_5T_|Ujqu`8cz$;YeWe45WG>ni4Mf#iAL%R>WhO%$3LRO zR(YPOs!CoeszaO*d7Y8!c4T0`@1I3iwfC7F`6vi z2}pm!JJo(9enCH|LqZPZZ&h9;C<7dxK`ff{ZPgR5O^%vmsCv`#|Jqa?$cK!ie+^{o ze~deNf`{9jV!p(Q4}SI2YgO$h@zS2;zk}cW>ILUUKscTW@;RUwLPrOwG!9&&{Uq zA$YKQtYcyEf=jCpa}&>=u9_&{HG04wI3J}E%J^CY9xQF6s>nRNtiE)y_W2pO-rgL5 z{c6$Ve7WkQz0YoMzj3T*It7CN*Y2m)+Qk_EC5J>6hYT|I8a_W{7a<+jxj}1yL;aTF zJ>(aHUkTJ!vP{1nSp3d-OMzq`Q9hI?BWaw|u!s4hZ@~apGEc!Ci%Pt`-;eh}os#uN z2PWk6Cz{{0i?VOXZFG3TQqO+{j6UR~azE+`4|Uk*%oO^E$|8n!AomIM&*e;=Ln^|#}h>(vk0Gp!l*_{buWOT8S8*(bx(NeP+(vhl9=W$WQzIBoMMx7y~m9Y^zfj@QjJ9KxKW8^a_8SE0Lc{FUKSC4@=bT{&;uzwG$7S%W?Q4@tNO zG4u&v53@&QXOf!oa+FwxePe5=yyx<5LcskRjCsp9bYYkO7kb85V|6z>cGV+qciEKf zE%vjs|82b)+nL&Y-dP0Uw=M*;;b})D6atr3D+yVrED3V1zk$5%zxjXO++DG^|8M^p z*#rBs3yx%9SiYTDxZT?|`TQ{Fz`b2@uelDg+j)DM^C13jH^_D(2t&E~+vlu*LQnbI zATRgrn+U~y<0Gjwn|*wzHD{_O;|wbSP9x7|E(|H-1i zvZ5cmlxe~I$ClfZw^G)38wT9BHdKWBjDM@iTz1~HsQd~yos`eNUIX>~_2Y0ex`T#~ zGdQ>mqpYpy)uEZZVpr7is3c`Tf8~@s!pQ*#Fa{zR?`rUv(lkxOn|~wY9Q&w?1!x_Y zGN@bz@lu~XFz{4l-L=7Q5f6%?wfbvLh|FW7^61$$BR-EaFSHpNJ1 zAiJrbqaEm}x8><9}7iyfPlf|S5&)+2$%uK(E_FRyN1VPlJtMAxq3F{UC;!wu!56L|p zza2lcfzUv0rifDy&;?_tXj>UUOTFlz8zmfP+j1m*0_BcB%4Sdm#xAQ2L*rX+t93D0 zfgq)#9!KMbFI^>bD_x4+8}NBpDTz`nMQfGOq?3mLG(?JXi&ID%l;oYEL^>%J;$N_L zIm*+50YIKo$fNHzH=%HA*~H>6)yH-+ja!61vP!UVzQ-J2JOD0na+$T`BP?nx06&}C zT`GrC3ehFn(}~Y!&<-0A;Be(T&R+T#?bf;tS3`}&DS9IHz`Jy|{cr|(gQ4s2doH~< zlUoZZ(HIf;viP_XF?10Ol4OcwkdCE(CJ%0-4up_K)Xf_>>l_aeO*9;Ecnx%=&1y}! zIc`dB=p!YGohxn79e6&`zVk$B9;9!sSSkcDu23&WT~A*Zj_zSGvMg;;HrO8`-7(lZ zSX%l6wOD0eim#rFKh#R1LMrw{G@3Mb|6pjUG(1^UFA*RXpIf5Iy1XWX87#96bsQ-C zW@&Jtw(L$w7yvtZux?683U4(0x50G9vupVwV=A0|Koy=Q0~ivgS8ha?nG#yR1lWyp|>{cRho(b*+u$j(H zR{iZZRA=c8s^Vw-*JUSdT?CkspfmP(#o*DbNpQiF7Ztm+cE%${HM<$`h)_gjyuwEy z^Msua3X~M?zt1;2i3Z)UWYF4G3S6~m?@N|SlZ+_Yh>d=45*4qldpuWP?G~qME@%^_ z$Vp0}(~m?5wtBBpaaox&E^GGGEhw^y4=q6*m^GX;4^&?iY|CT1s4F#ntTqcCsUOS_7UPp9;MY#~YD_H1dB-zU3Ai02f27-&}8D!rT1P+%$}PqyoRgJVP*z&N}w@iG|&wp{QDpX^pG z4WKT$Rq&*d`)K_T^nHA|=A5o;Vxj5p7r=r;m%RL)twRmz70-JRNZP>dNnoL!*ESTX z2(oPoch|z`Pg*+mxE+g;gX-CPCd9yFL$1Snc~nvfKM}ED2UxItYdQ{K#K*`;#?l`Z zRAjSG`I@-`#_)9A?VW+aSBPbJM2d5~H(eidT`0&kvmrsvqG3Tc<9)=BAecqjYO+fl zt*C54F2V4i5NqZ?``5b5P(%UNj|Ne-g-9ZR70ZWUibsz7PcKG-cLPD`I`3{D@+3Ng z_(p=E{^)vZ8lQAQoS)t-TmiPWZXf?)tIKa~{+ne##B{=;TIV#7XH>PxHw;qfOg8LHSRUradarxFNjfnKfq77nxM7cRJz>Ye~g^$PeSj_v%v#T?6$#4!|Z{c z_`trXPW|ABr>*|5qpirl(GN!*Zuv*Py*2Kl+1ARvrd_lL?WetNY^J{+YcZCm z+{D?|sBE>mYqC5(1+=!*dSWdm*kYmw1TgZwBDy%H9G^7T3s@vwGRgK#=d2~hi$kDW`_KYE0NOAT5IgeXR?0eq9DM>BZ{Mry^rn-xL zn5c{-DYlr2MCLBcd>NGS$F8YS%N(y#I(hxOd!aWiG0V)P*^U}qbY@ZcqFWCAmzXod zzORpN0qdu1ro3kfQrM!Jj~%~Dd+}PK%5*yiexQnRGH^S{O%vxj)3Sm$0o%=N6E^i8 zVJ81X6MlI(R??(EWnqhHi&M;XFY~ehrynxF5egKNqAwesSelK6R=FP&Eocl5w$zQT z|8@RIulXI!bUJNw#Vnj%tdd6-)jbR7*Aho`AzvM3!HpDeb~tu`G&$P_AmATp_$T`4 zpb)|hXm)>XZ9o&(dStqm!RkhP7ncLAjRnkn80?+CzV0>sE>+l=*9^oaU&AQ@D5;;c z`kL%UUnzp_gW^IHQd^8`?1CVRsSq6$UjxP@I;xb;h~oq!chb)L*S1bl#O}7^y(DZ{ zz(*)ABizxqwrX_!(NZsppYs~d$OyfKR2Y{`?j z)OS(H#%9=-090hrd$ElQ(>{B56$jU%TI7`=m(rK@EU2kn7f0);Y(0@!)T$p1I;yQb z;2>A?DI_qP0d{P{?4xY6HHN#kZG7Fk-R+nny=qCHYlbqbg;1*2q}OE;^{>U@&gPN> zRJFaVJFBoGH1ay^4C+SBEUd_J7~qb?VI%VvW0Nzjxi7Sg7}g!>6?Pxhmlv@%Luo;Y zwqC``s0;O45NWG2Q6%*?hSM!?YZ6P*aTA3jD_(S$(FBBvxBB0F3`!BH91N;UtyRc8 zQ5#alLX(kqYhKMy9wAvJ+AB@XX#%G!4O{r;#p9FL*((&*O*c-0yE&NURq*no*Vgem z{J1Lm;Cqq8y_ySC;4~>13uwER5XHMbV zoFu{M);f$Jh5vz5j^DBETR#0wa8lF3ARDNU}?5d3k>2JsJ|EJ zNpgJ!dZgNu4#bec9!3UYf`de<0X8#C`gT}{Vha+iEfvs~Yvr9$KCKPrRjaI-O3MR_ zJ$D?NvQdoBt;aifNp;ExoAe_)vF(PnL7p^XZ~yIcDw zab?j$$j0e|k zn(kSYpR7p#k0S)+wXr+$$FUF5MaHxXiG>qo7j$+hBt_fiI&i4$pAb2i?Cabj`N!k}LQC%=Z8|k2KdCAa5(9D(RPdtI>I zh&@p}V6&YW+AF43_0n%LS@~C?FwE8owbDDV;=a*xTsFC|Tt3}8+_^WXTY56U1Odcg zKR(TtGyX()7+WAi;HdjdP8dLt3X31-XCOa48aBv@J5?FJ4-(q*{SI!nBi4Q7RJ$cF znT13!=+e$>lHc$3ter=w(kY^=tgcus)#Hj)3|Ok?jdFh!UNvl=X=MKF~|i$yfT4PFchgNWr|qYKvnh>#vnWFlTzvk&i#Sg?&z+*vA5oa6-Q*L?yG z<`xr;xJz04Cm*sw|k=%!E>D|gr3&)?xx7(v`U~cwOzJvujKcNX}oD9 z$B+Uae+sCcbism<47oiJ#+NlzZ?Ep!!EI1|wH25U-?N}18#rV)ql7aAMuzVg58#8Y z!1|3EC%-z28$%I&-P#Dke@}i3K%#H?k3IOahV$%kUBk)UC$_yXVZg;*6dG^u{kO!H z_8wp7yfnf45oyhz`zdikaN7~Ei9}GPs;e-(KQ70S^skxN=?jdDb_s4M*#vWYqu=xT z`nT^uN}je|4+2$_UoeoK!-5ERbYb!S__oEZEELOUb#t0s$c6I3W@~X%iQ^BlKk5B% zK%qw>o!^~?;R=cfM1`V7ner(Q(cxQoLce|-0?Lp!p@OpxEdN^)xx4N(w_zsko_o;X zxBGmX13sbBca8NsTXe^0`l9xcpQo<Z^Sc?JpW6@mhaTXvrK>OY?62;?2Y>2+7mOu;D9YYi5Xs*s(V+fo z)q~uz_HCA(5AOZeu>;?pCT@C0m#q|t2w>v+W#T-M7piuM5q$%0c2A%DL6`j7sHuIM z7sZ5d9u?~k(kcJ83GD8s%Qx(z)Vxkj+Zc=E+**Yq_+9yu>gp6km($mkD@d&^=0(#led7=4gmu`B_xru; zqV32v20U2WNgefLTxPHzgf`n~2O3sW=I^6OuvZ@u?CR=(oV(a=I^>k16LFW*i4?0X zj798+W^&)5+S0hnPFdX4;LpQ4b{SR(VBaEVlu&R`Tk%hRvjlFNJOt|j(ssOi=y2x3 z%9ygkvg)~V01y7U2m5o6)OEweMLy^9NGPtj^rIeQeL3S^_Dw>X(?tI3E5mBiEOLh~S-Rc6bpKDe^J8NTq*A>n#hKb-dvyYATdrKWR>?bE$ zih|hAMCj0RXpj?wE66CQS^0mL^I(Qf5NZ7J`KQUkleVM!tsJ!KqwPW_>7CnP6A63s z_ORw|)fZhgulj%LSEO`bLk*PJ7nD|3q~yC^F6pom6r=N`7g_ftSST1i$_ihB`@+jr zni^P;lpm5rHhj?7iZTqB`_4Avp~h2{nWLJ(6W_!mfb&k=&3_$Vj zTf&*cPX=CD#Np6vO>MCP{cmX23qIB4kV*TCoyU=c8T^4m&ei=8glQm#(9aBsJM>Ls zH}e$tC5#|xY5al_#bvNtkCMq!xx~aU2OHv$oy07JIlskHWmWE578VvroT^#b}xEIayj8mP`=(Tl8;VRQcpSJjYt~| zV=j5dzsk!D<%d3exp0H83n^)=N!I!ybx($a$FNODO%uliJu<8KFs~T}E*U7VajCQd zwtq5IWyH~njr^d4=+RuLv>M)U1XKJeYfT>V7OY7pQ}W2M?X9}8NJ#ZqedbJ5Iyzlk zZaLqV-+1509|&-x^zf&~I0kGCB|Z*2zj53u9!;CL)F-iFVd=yS%Slj9%Hnic#=psT zjDbvhNKYXSiaQfQPD$VJ2PeMbkr-PzPL3495SLDP= zn3)I^BmJ7mVpKS8l8)u!nArF-R@jVIkfKSG2o8~bQ+z_xI817;7*^(3dNk)LN|7X*ul3_Y2ebaG?(F;aR zdlneq$Kz{ui9vy{!^|!(tg6YaskHuS=e}u|rzItY!w+P zj^45eBRk;E>`QKl^?d>koP1b@q?&UF-Tb4kGcGb=8c10rzgu@>&9r$yK!Ehs>#}Y^ z0kYm^`r-#LQQtP3DZZZGkytv5M7e}5PDpk@?dj|l%*n|8AeX8Bco%UKeQiLX8)bq4 zY{-Lp8TxfrIa#IrS9zi1l$@J|lAXZy&iIk&<@_6%8$C>=+naFJM05@2&OxxQX@9Qh zgEO+U?z@?gtr&+ z9-TE^j65I{EU?`56`pFgZBBhmtz@d`nb+%Wwy*VcpCj?03!Wp`pkPO_ylr0f0Ms$| zTxAw)+cn3q0}TK#AE&-&8Xf=Ig~6jmb?DRBIL+Ag32O_}~MuQNi|O$SyW5T*7!k znJb6(JZV_!#Rj3Viby-z(NJT7jN*4L%;Zd%^rY>)1#vDAPaqc6S){PfaBvbwV| zA+F!n&b05yT~nWpFktSZ5Fmmg)T_$cu*D0a#C_n6d^R)nXn$gM^_J2)El)O}NyV){ zk4?e)pi1X!w!rc{-7*_IHJMEe%R+OJUq2kmtW2~vyp%@ok@&=5RM{eJj5@cgom|6I zrB*?fp*Yb54s&_57sjC-!dP~7S=XD=_SwX~yjr?9Whg8SE}7!6R(H|8=OY8aBVJz> zr6(*KH}8G@ZDQwfZwUPebZv8+7{-g9Iwg<)Z85HXD(s5+wbSh!U84e)b2dkIes=g* z_0|Ez6C~@aY6{Og8dtUXvY15s^93~y2Fm=gy6Xc5iDl2&KuMctU7Fgj>Hcs%U5+~+9Aj+B)dF~7A-SWs1>+Uc z$@)LVR+G#>)VDUjxIuE){-dBpdfdo)XRm_hVY{0XCWoTZdcxv`CvY^w4_7PZ3NT7# zKMu`f)TRp)19d%ZA}hj$jA;0ZfE%Wop-R|w=C4&|eOLH3o8Ey=K+tKY+A%KOS3!IM z>o%a($EghNDaon;ng}jIQ(LpaQr}Ooinpy*HYqlDvS*$L>RZ;fwE9a9PeR!J^v{iT zS_4NKl3iPuj4G*A%bOC}P!pFOo!L;&vLMR^u{STH%)z}uW;(gFj9w+7BYOoN7h9#+ zTNJccA*dHr?Nvracyt{3m~Mo@uD_rEw34mm>Vm*gJUO2v z=Nl*|9HWlo<8{4PU`Fw2^msJ#OxyUPslkiU7{D#tBKnU!Eq^@Znq7!w@HFqXORMp& zik-7HX!T^atqCj>RwZ%GoRtW5_ITW8)tYV5SRBtCL4$%6)Sh|RJee4W!bs1<pXXBq2xI?9ti&WaOmYg+(p`ZtSSQ}!!tEg*@O*`=+u5v`wsxEkR(Ep z8QxXckPyFjA1USyQ0DpI?aN0Gv8cr{eF)Q2aq zjVWt_-`QYY+@H&(utaK z5P*1@f(>KgN5+uKXg(P5@UjOuek~#<6P9eys;WpYzzr-ayBZ8JWT}b`m;va%L7dCA z1ZVq}-PDd2G~&K(KJBz`lBKxC0bD!1KeA=xx~?8T7U(X9S}$&XFzW=zvE9~}U8MY5 zQ=un7W^9G77mDdSws9$ioSB!jNl&opxpHk=>K0bRKR8rC*;i)S?=G@gwLXFFz~PcP zI_sWEBm*+jGKkT2(shA%qFP3bjeQ>?%g0`Z}?x0ei{tk+WC5UNKg-42^IkGS1A?7GmJjiXUW_qjq`l|md=B-2Z8 zMKlR6d$-E&D$D{*-;|kKCxbP!0qMe24Kb5bquvy$N6k}Dhew6k^kSgGx3O?G=T)YM z|K94kB@U{UGuMl|FBD@RM?gMyZ#rC^vk_ebVz9R_T2y|AxtZui&47tcwBnr(*js+7 zr)%qvDymTGGzS4TNv}+K*We9&6l@&%&1qFbCzxmv7YL06H5;{@9b=J`DRi2iutlEl z^jtPQ|B4`!(+Y*IIGwg`K>3?%o5|B$30d${J0P|>l|ECro2<(jAd!k~&=hpSZ`kXT zu^lSEWc=0dzJA>qDR^LqwC#M_rO%E0V~FZT^5ir-yEl2nk7!$lL-SgQt|ptr184xQb4{Wdhyd1<>ZJfyJ@!oCM^E;5w?%%L8c; zA8`qTf=og21MCFxMI~MnU`T73M`aE;J}M8JpC*Lv$_;34>I1U_5OK-yL#UTJtihV zxrx2|TE2dJD0kcoUbd0(>?#H{QQdb(qIfxtevHN>OpQKne!}dKq%L&>_4I?;eFp&Q zNQtuwTu%8uvZK_QJ*J(hlt;_7vyg~211uEW_90dCjEu&NK zrh%7bYF4oE6v~hm_qvf;!hl735=0Bc4J1J)KC~#MdA&uo?L{|XC1#GEVghsKj|HeI zq3h=*oC-#Y&t_)=K7TQv2hQ^iwA9RDl0#s3#K9wn2E`UR<1B3G3~K_6SIFW)qdV%c zRTB$G80wl}eo%98tK&MOv|tpsQo*)>c1uhZgYJc5n9u>_=JG}~NJ}dux)GSVk3~8R zECnT|BcQkapDWms5>nY1i`(s;!zM6QpsdyDbduT`3dr=9U2vDKiy6a&ynuRc)NJ%e zUW3Vi*Q$wTnt*&Tjoo&9xq!`+?+zo@e_jPY{xd>kNr3&9_DQI0O* zjxiu-`q&x+C99#Z;R@YE2`3`m=x93qQGyKU69$Z6u!*#!NKD+^(Q9#yx+QP>RuD$K z8R|Z%H(+uW7B7Bjo*H&~P2DG|6FLg&OFKR82PRPpy1FDYhmNOm85;lrdDc{A;j);e z3j9|+x1{wzsdpckoU}RdjKr^zYB)<$t_fxAd5^R(x=BsGWhbjZfE?8vXC0yaI-1-z#7$G%r&f=t7w(tXeJY0H^5gT{py$ zK%m8AU8;SZi7RhTRsvD4OuNeJ1~d_M)IBErlHlG#kQ0KK-bPdZlLeV($d^-;>q4mr zU(YJ?Omr%!@^#4dN~bE;TJBRo<)5jBT-B9g0vSIqu^SGc9%#peWMU>@yh3XVC(E6Ub4Xc3M#W;|*#31TbENZZoUbke`?@7rBloX_s6lKP<@w+6sN;QiJhOVh!Sq&OXs z9i+c0@=zXXK4Sx%89T1>7zN^n?^^rxj> z;whh74^3Yi3~-a0=rR{uKoF&xKuRpP@UzMyrFV$@Hr|cmbgL=sQZpTz)}5f(t55^# z@6iTGV8D|P?C5-zdT>P-0^XA^W$?2*O;j$o>P;1KHXZSmt*;+N11N5JP%I7-J}(b> z1*P@KV(QTT`0D00+c&^aTG3dmi*xIl&U2}_&;yYj9azobUxjlzfeJ zyRIztx3kN}lu4#?IOVhx-Bp~Nuk+8$`Aqf3o#%uK2k2Pb+PB{b8|4)iF)3Yi&y zdUK0XBeO;oZMN85xj)66q;z{HCsBkV(+nSDuX}~JG9bCoByzuc$5GtC-}Z) z*p?5spn>o%QzG_IH#qX*5iifVP|8fJy0HV)p6Fqh9RVBxBHakHO$6GV7_$ zX{MQ4O*G_p2WTIvj8UbeX;WZ`(!T_-#Ta2et%2^1*ok{Wv9C_;B4*ah{gPD0=&mqL zSz-WC7EJOu#zrmdQpQE3qYLUl^8hrqOI8F>1uIt*3Ddrlx^3WYt}v&Nwk_g(lfMG|{rV?6ay1mo~OKOuIwEuHn#$A z0SBTz%K&p|*G8M^fR?+i3NFl0*1^sQGyE#40@x3Y1PD(SOsQSkV@vD$3Qg?3AE@T5 zWy$!cX4RJ6x#_NotP4B?&YU(n6t9BK3;ucZaXjUGI|8-9DHzLEa;VROp@Y_bvg00z z6&Voka5kqI(K4&f!-Suk4yC^;l&s^lIerEPZq;;AN2J;k-cZ}B-fHPuKi7K7?{WxmA%pfr5MryoCc|2@t!k{AzfBw+6YKAQi9!)UoSD-e- zx!dNCZlNE$4f|HY8{)x)$Dsk{ouuIcaZTI|+G*QjKW>tthsjv;;-i4^-VQihXuOL> z)^k2iGBS0Tjh1qyo!TM#vS5muWIF)vjqKn)C4OubJ)%>sK1Y(%59##APFO;{Fo@?4 zwaskxNWK1aO6O2yFY73WwbByTG)+mJ(o?8~TSMzjE~TYxUs*F7Qv(TvnMc9_Lk*l{5y>phR$EP#^jo=pg8tR=o!j;6^(s$iT7k zV_surzP#+JoDs7iNV~dmunXv1?9ux4(7~BwIlW~R8Z_~AAPs|VYzM|R98kC{q#LNa zyEM~0b8fSa)iVv9%d9dmX4akjixy>%71c;~UizMFXv5Uud9r zKM332?Uku_O*-3eK??aRk~pU+-x4Kq?uFmI4v-J#relmy5C+g1*VIi7fMG~V&%KnQ zPkxHqh{ddebW@ACr~4`R9R)mDy_mPP!Y0U(CwWp^f9i$X6TRUBfaW*5c`H%9crr_s z?Pc02giQqgUhf%!_Dx!bYvm3s!vR-mvU65x*Cu2A9UcqA!<~+$RHN=pr&21{O>zrO zQZLJmzs%GEs>E!wHOuG%kNBi#R}8nD-LY9ob}c6A1X=c7EcSvIwt#%1a-uZ)qf~sy z-IIS!fvbX`ME&KIyHTLwMzOv-?ok4%1LXodm=wZ9QrPoR)CYMpW{ba(BR8f9MzK)r zyayLOny;yKtyj({$P9tKwz6ZSfV;vOP5oNJhwWCI6YXcMG-1%PkRL2SQ_|mVt4isV zBj|;1Q|KnEY_96cwF|PwE~erv)8+QY)e{ow+U$Xvv(x6SZee@NQFE-3p5MyM*|V#X zDV>wgrKXnF9@BgNcz{V0BdYJUtFb_z-_{%^kpl27ZT*~l)N@SZ!43r))e1E&#pqop zr-nIp+RW2-hpmEoTfx+V?xO>X6=Lfyh;+gXuGyr+lG>9%ln~T8I(U>f048d!U2F1<4nv9 z<_=Qd*v}a*$*hnTy5LRq-J*%D3mH>eFz#=m3@JT_OnFS!Rvg!lU5zcW11c*S zwGVoO=QuFrWF-5QRd??fw?Wv)LkgC=pUOoGB`!F5Hme(_YNeAdbg{9)OWCQ{9(($& zEuWRkzLo1uCKxEG&iU6?;cKqohW!K;H}t}+vtRS21X%|O9i zN}0S8g-}Dc5(vU&6*ez~?VN>s9AkA(LAkGpXxl8~EvLt*r&nkFL7DvIMq-V!ERbKQ zayWy{V3x0$T#E2)Db4)H+v7;9Bu9<{np=VJ7MFte7nI95Q$u57LwQpy@>5l)AxwP{ zUs+mz=)aw0?dZE!iFuCDLT#RN)i}3Ul*gCL3sII5U=aM7LCa#;VPlLkVd)o&xloy^ z=^ys(x+cR&nT)eUd}z+vfP)2~ITtt+xJlCgcwP2>&{Md-nNXidG=!dxBO-ifia6mZ z`!~>xZONKDIpq1C0`S1EcFvO~Ce{v0`kB-ag*`Y*-03h&kJ`Y{u<_|=N(q!QKC?I_ zvw7|RG@`rZ=``p6GaB+*Aea&uMyXDIkxwXB%JTnPOwlfLVlxGei!~dvc{giFlO~)$375@kkn@5&O_@GIt_jk11z^F3uN~xl9 z3xo13veaD%^$5P2I-V&xDho`z%1i4TGs>G!ctoW_U zso6gRAmZzOa}{F&0S~nn^~3{a#*=cOIwY^uU=+f-5;1p={rfikeWO!NKoV}tUA>N+ z%4tsZ^w@;ceS-1^hL|RUeJdk@$@(^(pG{2X!x{5EWEscGJnFN!;e4O4y$y>DhBWvL zQV{cB^HQST07m?t;&7?`2Bs4aMKUf|XT#TPa8pxOj<+94oL0M+lZ?#K%xi{t%QvH# zDAQLoP{Y)`OqYdzh|j^B*(P>40!Eowom!2t(FoG#*X5ZuSnz$5- zFPA}PloO-vhklpHOCjcS%+P#H@~SlbKa$B8bd=iWhah1Ydu)PcYW}@Lyi7p&LviP@ z7BP`?=qPSac`bt(&*3P23_Kuik7|RUYS6wyAm7Iatc#CSe6;r#nWThqocIvSxV{{U zkNxu@jFqs(W#-+0`S%o^mwkUsBu^rTgtn&M9wvD2KV>NN-_#&RBT0A{k2!HkLQXRf z#v4b((b&0-?I}32%myFb5eZan|9`;;&O2=6;bUHevHq@8-;LDPmKdHe&}eqclySiE z43{+irQ``;_9VCnSqRTjV7a4g<J7G(VJh1i(C>`UuXBAgg626gksnV9rg zwM^$m=Ts8&U-lGfh>rYtP7H=!HA-X&S&|Wl&4f-=><^xQVBMK|W z$^!h`If>kyoICGB2omm&eM0w0nynZQ_|GGLo~h8AZ-Lc}jY%^;IJtpByc4`MHv9Ld ziiU7zUO<{;`M}5tyZ@65#EGB$_O!>RKHWr_Avc$0>XX>-3i%)qzoDSFmtN(XXjW5m z4FZN-p!iaTyzpo11*I4!9*{p`vG+`3`_my~O!+utVZ*zif*oN>1Vupq9lVwqVONN< zS&w-U+arXBhXsKBWU}l=La&(?hC`_6X!w$q0K%}mQ5fg1e8Y@7N!=Wkm>4&obJwYf ztBts8d{89#)+RgVQ!UxC?iRm1reAX4Hve~dgDNKn0hri%1M8nONb&Z#$!}$PLjR1a z0G}oLC;SYou}*v;Wy(^Z z-&E3O@<0;-Zu}xdt!vi|Kp1S6<(egweIf??BFBw}FL5CWhL^V|4ihCs9$(TUMlzI{ zQ3#<^%Pfz6%C&77nEjezsyCHizWQgq8veV-&-kDc!U@cwsgAYoLqsaph7L32bIv3a z`QuZ&wC^F(FaCOM-hsvpelNZ><7+^F3W5_dCujSQBy#UV&M&Ayd8vL>?cCF}j-og4 zvC^Rin7c;hm2!2{QL~U@P(~id3LOhCd{*4e{r1>*URtvBaYstOl2l{^;46y06c!Je z!d^tDB;;64l>tn3QN>!z3@<$(p`aIxz12WWTC{(#bxlp(|(ru(C ztF^}G4A0#Jn}-aD5)n3;=2ezBmZpPabaNJ)!9;(bvnI5?49fIO)II|(E6Ik^3mifN z2iS=3y*VjVK~yWcB(*%^&+fE8$Glb3PrOC7{wV|hsUigT8dCA~jY@yO^iM;>jD0LI zLk2Dj6&;MBl0)%A_QXq#!qqsRHNaS9&c8wX?>4bmP5Pk?vPUB<-?eV0GrP%a>EfFO zQAfZ>*@)nia)J973_XKJ*V2km*4lbhr5;O$fF1-}I^ilnx&yH3x|fN{Q&S=u?K%Mf zQEKdKqxYb5b&5*c&Lf_c0W!S4wp-eD>Gzw}o^@Fax3uN%=uyhBMc>e-A#oEwKq7X{ zBu>3&+GAnc1#AaK5uU3uL6U1zJ+WColx{%}NHtT3)|qTl*Lv~nH+cXBY?rnJ54xi8!5R3u*WwaRX@f9F5shy*z(kAF|v|iWV1?X=l+voJ)zEz6hfT#)n@Lra!=aP zITishgRL2`GbTYG@~8G!6}l2yO6XCy`j6vJNOOe^@M_vebbL>8SVwz>E~)}551@wd z>&}eLvsNqF#I~y1N3oOcz{kFKawtt535P@Or(a~)bQ!5X{S*M#hWRxtIJoFDtZ2Jy zI)-eCdmq7WGz0RDM%8?y*N*(G8@k)3vpn7B*#4-jBtO0j)QsWFg@JkN8t)j;%B1MtE5_$nMJ+lv>&8qy}vbTc$g#K=68E64DTwEgO|D zo8-RE-kf$()YdxhR>dQ{8}2Gx)(Wu0)=9#^)+sKy6uH*$B)0AB}~OCU*~rcz@COmf>GM@3Iw|MzuG){M5U1 z2hX*3K6rCIhXWo-cxB z)YCpuKd;qwvJA{SG*A~KS#y{_CBDhNl@V0=8(BVkzAA!>R&x>D@3D*TKJe4tMri3j zlg)#ok3ZaTgn(`btWef~$i?=-HqY5p z_9n0xJ_LfBz!RFcXj0@|(6~c&1>U6ir;gOUQG+aq8J4%h880rU0s^H$?NMrxt)Ed^ z_gbhb(l6sTB?zf#EFVfPoTk(%Rkip#J==xKO4ZGPOoJuO&OzQJQ={M(b3)BiQHMby z=>+mITPiGmwn1@0+Q{@Yd35(~Aci#jY&d;(a~JVwO-3A2x)r(QWjP23RBO)? zWv6?DUlJvy+=vv)he-E)dmjP(dKFlU zWz?ROK17rVe9RrHQK|K-Vr1sz7#^*)jjC$(D_Ir?wv`eqi3Z0&CRvOgi z973xf>aS(5Wa4^9OO=rZG+NH#c-1T0Vk2-WkDlr z>{sJsrt54a$)%TVqpdX!L*0xWAe5yA=K5%!D3>h_R?*nViL^e9ekr;kX>u7RJVUk( z*IVPz-RtTWHY>ABimbQe?3f2Tw6%g{f7hCjRy-qp*N(3b4!D`esvF=Q4ylQOy^&o- zAR2#2ed@H7I%LhBaeA~OJ(0=tLD}k_R3qu0NTcWiO;j;pEjB3Ll(tga3MpvGTdgMf zaNB4QElLgNYRQ7QG!C#S0nb+vMd(NeUAESfnJ{T z?>dSXDYG-xTjsKt$L+p`&lS=@2w4!XRqS)(_~zs7w*nlv}15gKnhBNOmX zl$AXt$-XwTDi(DIWFS}PUZvC`;7^WyFg1A;H7>kp){EW*YW(V{@AnqLX4sa1A-~=! zAI12l`0YjDjTO28-(3c)&MKBv?%kujCdXMUcmfXGoe01igg|~RwdM?inE@kcNP=A3 zuO{s|qp|0nTcLZ21~?=!^(e-HMdQef<`#8HK&+6Pf=qYE zDkjw?p2iK>SQQEeZyE=PrO#U49x2P)YePN_`ut#h%sX~1P2E+f(9l;vkQAB*h?qjc3P)jD+L>AfU%d3+m5N+Qpjav$*)Tz$4)sJ zgA>&^?HyrIM(FR7KP7mw;I?WeDkEn}>g%Y&GV|{f<VC)R>L_H}JF{@Vo4*$ai^S#CN4SS|&ML zXQb~G9D}W~|GGIJ6#rxEYOA@Sr$T&W$GYd%7j_IAMe2YiR+NCo!!^4Dfg_uq1BunO zh@59wZFm)9e*lV3_mSng1gIrq?R|P-li~tDHt)Xoi6{vbZN8z0$1%$anV2pL#MRS1 zeJr|oT&q=wXNFO+e6>{#%l2AaVY!-0>E$jJ&tHG>vCIW=>TGQeH&(K1XxJ(2Z#K~4m6B1++#?c^Qvh;X z?tEF$977yQ*@b?lpzxDCAM zsNVS1WBfeK2nn1UkF11bF@l!3s!<;*NV8KlU2wv=M)zQ;8$F%_j%^C@ntVmISHVbC?8 z2+qXHmf@OlbXa7C0BA_n;)e7QHL1&3O|XG7O{?#Fv!+DA8*8%E)xzqTmlu6_UPauf zPE9FNY%aEu1bZd4OrUMS%`H0={js{r&BNGq#0Tlo8Eq-4%B1YsRy9*H zEi##S@e#mBw~t}2^DojR&6cvgG%14@b6^ySv>@4CN&EX0Pu2?HQv4`dhnbbFYO#%r zE`lk#GDck_6s*o&0WCvUHdQcpBS?5XkZgGT8mZQ{%whlnikZ{xL^T2HZp#^l!oGKH z1dpc6p}$kf3IZBF02kT0wdab&TwrDJfVS$}Po#F``2Gx7j{eo@eSGAjD)0*VD}6Gw znsME`b^%-JsSs5*U2on(Bik^?Ek8%E6Z&lI*|d1yoZl*dWUf<5;J?G=Oe{L-7!J%( zO%Q$#TmDQYH_v}+P0#)AQ6`HIBAwHQ$~i3J10|H$)}eoRck>Fdm03 zP-K-=GXk9XfOgI5c$%3`V0JsMTtCl4eaU*$u36 zc6J**vt{KCOf1ZE8XSRb)g`)GN@}lB^?4p8K~68 zygSYrPo7x!d;AOCco~&uu+*-bb}#!YV_GZe8YHlU{aYJx7k6cOyuzy8J$yirK{6#2 zMfh5-I?o1OEwavZ7aw4S<43?mU3n6fLSwI+Lrrhzt;0Vg6vT3 zF-dmJl0tvYWB};OxgXgIsWi`01djcOOn$F--z8c|OQEp2*W~^MY=QyTQw9 zT5bm{TQ5l9FX?JD9Wb7q88nzyt(kl{+l`?+mziN?<)@TT+E0y!6ZP4S6G@cHsQt`2 zz^IsGzz%HgBv+c#0HjKE)xfo8E$W%?q}o!~P7*TJaV=J6f_lutSfhVFpaIX6t-|i8 zbJxAz*x;Os2G*9dt3E-w1K^RI)uD@&Y%aiCq^BRDwAyotdY&+H zX#=gQCi(4cJpt=9$=wR7rN~IJL@}b>%Hs(dUz=FQEFw_aqd=V=rP2jKA{J z{RDRQYHx13h#S+_XN)YY-;Khv#zAMMe#Kuh!Gat8yb)VWuW;+dN|HQa__NM}S#@mH ztP$kL#6vN!<4nNy3Q0S8Jy*9qLP33hiNQ>S=)!`T*B;b3F>rzM7#zNf;6`74;@LM1fsESI>A%#fGJ= z{W}G~EOLr5iZxKoz^LT0!kV8G&Y$bn^kjD`!VP~dw_lHj!!Ag*zzl?Hxzw-7Ah3m& zqYv0#C)efT9U$Qe1C4r(BF&~9&ZtXzUmzGZ#mIix#UUHuaY)5bBX4Ier9{gE*I}y? z5b+g@wF{Vi$O>w(l#*43ylVMm#}6!Af<&_A^MsVL4R~~v>mA|kD!7@if~%5LTO+A; zRMow0$k6633+jrSF49sg8z>iik9Z`8xit=|pU&0A;k>Twx?fe9sj;4VPNS$eVJ-|y z^?o8+vGIzC#z3+Zr)a20F-+^th4ie9{viv7+!T&o>#XJD)rb`U8?sgORHhA*r$#u| z8T3qyReqb6&J?N#je>}P#5W7C3Bz|AC~by;o;T+n8dQKhb~Qy0+(SloMhSs&$pTz2@; zUD|GsE0wZooGzevWVQ3t9h?SVSo7pfEI&{MbCi*?T8&jrMN%9m<3B;_)RZCx*64G( z$)(TyYfLoRVa_o)9povki2blkv`t`!epe7uzN=w7fMDOxbbU2q4haY?vwx^a^S;en zRTvVE$Q)rhx}n+xrjBzB{;>mb+}7kN=Am_f{e)_v&JH3&gGKt;amT)=%~9`H0Ks%{$c*>`}CG-zjOiqmt_dT5amwgeIAi$>8lWW=5)**aRpKKK!ENI#aZT`_Gf(84F^pGgNyP7q-TC5t30yi>ABg5<;nl}%9=e%^Xn7=p9Af^X43@$ zm14V8kAH18)VRKAGIcYuW`$bAX^gdpFH# zm5z&Oxe@WDUEp-od?S15>RR<2$l(4nbz~blmRp+4X=O5M%Mo90xT9Un$#<1F?6%-r zTp|}-!vk_|NL(?e<{fE7CecX_y`=H4xR(QybFP zifRhLx`T0Y6>9m@jc8w&t42DLuxlBKr)HH?Tsf#u^RBDrAe(bhChWasbL%QXH6KhU z>&Ml36Xu~@L;QggBZVgsOo4l=pfeW86gt!+YBxIegw&q_d4IxY+90rIycT_I4gQmh zEd0&t3_j}!E!Q~Mj7$&tEdZs#BA!RvBg&SRMOTOGiku(BWMm@-5Zf>zEbzZrp(T)n z2ZIByxzF?~B}~0a15Qk2c3&Yn@v&lg6xy{SN`=Bm zZ`4G26IDQ2Tjp3rm@D2vb82M(h}3({M-QPZ#Rpulx^b(wpfDB+CZQSRk~5%iFVIFL z_!@3LJ*{gt?=FzoZ8Y;wXB)ifczv&=`|*8%7lA(r=r}_fTitRjhM{ajjj@MB?m{07 z2NA#R83T=2r@vK;6pRgDKKe5BoxtexneiUo1LH_3DCQEi?ZgD;N8&+E^prFeGacnA zt0aU$%0NkywO|^?o09tUf`}y6Pjy(%WjGFKILnOFZ;E?jJ&01;T{dFb+-elM_;4E- z7bhQY%wmXqeeM+IajyaUGV%;Ov6t`Rb)wyqV?0qDJ-OHMd_68miQcRy*{nY+(HL=$ zeP_QvEX_lByJ%H6$c%}A!vv;O#5W?#;#-9cZ-qw-Nb>e5C&+%j)$;i7_HBZ|bmEh( zX5VEgagwkpqa{u|@b;v60<)QhVOEm!udM*4{@6p&WK8h)UQS+=eD-j+S!LE;SJsLE zvzTP+Pu92OFKPr)8aLdM`j7!6`A-M3h?1}d7K>9$yv+bMp7FmP1M*}3pk^B``_2H^ zIy_2JpRybRK5%BVFAYpBz)nrWB{GH}HMY1Lp}8{DzR5`s$(8J-oqy9x+N7)pukSF^ zk1_^CnNr7>k)ATBL~F4pi6KgZ4I2_3x+mMpKINCyz(fjY-KcMNhyOp9NM$o7ujc`} zHDUy%p~IZYJ;I;$a!&9jULBPmNJK=kPn>SL=y&UH%RM2AhtHSd6%wWj*QI)OX2Z1* z2I(Vg;)f!~H2hb)sAoS5(8YByUUwD%@MLf)LK|@`ln{gzLWpA-n2wT~+Uk<3f~=G9OzIb_~LXNeQi z<&98{FIo7yMH-4e$bj1`*}E@jcys7RU&8=$w6))E4Yhqr%)dtm;5?fB{~xu{4r8LM zAXA}$ee)csS5d>jxD5N)m_b`-f(`3tXvz;L!v!dWapE*A)VN6SPL~A|^`dHf0D{Ne z91Vdme#!*LXk>>%|L{Y?r-=JB%!Hoq^t}^>Ir1x@vm)e?WqcB%7X{kt19B0z+eKrk zi6k;d2^4;Skt1`5P=ztsgCopyV@YXVL-6{$Fced9G&9eY&4 z^ThYq&~kzTnYcu=+&~U>6XliA-b_1!BwS{~3+jgnzM90MknteCl7|mMH=Bqgd$TZ= z9X=M(31ZBeCz=xz)0bY%Jp`0rmr#S|qI(mEkXLIC+ zKnXMh1Q?ouUp)^61BohhfZ^APDpb>z)1mWZdU!OTMYkSuts(Xy7j1=myAz)?N zqg7ClloOT66jn6xiijxS+9?jitAFP@yWIybGXX%=@DPI2%#4loQJRWj;&Pm9_ufr9 zCg3(&eX`2Su@mqSJb{Wh=<^|5DD&wFQYXfB5~1eMV_seSB^ELZfuQ~LRVq{nB2-$c zF9Ot!v{X%XO>N^=BUmo>(73@Cl0VeYvCtosol^MOZ!vTvjd9~QoS1kO-YHHy-~o01 zA>h9+Y6sSt-r)w=qo(I*RN~9bc_2{hpKn3y`-rCHzL_2GiLH?mS|wvTC`yFjB%ep8 zU;chlHSCKP6l8r~Sf?<*UjING!CUxK&*O-Al?RpcSSqJ`Mr&^4o2LBp8WqVLB^l2M-$FC z=ggU~G!HqE`o_*;o&Jw(GZ`QvwmNe>T;PT39buv}yyu~BbQ65HFM*|>Fe;c}QVo3~ zT9p2ku3_Fjkl+6(Wy9ZaxZiuh^qZAd@UtX9`~EY(HhrOuFjExT=8g*O@M^taPdWlBwp-1g#$MOR1$!Hg*k1*>=9mY(h%-ziX0*yr2 zu5xaGcr8abZHt!Ei)Ch=oSYJH!iso(PD*2~NKp~8uoR`IUvcca6_Ro+9#GEKtB$FP z#+lX`iQ+@GD9S8SoZu@Jr7VjnOp|0?N-_$%NKqji`xr`=hCy)pOs(lPw6=MA3P6XxuqZ_h_)OK-%O?LrvUv zrLXo?10We@8%6^tHfH9XCss;ZEQ4^evs>y%JKspC>o-BV{45CD&MOTzQ^2ag7QKO+ zP1ZV{a&<8TN2u{-x*5b~Ow=Mg+Ik2-i(KsHsjtm(jcSI`j%;-l1`$n~0t}+|Zm=9J z^ebD)-Ts{G25#)qPBJxp>y}b)$KUHNwgqi==uX53q%=jNHJdDx6T?!$Wv256bgVwB z)@7=<<9e`8eHM5f``evC6$Ncr-|tO3rS_x&FE{3}5q=zl-~97gD#Mf9DA2X6N!02X zfdG%eLA7a&)2>9wFk!qHxgKwq^E_$NH5Y;5_fwb{4b!f?nMWx&j6h5vUtuhF`r}A+ zovpH6r_)b%QKM#dYWlNTCCPGMSI=0R>P~PJHiFC2r88o-D2;WfCSp;f-6=Atr`@ab z8B-U1v4Cw1(Qfw2!_2=lQ!7$zWnA+eqAl|@oKH287*K(@GHzLh%D=uw96}{fwFuA{a4y1M`pwZZ8=~3ei?at2t>>h_%VSp`qr1yHm=1jf$u`eI;2@`{E=v_pcI{A2qr7@2V1ij)z0+)LIIdk+ z=jwo7qzk&I|MT+@V8^fCF|$6BqAWQN4~p2cQVGTGR$u*$MS+6y6tgkcw#sSSHbpAG z>>yEKW7LQNNm%1$O-_e~(pT)ruio94Gd5FRbF)CZ4zUeErahaZ4!T83xIkxInPpgs0 z)4;`WTOyZLaQKV?T4sj~Hdxa%l>Xd=;GqU0$+%v?5BKtKVf# zt*bz$+Fh!ek<|6j%d6Kta@!s^9Wz?(p;2MkXo=>QjtA*L0~@M#^@eOfP2oW^L!#0Ra;30{iXdd&ootDo*yk%vki>NX=O| z{kX}7)}Fm57vtK}kmf$L+-cJ`(linR+R5AN92C1Aput<#)s-yEhg!UOae2-*T537J zenA9GN?~D)YC4&3jO^TOw@Aj>xmyho+K@5t?)s{?oOKJ+LJ@Hmc}RmyrA$OGku$|M z@}`>L=x}Rz2AWx_DkIAb{%r@(HBnibV=q6rId$RVGP#=0|2|MGW2D%s%WITQ&0YT@ zM}kmmd@XZMWJeWb^Zz(E@Phrqv}_cxLIun8uXX^vW!Dg|8$t9&(dnO8RNDd0JYI?}Pv?5y z)yjGUE}CH;8&FfiL|*PCk9=LzIO!)FU#Zfm*kjHr6Mvbhr+{*@T~EhU$z7jZ-qiq8 zy06`(RnMSaG}R;p_HbC;w_7-A`EwrappTrU9{&%8{{=W_v>Y1e$RKM3vhIW^^0@fivlyk@<`Ux_(sY#vEEB`Rz*%rmk(vS zG^k{DPQrel*`>0WMjtZyizuqOc|YP#)gFRFK|;fq%8Jc{R1KFlepKrIy=$z^859B7 zgws-|CHAQ6w9s`;=2-@xf;=t}tI5Fpna*+cmUh!G)@)_X+F{ETW&Ai(Yki|mz8p~o zw#?#+ep`@EQz8F)x5qNs2SZa}ZCGnV89Sth-&DVN0d*Qlu=elZIPwRP77pK_`-Kyk zGLMWmR6?|-g2|3biq{)*u~yP;-8?spK-C-B%%=Aoz0b_s84MI?r92*{>E}kLV!#+g z!rh${$(g~200u1Mim3gr61D zE2&Cs?g@bpFe>c*mq#haY#=Lo?}1-Ro$~a_YEni;0Q4Z0dffRLud1@?@NfdrUajpd^)k3Ozkr5F)b6H=`UssRSuG9v>jVs# z8QKjN`1fcfurYNkTD7=#fCC^7A>EB^44Aa79Nu z=HlR$v6PAFFy6m;ggoDSn(Uu|*pkDNxEtLC2aN6K$oq?sE*)03#z+bm7gHZNyST78 zv`N;>q7~l-6VsL# za%DaYubwsL)lUSG$>*UFMr)zgVM34vz)q14VKuj5(t+-r+E~ShO#Vo(k{` zc)mZ^UU9jY-V3il3kYfyp+N%h3w#bDHoY4RDA~I?LQn5_Z;=LW6#M;^LcI(B2GH{m zsRE;DfH?e>qw)$ciut&}n+}E<91Rs3(D{(DiG>Z?Fe2oPW|5Sf(idQs@Y5+jeF!3g z)DGi?WomCtWAT}9g;y9#H=b?l<*xBk(JZ{o;DOuCXB~A$gX(IF4z{Nv9~L)UwP!5$ zlqUVK1N>nbJ&s-HuJZ(&R#oZ?>-T@7p+2QpreML(95yrHsrK?^`^iRNKc-+2v)$9R zQ0cX~)nsjv-mQnwnW(h86g3LDWjO;xLE*M;?K`#YtXg#ioW+iF{YZod3YbSI>|Q+% z9I@x}wbJfU&UNbH)plKYT9ORTs~vpA=H9fu#_di)4FYQA1v1Lov`?bSQ%Y792YIRk z&&SX27&bE)qR4|c?N^^;g&}HLN~w|U(gL`E;p?P{ub!qhajC|GJBqY0MPy`3>rsMgHi=9$6|Kr zU$1gX0FEpGlH~UPIp|WKLME05HZ|m~1N6?^=Msub$La$%7maO@1#Yh zeQJFiMzN-V9hTMN;x3)tC;sbbsoa4D%8XQ??qD~6p~OiA1K6Y>-^++5P^GLJ({W03 zpfgZA7ts+{>tqqk$s!I-_t$zC6V0so9X!u?D|drkx6N>$@c~+u8J@QDch6EEDZ$9> z`;=KC(GbyNR4$j}DlR0$N5Gc<&2lPCFOc+|{kf{}NaERXB|T{_pDO-0$UAk6#nop0 z)}R~&-0q%u!n=ZLpXWr@r2|;o#@`pmi!KX6ORLhD_54N=n%nRfq50Tq<^8;zY*KWs zsRQ?&IQak^$EZjRXy#F$rRz~hg;Hn0hma6^cnv{-O^k)?0oo(llw@;&#|1-(z_cTM z^3;dqVO`XL&{oWi%~Kz~9n3>p(^-eo>ujH6LfjUQh>{q&P6geF*Ol(E@+e_gio_c% zO+l0^6!o00d0&BF6&5MV5*4L`-60|k^B&TR?Z6Ltf4~@?i$2tppg-biu?9qF)bX4- zqyCkl@97$5J8PS6t*oYmb6;?IFj$6Wug<0hDr(YQmCY$B*8qjbjs8D;0@WWn1BF`~ zMcs@nbKwu`!%sDfLY4-iITQ1-!S7?p~TseDREj!aa zG-*&sTi6^P4`q!jm(PHO>L+XPbM9UYIK0~^+X-0IV6}pMk#y08{c-dH{oEhC5&w~P z=)fons^hIrOhxXuTQ^kw-8ix;;Lx$!#JMT+68Z#*Nbaihgd!TVW!sm6Ca!4$S~XA+ zGzDp#9`9HHBEH&sE zt2&;Zc;3w(b87;VLIZ&Td+fHp1IiiT2FnX1u!ap$yD~@v3QA#uZ1vNJca}brVW1JG zIJDU$Oipe}JnT+{6vQT9c}-=IVUg(qOz{+&qmq*37$N_N*Ztv>0K>~S15Ve#hHYl_ z;?~;ihfD2INb%J*Dd!a*H(Y#adDn$0jC-mcD_a!Tvs5k0o@eE60}8Brj@be-6u;$5a4dJCpNBobS3 zF6cdXfD0;clS{ohmh1LDq}#c3HqJ9Lt0XbLjz@@CR5D+c+m#Kwh7r2JdulpddU2AX z?vySR32i20UWNPMNT11LXywd>+?useyQy*X8nOZR9Vc^&%WZykIug&b%lH{}@nx|G zL7Fu`A}_H$G1h*nWy?t%`tIF@^L)2YadN4oz6Ih&7;A|RrOh=qf1^Z9*Cp6ER2z_{ zpzY~oEizqZ;NrT|E}e}_1~3(Yrlt1-$6Ym;E>+lGyM)J3FP_FiEdD9_%!$J2rTwf#U0TU?oRe=igQWx9oW=4C@?;p`oTi@9ua(WBE{!j! z&W^hNuAP6CXsb5yV|Jw$11f>q8BOD+1qG7&o`Q;f4x@rRDjP!)nK?V7XDAW0`{10qr#`*z$cfiY%83eAd{uDWr)Gr&%(fXK=e zuPUYcYR3kPw%a{3;a6b;(W3>PeH=i~PfK8+rMp07|0to0*UB3m0l64gX__(%mhox5 z@Ht}{>Y(8BUdxoyaNL$H&B*?SO*UF#Onp89$Q$b78dysl(Ju7B^0pJ zyT)R-vF8HnvRcK+v>LdXX~M+Ipo;iu*Aka9tyGXW<9`|9wyM(>oS1gS@HtcSHMYfj zV6V->*DY0P8gIMRdkb&rb-4Zc$0E@(%y$jcY6B1P)E#-sJ(YqZi*}nAbQjmk>y%2n z2_g=`shM??-bLurBKJlobV<%_{#3U3Q!y#buFi72e8h|}OLYf?qL!`pdJCwr6()W8 zh-WmKv--}1DhsR!Uho1eCpK%@d5nwNCAjf)7L^HKGHn5n=?NS^oHLq|%dyXA^!BZ< zVhuF4TXTqKOtxLuDyLfUuA)`Zy%B=t!}N1Dx6a~xoK%2?lbp4Sol36MXkE*^0UBN3 z3278I&3*C~5PGZK6LKy~cK!Y)1H`(5qYX-38Ul4zvfuDPR$^OjS0^IRlXin>to@ce{#WR`|_#bVK> zs=DsAgVyTO7}4UhJM-R|>Y~dE8&f+OYpTe~EWSqLVBG)fqe>>0*x-=CoHEfX%+<|5r<5#T!ps&Xp#SOW|tnUKFjg<~Z}y2CaZRqBYVP^M!kY^=_k2nP(t!?s&a( zZ7m)up^VbNmavf)P||0rPxEM7TgAp3M;(qE(E+sQ+}fZVO-;(nSvRIY0hL1Hc?GYl+}zqYJZ zoQ`rkv=11AE(b{MD0`Fa>pc0WG_XZ12xD$LJcW^;TS9N$-FtxSW7SJMiR`O!1}uVR zG1h7d#AQ+Q8Yp2>@z=$~#tvNS`KUm|pe#*pn(1z2qy$(y#wHEX$B;(Q}OdRClRvGOQ{8O$2P;WTMC23m6B3*cZ#*P zUJFa<+s1Ctd9hTTdsgsS3vb<>f7z~7u%qP-RWpUfOoZO#>RAH5v;l^!O_pi~9MEbz zwLdJsB9Iu>3cTcrxs2pB6TsCb3w4bj=d*GMXy8iqtf_jSK_qwG)!m8MMfach#8|RL zuLa*4ELiz;p+*5GbGxL|8R#M^BnzAx9Dc}Y$I%>E7)HZxAmh3vIGCAG3zAOMqZxnD zjbIvC?ry<_Pti+eU`Wudl`(=XNZBjh%U*|?Y1%A(`o1ACRy6epI{FTIq9v#u&;*(2 zQb}71%#K7M!V42T8pvW;5K%W(R%wfqxC}aT-VHZdbN?)*GwQmw#t*FQEWyOHes zIF6;7rV1{z_qkqi%P-Ut`;e|_4mhS2t66883|&$crthuqbv6(+F5U+2%;wYlUYGN@ zyM&(R59ZiQx|gYL$Q^=-_}Os|iG-!peBO9K@2|Z$^3m|pOGtCV_V&W?){aFd?+J6| z1l5GPNhNT7|9=)3`~?>$uj-8m{P8{SBH(Qui%;HI_kND!r)z# zl2AW@rs9gHjzO2_v^J)dd=6WglZ_gzJ!yv9&TQ3mR$X>HEt`{_W45in-imrfu`9)E zQ9a!{4QY(YUb0ll+1Ocov^up5VC+mH8(qG4%DYw*uyLO{L0Gdb&)njAxFzKfo- z+FLra)k*1gXH|he=&TMXMP00mQ??J)sHj7CD{N7P!k(go1S^fr0JW1-bm3d0X`e1^ z#qR7LMdsG(KOfAO_Rj5MCGbeAV&Shfc2&`{i?w9h)^N1KYoa8hH8GCS}w5l^U?%iF9~%@a?(}Y z!qpraiV0*(mlZ#36^rkh#urU|nYmGq#@$=45C!w0fi@?n)s| zL=94gS5~-`0AnuB{;kX|{mbUp1a|V*_MoRgb3s*hBv^D0Nue_Yfc*4E7R8VfDP{a1 z5V$Z~i9-*LtS?L#rwPw8K3Ta9z~l$L@p!?DdbzDp7v;B85E~#W=AK&zv&{l3W`$dO-&te)*fVf%xxoT!AvrPnU$?B@2lrxkN9Zb+*i4|tDKFQ zNHJeEOKTH3;rHlQHG@^A-2FHSQ(2mnCISEgm2-)R5{7VmC~@-cVUQs3jBDpHrbcN? zKh`sR98CZv+swTBAN^xhq1~^MXow94*eJu+${r=j31vn{F;WdscTJmzx55xZHQGO- z?*NA|g2s7O619$L`Y<{v+$y%&kcyR1p~DI*gbS59^pE1fUDazNj66%iIW~(jB0P*& z6GS{=zP-93amkG7fLUFWH0b>54n@9au#S_INi(NLQeIPv&uR_L{whG>aDPAMIOtl_ zxu0`0MMD4yH&@e)grsyD&S@E&ln=vWU5g?-xe&vIVeEk3-_lQafojcoWVD~iA zDap~O_62L5p*1%;byC1agXF297aw(;2F@u|;- z0cPdaQDHK6_RN!TqR6|tkIVWKI`24L9<>wVx5_2WqB2yNF`pnrOq3~c z$rX#=rx0USZ4M(TZz3h0Qbz&E4z7w4&=^xS5=j<=s6*FtiL0bjd#?!NU=RM{Vrn0M z9=73M`|9}Ne6Ou@^N9`ok_nAQ+F!(-KvX-D86;Rv?b}e{TY`}62g0JV$Alu;eg&xP zbitDFk+8@@RCWMWx&YcH;6N!%+v<`~4uBnM;+)2=lT> z?j1Z4$wkB>;X4AwDDZqrtR&CK&YMOnp9xIM!1{v|6^2ab5zpZ{u3`FG^({uK7{AuAJ5S{3R%}jHXFg=A2 z_!;vv{{oa>L~1x8ON?~EIdK@rs!XD?Q4%78Fd6WN${SF&dx$HitqkqMDE|2g4j2ia z4J`u~{w~U&s>0z|vN5A+;Q8yps1osMfFBa+pNd<7rf855B$jegmh|k8;p8uLmA;!3 zcS!(HM?KDO`qSvIDnu=G-D4^~FSinp2}}>?z9P-uED7NLvClt5nkgikg-zrQp=ahp zX-I}X1%-X(?r#{;8NH6 z=M6-qD1!Z+2QuFZ8RBlJ!+8>`Y5MNDefl_(Cyigl*XyF|p=m0_5|48Aq{d35<~aY! zF>s!d=($uQ1|uA1hzK6_qZ2QYKkMM_J-{RTTzo4{iHjTlC^<|PpY|Jio*)5+9>-vebQ;hAm-bf^?ET)ux}nD$f(ws?y7%-ODbWw- zlEkchK~HlDdA8&2C$YjF(4XS36vpfO%%H#2w67(W0A`7_Y(GGP>c= zjtsvHl7Q$jWBV<0=Fdy5GlWqIbxA`xe&(o!pML(DsjS;mn;NSvtt@!|DqQsaGCJAw z3NKq(?d1J^ zLvg(mmToar=OkT*^HobJ3`ReyE-yVaz@(NmsmEyecA0bUv8L$T*%}JIlNulK`s+hT znlBzsFmy>pjextwvJ#G!_Z6z*Cu%p+epkI=Nq?mD{q7)?;DC`5w2`Tafd>D)&I1Ri zutl|hyF+y9LfCA7bLNAI;_ar^1rtLS6#s5#|5uQnz5V1OEMUY({iPV^FoFM1G!Sf0 zT06Iq51tW82atM%ihHx&mBq^&s8N7x+GYJ>83=lZP42$BoYHB z>0iW$Za~%8%gprCTP3Za!1lJS65a2ulVubi4K@`(Q!E!jUnk%6ysTI!+5#BuWC;mlAY^+yds+U#X9LqG zS4Qk!OGUvgD)&-)j0vHwduf3h(j$9YADJCr+J=nV5t^tJ_wSzycuPLG0%6(T z@JUPKvXs8IH0V7SS7H4mzf1;78G{(FoAI>)7=+;rJLg~(x0=}CqGf84 zC@O{oA-W|WF4F{4sPy4uC5dMU$54>GWognM)R2&;C|%S(ray4y-4qNtMG}Z?vF0Pr zQ0Zy%=-%5v#g#|T9gW_T`6mZLu1Z{kgaDPl5yj7kAtkyaT>-iq(pSYIk9&7BkBee4 zpa>b0^oGwvIDnK^?#WYrMxv3#R4*&aHnoP9=&vxd>5lZE#zRBG9Hl_7;qy0v{`L5scf!9`>M*3 z9;N|McxvLsW(sES%x!r_L$*0L0K1nY3(xNnGVk$rDsI79iRxXOgpWXMDeu?vR2FM? z4`99ef=k;fI+#lhpW8xC!EZD}RsI0{1`yCJ001!nP$U2VUjW^I^mkh79YSd_jetxw`>OI#UQQuaj@f8FJx!K5GB;5>7p>kLIXrI09F71 z`(WGM-9?akY}yG@%QR!M>}p99Gj*LY(7COP2kOeU>S70F3cI!oF*}lVb_OKN)_r|I zShlboHJFjGw6$wPW^1H1B{4C!L#4$M#;|O$#Efpc6jKBJUH^ThG1Y6*#Y%WeWDFF}DtaDIW>Znn0m1fLaPAB({p3TTbFsA`1HOBtnGj%8k%O;FdZGjVmx#EK!;g#DbRU%As=HYca$% z$}ANI!sOlxSzO?HaH|Q#D?+dWWJTcva%k?r!3s!e8=aGgz_>hl^f_g)h-2^_)x-uC z0F)lcDMWLaQ7}Y+%hkx70A^OtLHnsFduPEID#wSm(ap?<4p=78BHtL*wRM9=j#ql~ z6`8`Zk~T2GUtD0$Q6nN(5Dni1`y|&Urjk~7!ZsW5&W%-zu~?VVu&(+WIC5GM;ahX` z-MbR#KvKOrUA-&?)p-!7Y<1lIMlNsc8)Z~vy}`#;Y0@x?&8DW5gPC8{{Wo`rHKZka z6Los3Gc7}|>F(R_&bjbm`tj(UAV7Y7C?KhmEY8U@1sbeZ__#MiZFmT;; zFTlB()aD?NtMhimgf(*w&$VC^)(1S7fupua4d-n_LrqZRXfvT~ShYO`Nam=iHjbT~ z>QqJiS_`W6+isd=juM-rLaM#QsT7^f@K^5PIA4=E8?gD})G-c&RfMa{SCD!JxuPkq zx;;Hv2TRjJmf5%Rm$z(dtk?Dv3${7{lB}*O8dv6Qct#f+(0<4GHT6V3-DO&A@H>kn zxsvlqfccowZwG_l)eVg9D(_$>AaV_O2X~{Ub|z5zuOZzjA)Dn5bVyGQPmg=Csn++; zDYh81iEC)u86?G(IpOzX$?~CzF+-)S8$_wCHnhHq453+eZwA%~d%*tQb-RcbvpFCL zEsDDw0A{8{1_?#X#MZ5eo+BB`oGTe}-0R<8RbFA|xr`_S(VYNj*`*!F-6cUJC2kYF zN3M%*#Y*MGUFp~11i$Ws5Y^b0^nE+Tv#yM;%v+oQ0&dOvU!WJ%$6xwz>@wLFdNa&l zNjauU&ldO0gWCqniy&+axC3LWdA|j&xr-o0>%zeRvo>nI!q@^vh-0_pPNW@W05GWi z+qZOv+J>ghC-Az8G1ft=m)MfqZHJlhP1u{=*FkL>0RDI5tP>a!$mdCV$qR;W8nmSR zGlpl2Nl9+r-p}tak(UaCZ;^0)=n`(D22BMAe|fAgX&a3(<{aiXGCutg;mhVMl{W{i z!w#O!gQvTYp_8dC3UO`Yoo1VGi&W}^g6c<11zfJI8^h&B!vbt_(2cuUMyjsz=43Cv zkVyiw73+1M$01SZS+HTiC(=az$7DD@yMecDqAtCX!bC$}Y2;lL&C&-d-miQo(z{(B z79J}HtiM?eRp&$T97_o?=wPz;xBk7XcAEh#LPcN;7c6CUb|p7g1dd1M_Nx65qh#3u zzBV(Jiw$9%IE-_iW44|AKYBtxsB}cnjk-})4(>bIswAOGxJmFfieuVwvJLE@SCSz3 zKFni9@$Va#D&1fgI0-tKL6vvB2{`yLp2i-soB&iny`Y>(yC2WQJ8z*?=Zg{o+jn|( zsn=>hT2{iuGnj5BeRGwz$vA)W+X*~XL`PIXCLis>uY7*N6iL#rS4&_?_T8I!g$m_- z)v0ZT8!q3kn}*x5#JEd%9``Z!2oRSYsk9u`WXe14MclS)@5Gi+;nVr4M4=ldg#(*R zgcw|c2n^8Ub{i6X+}lAl1i38;-uNCeJP;FO%9Ksc3>$<#Z{RA&pb~;cMbzg{pWn+B zgQ6 zirdQEf%SKIp>lvDlM%_}(CrY3RN)tDzJcI4khSPDT0G$yiU$l{ou1qXF@Ra!C*ho( z9*Yf}WE7}@nd(R3ceBTl2!RfChtS}xg3`wL@*a5pO28N)OOAjj{S+{VOk_rcY6o`& zdNj>O9(vGtVN8w{C{KEVdg&500YDc56s&M+h>~Sobdh*2PjE00xq}k}w-kH`Z)^`_ z2@o#m+E-efN>LaWOX+xmNXQjI2Kg7fyF%e32j4WF&=C2Is?i)d zr7HPCojM5&s06?rlJC*njzlRjE`4x#fl1;6Lft8S@k5>69>^6SBP_Q^4H-EUxP|Y- z?l$pklTcyck$wRloq?Dor2!VQ@ z{YGaXndF^F_$0!io+=>bNWed9L>(uk`p_U$rzbE)zY&%=N-5VpV?uJz#kRyi3k*V6 z#J)vWTsIsXi4f+}tpcnGlI142j|Cr?OWsO1^Ksi>NFs72$-fGp(=qjx7P6*k@<@BX zBamgzXE|^>bOP=3Y-`ECc}ELO6EvM4xH^#PTTFvz566T)b__}UsXEp4(zaW2HabCy z+T-t>_%N(kX#&4aFrx*`ZPN!CLtOHIj>d}kc++ge2N;6l$x~*D(y82pxw4loo zEnl$J`>(umaA~kT>jp#1uhta58b#sSqV$5P;8<+I%6MZwZA$Ia3#AQ|Q(FDd!8mM`D>Gb8avrKu9!& zkydD!$Yh6N*!Z<^-06o4^zNS$cy1muot%zHlJd+rzfFc1ahyhtHItY z6G5N2(2FD2g2u=j-!@B@=Bb+Co*|+_z|JC(lwXqaHT*R|dv=7QYli_1)5g%L4*4DP zJ%|c-x$*P>RFBVi@|>K9cfzRLDjru7H6fs!UE}8t#xS}`xSz^h8@vm1#{GYm@}=J( zUM>Jc+p*!2cu^GLl{4UjNk>B8_wHOT&Xh;P`vB`5s1>L46K?0`dSFC$LeBcA-`(Iz z(gKXb8RKc#gFN^n0{B39@U=ko)2Py~0J$BsJkbW9Y=}`{ z{$h7%UR8APr ziSv3=u5j+Ar)R2e19BzV8Q1QX+{P-Tg}(Yf#u8jl1Qv7I#oTEXPZ+Tj2tUR7z?+$b zS0nwHt=}euMCb6fWqslebC1>(kOB5fw~LuDD5E5aPvF`7m%K$PQV(3pABZN+qKR{5 z;7i&Q+8=o{%A>1Cj9mLv_Zqvf!iDw({^#DT^^En5k#l2ZVXcBOa!APT#ny1HJSygBmd0SUV^TSSw9+-}`HHujaO3oH@>Ly#)un^H0; zjoy?@cvQf8Uqoo5hJ%OkMjB|M%0asn_5JQkoQ0kVQzgxo4@ z)$ykNb~u%;zmC#PtD7aFy7sZ*x>=J+O_^^hkF^8IqYG*SbCfogd%yx%2&rj`stw2p zF^r`RQQt?sBbX&>0S7h*+Lm*F%;}UPZsFqIT3x8cxhoiNL9xsF*^shn;!kTgRQ^>% zsdTP1?qNcp8N)>>2Q#9Ou^hRebfeBGJ+^EgD*+(!@?`l%QE@%8>9{oRfjC=miPtKx z_;L#yg1@s5A_o{G^)5c~vkyD30CRlWDg+oQz$i8GgLe#>8qjV{XDSeP#dRiaz(4*2 zjpvQ=IVMhDtHy6S(grVNmCMw4`Va25a8{T+m$pEQffiZ81+3eJ}Ylgn(Sj^bq8Zv8&XjpVFSwOYs2Ms1FGrHEmJx;7IPi#JIJ}i{S_j1zQLF48LmbwYnUlLp6MI?(5Vux=fH7Y zxvJL7#a_-rHFmqHo>@bfPUSy4D}Cf=C&dwQAUNiYHX!}ms}`>|$$0^GfZA)MG#vm7 z53DHZrFZ^^Lnxr6OH4xtLrdY7MO`$zQ-TGuC2iASysgBOW5CXzQe=7lyLunS)_Wak ztFje|R^h}ua1I?l3Qg)I2pjW;IyphR*nXHfo;}3Q_}&`hv)Rx#_=>LNUYPKs4^KMaSLSf zrEIDt02ih>NjZQUSt!f@fB-I$foi?bunC|?Q%azgB-w$h!;nsPu97e~%)kbBMW$Wv zTD2VMKp|gv;T8faj-8Q!D4DyeO$r znytsDJj9z{N_~F^M3o=U=<^7eZuCw*9(qUyacEKvO_b`>ZTe+k5tit&bW^Uf{WZNo zkdU!sh2dZaYFr5AF7;%Q0Xg`Yk+9PX`R%2EfxwzU$S0q*`28?GU#@!LUWq-I)B{|k zgRGi7&1j7*zjNj9${9YwdPw{#N)RMOt7M53q4bhvWuTKqr@iA+NbAyq?gZ!X3=6?>zTN` zo=iOng~hLdC+ClmH4%|-Ke=TZmxZxDxhEnjmI#HG2Ii)waE_M-?dEy{Gyk$rs3ZIN z3khbHtc?Vhi33dK;g&S)ArUSjE{}y#X$s_NRjf!lglPO3ol-ttGKtEGIopVFN4O}@ zn`Eoq`Ip0C$m=o@8K%z#Pc&$LG4@NcnWQEAnixW6_**Va_;RUN{pk{nhjTdzXaajv zaxsSQaCz|h&O<3T9OP_2u2wl$K2J-|R`R?boR0&=u$3`$KJ>3Va<)#%s5_zkwS{!b zoL#hfX4PEC<7Qr^`0KOjW+~M5-7Ls{&o}~B;BtjzgRu{sFx8UFkO2t;UB#b%If9_uM(iV+)Fg=c)4Yo|L|c>REDO={gW{i{o#=h+cPd3@HU9e64sE}H70yuME~T+i z4;+MPFDjgbUnFMEM~g?hL{@4BF)i0BU~e{0oCiv*{O!|0`Pl5gXr8v19>2oh!|KO{ z;(kCp&5*2&K(k4~`B0+g4b2lw`lliw7-Rg;0-x4oVgBzI^qK`h>^b!hLpA(pgYfh0 z|CF=oyQcqqx4>FT@t8A6Tu)?WWMK*U{jNbV9p!%EXV@;^7hGou<{pV69}5mxd>E&| z_&C|LqDp5MOdm6xnvCcp#DPLp$Ggp?QT3Ejk?>RLQV%N&ocN<hc_c4cI_4#vl0+pTl17nP*7rT{UP=GG%hL3@OIr9b`Jt*EqK~2QY5Z;>Qc}J{ zB`uLyBl`SVm~TJk55?W%R5-k#Cw%e1Kl8@}d)S!&?=9Y?lqk=O_|q9T-X{NJ8hhuB zGW}1vepwLrHlOp?r!Mf$5HM*a8kdVWUrdK=gM>qcBJ6)yF52ICs^9@qAl z|9z@lGBTe@+xX`XSg$?dkmOh23~d&b2TEY`xzl8Cc$1Dv9iMhdvJ#bCN0KS`$S(%` z*Y8R$@~@vsEJ(knY2kk)P-j$f3W+zb_o}_>NW!Ri9_dY3-z=82x>-lhNi}K?sY7QUx>$rZq_Mu<$s4wVrNGFMm>sLdp}A^AFFUO ztjltZM8WgFOGOObYQ+#&Adcap+GRqI$RvzeQKO7*9E!(>0;o->jBFI$!{*@Vigcx< z;9{d7GA}Q*S6jN7`wzV&iWpLXNBLn~Xk^Qn09OfJw1`}>N|q`AH55ADe67mSqFiH_ z$i##%`oqDD$sqN>s7K3$h5kp|Ww1&B1N%QC6Ro0eg2-7|7*`gGWo2J!C+J^$BRrl7 z*FZMswWhYlTF&pLO+D50YH-l#*RvX1y;nC)5b&{teEImKfBXBX(6h@e6()#Hma#yMDP(W5;B?J%Qt*weF|Gt&Kzb~J6U=J@lI zXn^k}xRZE=;cLqMGFW;#ZX3OYM6 zYNRl+sF}TS-?lZoYXn?(GhPJLEt?;#7$}XT4(RLbeG}D~5s7&$asYVvON*Ke28 z7^8?r;SNr9hrdNct#o;j*UnJsU@0v?Rqcminyk8Fz*=;Jd+&bjh8D~Tx}m4*6uf#v zIk06)S2`i5e4%xUqom&6h?W4YK(ytM9HVJaDnoz1!u#=TDAQ1|-chP!W zmDqTbC-XEi_}_hpJtS%FSVS`rL|p~h#ALFhWHu!$g{4{UCK@w=X6H)K!B|sUoj*xk zCun4h%KAnz2Xd($RX>uJ00*Wt>b-p{wOrC6mu)q=LJvo^7sXtvXla|TU9=TGI9u1D zwmbFNCpL|WL%-c!Kr*Jf8Q3xz+q4WAeb}Q}N|07)Z0Xv=O@EVoj!WvY9|l zJeX!8ut|i!88s`P-wF7F2Ijf$>XcL=ZWeAF2(*!m%a=2#tupc}Rzgf}AGOoNV8T3f zldDZzMs|#MI!~>u6UkP2yftuAmP@BKne+Dqx_wX|42VNOkgidQiBu4$F;(2;v|Zo% zbmQ0XAwL%oJ&k*s=Z@ZYKE^c~n-{&myXxNL=AQQ7fXE}#V=o&h>Al)uG}nsL zSs91n3rjWMnAB86tw152FvJGi{;?9RlZqreyg5 zEwbk``hlC6SH@DkMbxKUTPi*wHg9@)*DV2v2kv@Pn@Y(-PYFAQo3QFd_z`^_2;9*$ z`s4In#?#mOlpCCY7OLziXr-MEn#O9htli(FP91bE@usd!4aW_k#a`$rya>{_8S`wY z!3M*mrWvJ%+U{^<*)J04Oe~GDc5Sha3jSa*k&2hKromeyonGbo9IeN{Q_tS@06Z-~ENSlth^7;H~5IbG?J0N>iMuf`kKrveb zy}>v$n%#p*-N6=Ph5%5(l95$Zwi*R5*{~4OHG0PfY82xh+&>Z_e9r2SFRnb9o5SN6 z@vBWahY^*?wqyGZXFkEc(ZuNk8_p=zw&PTk@Dg{kP!!Ufdavq*NkRh8vwY4C3;&?u zZ>~n^B57oA;W^XMXZg?i;{W-BrJ;r8iR=j=x}e?C0Isr>w*Wt5Z=Ii{E9S2rem(Y; zbwN-kX=0+qJ+>vXN*KvyXY+Lk>`Vk&AMF{U$Sv6p>u1YGgMV_jMgJYe-e8=fGgL>- zyNvyS^$;gdg~=QUYxRr+L-06D36ale%cjMsbm@a}cHgL^rXBYL9fNK1`3uBdOZxI8 z#56&dWV;EBA+=2VyN)~)zU%+1G!WJXp_3u>9T=73=ET)J$;GiFmoMwPoVzy_inQfd zx;Q|I7P`G7F@WN<<2aiwy{%|u3c|3KCS`ys6P+a6c}idf$8UlK0_f(jjYz3cdSPlS zYBP}?0ilcqTGHhQ39jxygX{YW+zk1%DTRbCN|8d`Zbkg!H9U{N23)>b z2HmonZ-G*uWA01&9kC2@%ktwDW)x@>9y&1OjqT5rGd!|6?<0*(;jVXTq027) zi3l*2=o^!Q6Vs0G+yT^5EENuV{JqJp#?|;CmkF<$g$WpmpHfTBZpY1jp#C502j$2F z18P!#6{*;hNKJz;)G110;P`BL7q6bl<6z)LD|mi|$|L-k0aGg#v#V@sZ3%0D-LhI{ z&3$&TeWxRd)r2+OzcpktY}^zHSzH#O4{+w5oA_$zb9Bz@zFT>S$uq4XNLc|NX|xVf z(HVm%a!0U!)MKb0-t`Pn{6L36wdnYuz1XykJbZ82XPd2uwE^MF`>(Yx8Y258>qvgd zP8v^pI2a*==nCf+?#l0e+yg7=S7MG0F;xJP(ud>7yOHHQO?!s^Ka zngWrFwK^537;bR|Lfjk4oLsX0TUm?Cr6ynrV60MBk8*=gFB8;NC>0coGFkjly$sJaGe6tvw3s$YDrY$`aC&QWKnzh+}S`#A(Tr3D@~O z+Gq&gs*+W)MN^i(N|U(5w26xk-dxL)7UAU|U zD~ptuqKOi526szz_3?y3K^%q;SSOoTFIJN}ng3Tm?Ro{Y$h32v&ZsTdW_-+JQP-<_ z?Q#M^~$caZDW z&HWon)(8ri9eJa!VHbj4z7e3#QY*cTkhtABQtnWx|4N-xxo=R)nXqoGzN>$&tQr35 z!sDYxI+};Io(6z{T|*Vq+G41uTro{A?iuj1W#H0^#fFs;F}>AyE#nUfb@EuQCEgPj z3A1l8sY_U;ifuLwPWgwHN&Z9O7|L^;c)TXsZcFs8%fiDhu%T11LyM_E9>iIL+>Nmg29bUy?>Z zP)b*m5n3CQAJ_Ps!zB(!DzK^Zr@b;CuM!5NzI>?LjVX(R^@A<)+(JV39=#U*<@{4X~fyzy=`$`5Y_K}S=Qo8cO_ACs>^&CHkN4!4uZgRgRQ zpin9G{a~MU{vDu)|6sWM^JjiFXI_8fthY|PM3UXch{uY2JK9QWu9UZfG>V1AC#~nf zz4IgXqDHLm+NVYLC_4eghx%mD7IIG_j6^>@*PFE%<^uO&%CN43W(RifdKWpPHIV_V zn)|*YTK0~5N=%J@WFu7k1AFCti6+GU(}XLG@Q%HpzYBp9JK0{-y2_HUlEeZ0>gY?( z>_7q5CM~@*pR03CjV)?3I|F`+9)bb5rOZ2ZANqQFC8z~Fdjgqq#1dujb2c@1Cy*y| zl-wXh0JjcP(ms?sfaYeMTTbFcAPOmvNu*mRl_k{j+bSZ##41b`NtE^BLKWL|x=(65 zaliu$i_cYADuP_T;#k6x5^VKY3RBqf_HQB?{-kC!+)z2 zj;j?Xruz=G;n#sd1B8lZ8a7tQXTJ1A8SBopuK~KZ-44HXe57-+Fauzlc{pw}R0h$> z?$`CySn7Dd>1@ec3m#g>JT=LK!MV+KW3GmAAD3~*EI&zcC!`vu(RI)$^b%Ud zc?>=(#6OCE_56Sdm9#$m5wl0kNu+z6~3R_>;j@ z7Dh7E-3PFvf5342Fyn#&X%$yzAM-smlGzMOP?zbs9ci<5qe)5n!@&j{! zQrUhc01R)Z&64=`j6A6xrkb-)LuTA5v%kxAS!ZRmav;kLJ4FXF+sZX-nhY}&JGnKx zVtsX49>w1XmU{>`_SZcjxOJs95e^tDFRIommlC$Cr`f1ndU zKr%3%saj}1SHW2D+}|oe;cEu%Agyh1LIw=~(Q1D*OoMmxc2+V0un77eWg(DGQpGYT zy15;_ieDLQCCmHTMV`u!AiT3v-3D4f(l^FdDv28s9>pk$6A}+BN`mR+woYbO#qoqE z;w_eE<~q}sf!2oZ${gukTOcdEIfR!(n%}5S@pg$s>CW8CwSK<*GTX(w=`1eI$HZAh zIj_8Lhy0{?=M6IAic$Is;fLkZ@e=$z{5iShfY)fU*Zk6ji)`Q5IqX{@ zd)y@K$oVe4V2h+bHr&6@Z(2Zqj_xiEW!mF+c#gxw61EI#c2HYn36*EfPhr8Ps1waG z=t}>Nm<~a_CGumo0efsG$`x%YG}qiqE74eqo@$+L5BNb-MsyFk8kr+BYrqWY0?$h{wO?jKufldImot?7+==R&;XmzalF zXMAY-Ycp=Ewooqu*he@R81Wf_y4Ttqw@(9ji)7A1Hs++LbAat2>$Y-Wphg>qD|+Xr z_R>EPH+N{>i5G#TbCvDx&u)kv#*P$*-6L^8tQK-iZU8YDR4D*OE`7IjN%<^rc36vM zQGeJuKQV&;OtF;`#Iw>Djp$SoOesUNUrXgoXZon~|8|!1*xc2?*Tw*jEWsU&R4~=n zHq-Djkw`pEg{S;Fpr!$OgCoN94;{SFz(?7e-=-7F=^0R8!+cnG>Yo&J_Xd@m1iN1m z#nuD!`MVTJ?|AOdR&tL^;Uu5CzyIH#o-T@jeeTHP)Gg{N$tYQKgX1h?qp;&w)9baB zS@2BeF5|vdW|g12?Ra&);ey8{9A>;dsr;kjgpjBRdex$D6<7Mpd(yXm{UD(eaiVmaqd@NXIuImFNgY$hzw_t3YtO=mp+L1m#8H9 ztyyW71-9bgslRwfz2+Y}ZH-tS_JRrnGN50-=02cY8+9}U#b1D??$2?dl-~zoKfKu3 zdu#nN%eTMSwRkkRDP+M~xF9>t9HGizZ!r*-y!O{$E6PpXSW?)(V0p2ZTz`IW9cr2t ztXBukyQmMc<1zJSSpD&Pas2~Vpslh#qg=LdxR^ole%biL)V-y-7YL5*JR~W{t4w0`^U6j0 z@w2?DBYl(J$;Le)bw_XyhLw9DEHHlmOQ;{T)ph;cnj24pKfA9k);}Eb9iwC3iu%aE zCXv!3&pBq_CwB(>5DvVYVSRxD<}+Z=nQgftm3E8F#yiC`PN(YMP`z-*P`qr_*=G@h znbYebxKgp-CO9j}{ZR0eWX1PC|1t^XP%hf%g|S5;A1x7Cug)%+JvxFCST~|Qch^HM z&CMn4_k+)v(5D=!r|&#&lXhpOI{mMEr-|S5B{_|Ye>EbfO?}vk(MQ<54XyLLx!^PP z{l^<}XWYQ?xtUV1SP0|aGHUZM5s<&JQBPT1JSy;4QGLJhk3eLs=yx2kn_7S#PcVKv zqk*{m=%KEF0-i|fMiu^8Vf={|XjtsI8dXlIC3p3Ur#ACan+;F)yZ5geXwUmZO@8B* z%EJ(MEQNjJeCT@?ZZx%lUAASrl-M^Df5b34M%87}0R2dd)2&r3S3#%I~&dZH{}E z0n#yHF|zq{KN{qeRu<5XEG?#drze-pz8Xu~`%xlD22H!Fbu1~Ls_0)l`e7-;r;#C^ z82(55g&+|?|Q6Peb7b7{_EwTH&?7xSFZH|6TI_Y^r)VDJW=urY*nHXH;{(ZQy z`ZqGtbxD`2E1LEl(Rf>0#D0vBdVJ3?5&0R!((xcdRL&htk3TZ&QG6i1lbHZj`3;q} z;g6L~C-cWop~oLgDl$U%>bs>Dpy-{!`blw{T842~@cuJGe>9&X^RdB97|!>(DErH9 z{@*`Cwo;yK7HCm`p{dk|pFPxptI7n0^w82M5bbM7ws$UD{$m)s zQ7qdyrR{keuayt^1?HT+2W;Zz#d&bz zU2`snI0R;X`F9FgYH*wYvz;zoAw~Cyfsm4wgJEOrA^NCKJK#Au=5R1;hlpxG3;>da3`;eQInnW0Z3vP)l?-R(`PC0$Er4|mnTfM`ub))&v&IB2z>h;f zH=HQyNk?OsxzCieROKi^E#=Jf5yuvn$q;)pLqqW&MtfB6X!q>{dS2jHMXT+^)7>&H&@N6VAUU$hEhE}u~++AW1N_feM9 zj7(EKD1-(_uSWVSYK=T!OBpB4*7Ppsz_|%U|9>uEPZ^NoXyQ4Au=Nkt2+oXCs>seq z*tEISq3nNl9rdjwIxmd^RgSgiAT@80*lm!5M--1fvAM1NxhS)P@g8M`u^g*BNy z{qK@-r^WxwVI%Ju{NCsc_={cztgK~B}&fv$qj`dgEls~`l*XZE8&$fS{$ozfc371a*LOm?a z@h#Ewg(mADz3Br9P5o&v_nw3vhAo$SsN5Xxz;>cXDbG~?FMgTQ`jUE$U-`V}D0ti* zM12QZZS$WnzB~n_he!5?egUvY^Z-d`d~w0QwsvZ2I|uV7=l8fLTWi1?C*E+Rb^5 zD=r-Y=peA3w)Br*%q|o_nXmRFS*}pkm6FD+-!RVf=xpf_1{9Nf_#h7Ao9t~YX3y~n ziOEkslH0z>+QiTxZ(av)XdMC6;VtfOfRDt&DHssfxPzN^r(i^pk<`vwXE~!Ib|)A_qyG zSuR((mR;UdPELle&}3N&tfDOTRXD5sf8K=+vcjFISHG+f*3PS&MXET{+TGyyNBv4l zj);e&E;3M8iErs+AeK_}x_O)^YT zDG8otfwsu5;gqMI17D!Wk`fh(#cRnuJKS0=u!6}h=fZUbJg1iz6e zFUe6@mQixV<_6%#w}m3pp$JA7w42Gc3CTbyxUB;+GSa_YFD!Ei=#U|3t*a(6p!%%woi{P~A^+6mIk~_oz&5^Z93iO)74SD6Y=-yAa zSWvHAizN=FBTU(c4L+MmOpjIg2Ej_7U%!%2bZ(G5^~$!^NRs{<#2F-67Mn$*6keo} zKTof7RY(D>X^dig6{V~!%=sm<2I5*?^&kuFiwed3CeJyR~s}{&} z&AjR5t;{U-=!Hv*9n*n$aGdt+IiVdzd9=~1S&JnPx0)^i?N;%mH23UbjdtWDLsvID zm@4ws>9?Zkh7t6s9_06y8b-RK-OrS$va*-KcRjI5BA1`vG8FK%g+WEYe`1B1#?CSB zE>T0GCO(dsyYLQ%gn*uyK1VU&?Fz|D5(e(7u`r;x6!0Y_#6fR%nwKFlRMq|tH~Cn) z8uM`^18M+SK&HRft;mb8nKdH(6+rJiMO4wt2X_c$6n90I{d;;#5*!(!;L;)=LC%LJ zUYyFkaS{onz!LGYCrm~Is?`%H=)_3(Dd{0#^AKmGM3uH|$vA_GLHE09Rj{_igN8)`GEdyC_W(O47AF`5Na+1RNJ%VC;p^YQrR?IyO5vPz&%2Y8@mATuePZ20|{tkBEGCU)hVGWZ<;Z!!5Hb zowt3FyvyhB1eNGVlFDXlrHHE;>9vb7;=IgaE)UkvlDO$FW+sSe7ZqfDM{Y~78`j-m zUK_33;FrcNuXTJ)al5YecH%y|q@(>yt)ViaUmz+#GRWc@D=d^>07;^jmJ=SA4~kWT zg`!7OA}%n^VUNpw%{z z5%6J(l%dt{Rghi{=D)Esxww+LfDYarVU`lCpTvo1ffgNAa9#6ca-7sQ(C_Jv*@en~ zpBs8}xJ9V}!7t>(OZ_~#-Q7`q;;WY7Vgl^_viMo%KtcAAz)rsiH1DTeDWb zI1GZF27m3{Q3C@9vY1stW2Js`U24jfaT+qNcq*Z_(nyqm8HTiA=IsKK+1Lmcn*uRK)uUQ8|SU8FDZ z9ueuSZ)oas;@R(6V};@At?Qi<|0^(Ldu2lz+X0}EwovOQyYN`gBE5l`=_dhf@6x>4 zVv`ANG05xoJiE*|Vu>vvXU}W2*OJQ1yGCw&C2E5A>(+ZS+h-SQn*np%4ww{ds|nJ! zdE8rONXRh$UGNc&W4c$}Hd0n67?s*~R;xCN{ZSFNg;t_u#ob?HW>Q}+8~v>%ZD9mT zwX3>=1MT}Ri7^>?#gDn3C*G>+0*LPJ7K{FIXX|g&D&52?6uFwUcj`*JUT?3kH{P;l zY4-Ek4vkugl~hz9?Io}1uBpl~qIHW6H_eij47sWUb)U!;O%8SY!Z~=n8RaIRX%DxG z0_r^M)iWOHS8AVD7nBF9Y1+}u6UsG}PE@qEH!M=sfeqMgKEe%%ZK*?!Qvj0o<*gtc z1idM|aq*u&He$lGGuL<^Fnc5Ixmz1e89M;_VGwh2yY04(dk@hByGQ1paSZ@(zgL}= zyerY!=^JHrzQ`@JBuK1%+7fbBC)uY*=o#ciNq`a@Tioh#>y zt6B0^>(lDOqeuce(Vk2|OI>u&Vhlp}iN+Bno-%@RkYlUccfRf-@~SM!Yr4P()cW|} z*b}Myn+}$?4|U-U6SBWiq$s8IyqcqOa1%gGf8vz5oMw-8Tjd)rO9r_3ea*q!UHNWe zy>ROdga_P^5;UlEb(sr0Ag*0jwfy{~s88yh9z(l9M?*`{eAt(6A~x3GF0fp2mnmr@ zii6;Zp$?{DEp-zuM!0go(0CyjnZv>xyCu3~B;Xh@U*902JJ2>{W=poN1wU|(NKmh~ z4r3BK9|>U^@8C?-`G@6+5kQ^qoEHgS{LJxHfGiz0hy6FtqMx(xMJTbU1kEBak10GJ&=Nf&v<{j zXyxPO;=qAm0XVPgiYG?06g5^+HfT)!b=I@D3EQjG)E)M{<`snu_Z9=BLY+Cf&7BBt z>8qK18TbMx>^U-5_kUDmVpb|_-A8xGOKK$v@G0aJuOj?m4rI1w36m1FVDNpV?1&~Z z-8F^H_!Et$Zni+e{fXPVqGb*vw^+ekRjv}|$ggXH&g)R@mGBiCVIzq0fX^zKR^<%0 zn@pHU(mtBe+QhC?w-nN+IwUJrEs>1IQyO;xe`d?lUK=dhlxXhV)7MDIYl&!@BkNn; z#R<%72aE?AQ=9g2h>pA28>?3p&e-ehktKK6x6&m0)x``Qkh^`8PfKx$gHy?=O zWr%)$MJJ}u@bUF8XDfJ?u^sYJj#(9d9kdb#M+M6kL*rE4*<|oP!6*FA5en3UDI=?3 z=qPbIn~avVw0VJZjKdp?{a1STLOY~6UkA1nQFf%gr%SuGbsH9B^nW2j?gA$$0tZEP zPG0&G{~7M^IbvTS_MzQDi1F1s??#i2Rw8NfDEuAA*zN;H@9eth$rNTD`4I zlF)UjEk7;r<qsL>(HYo8g>+TiINeS zewW+ChMCjj(T8;WI_vJ|66_6#649~aL3EofS*dVqn3wk9PI()`R<50puXf|m4K|JB zZPs}!EX&2u!YfpKMrADDh3EU4_;>k9FSt|R26Ml9tH+R)5SR*LD53eumv(3>2mGGJm8=6J)= zr%>qR>D4R>*CC=8k_26P2oUo1#IQ!{`4{e_6aO=9t`pCtQNXSncd*hl4dCXtV%E;j zu7&<G zETrX=$I;FM{x0+vX}@|P{|_>bCBCmvq^ovGAnMz;j)-o$a(R=&zd799ZG3+l9ni1K z;4D3(b~{LegCFrHyf4Sa@49#n0Kq!Rvub!w#^tc=;kV_c=)3zj-}-G@sx|a?SOk*C zBM&+=Gw;sw(CM@Qf5z!%=DQ2wr$CmE1`sw~P=2bl^w+j=$0*-|0rb z;9{`i0S#aXCj!Ditt{Q+q&-UA1;+*1X4wm|JhDNeXn~li_G<$PV|MneprCe7k&`Nt zvXqLUiR-Nw3D%$rp5|G7vZ{sabd^y!aFK<==hC|$$pu;|p0&^=m8=SbV#X;8pO{m{ zZ~<0{l=#s*i6)GQpO!w>AC5SXHrXdlEHF3~89)#W@=gRQBSH@5HcOdfOolD=ulX1s zOQ-g#xIN{#3|<)RvZHp1ib8~1zKLp@8dAN4=OM9BFYL=Z?!2}%!KEA*!y%QB-I%jo zF|l9`O{}_gU{VE-C59tGeo#i58vD9JdQnMU9prFm>`mh$=q^!XRIEd{;2-olWDpaU z^xKMEngYv9$9*xV4myHWn8D3qJg|;#5GhNA|6C*giq5?*>y9sjNfQ;}Yr37G+Ws61 ze}*le;Tb4v@X2mYc}DkI7+?7~yBQ3;$8xHSwQwzZ z#Dk_oISjU?gxd0*t~o?0g+>ve6xN2QCQmo6b%4$Bqz|1%p{h>KJAs(LG=ZJ{Km+I*u{NQQgl$yo~@p`uxey`N6sBQ zN2uf%`wz8a8Sdy5r*AjQJGml486yVh@FWe*;c|XI>!EMa%<%!hFD0EjUMR!{1B>eJ zW5frt)-?x1xO|+I4VbP&=(uX$DyO#F0#R^XueBUSku(tPE-SZp9XD7zF1Ou>4m;Vj z@@Y^m4^@Sg-h=q(9t2jupcq`i3U_5>`3mS&$;G_w?UdP;5=j6oL3IvECUB0k99N`b z;DD628vGl|g^`|M84C92=VG!p85OVNdmF|r!KH*XKQ>Nx?GU8ns@Cwedy?G*&CrvD|>Gp>#dyK%P79mKoigkHH7bF?DH}HwM^YcD$mZ zI#LU69|p#Y1)Lb-4zS!|#J{1XPX{5bsQvantZfwa)$0ayuly0Fy;VJmaHHFuW_!I{kj>-F!A4D7^|68z6z#ZU$lIC zg7ds?_qM-gdTO|{3TvBR)~vwaGTq)SaF!S#EB7pBX|2oeJ~HZ_-NnHnudR1`ZQlxc zVLAim+7mu{1*|N|YpZ5U6|EYogQMJo8_9R^n$5k#GFPMS-n!|93>M;*ps^a(t^dEa zwldlVgnFX{?&&V2EOJ`Kx*ksB6!_lSHI)8D2hXB|d#*~$@)vf!tGF22WqGhoh+$6M zXpV-Q;#!m1r>a+E&|)E!Oc1I@o)_QQudib9ddbtJGysiNd$pT#XzL7dmD!Lg3sH4s zkKI+3 z3CER4t4~prHrlEwx~K)X(jnf0WSq2TWhU+~ONa6RE{!A^tN&QVqgar^Aum`HDdJ4- zBqA^pPjh{EcRP>zOt8eDJQ-rR8bThzJGI$NudVNM@2>X)z~6#d>*`qRRPT*SV?>#H zUAg|J-JxqHd=yvLw>IE7dKnhE?+e3hS)rC2FpX{O5%A^jz*QR*bi8eFu3H`V?w(LR zqnhrVqbf~D{a+V)L^-*JR2B*@H7WYPhtSO%5i` z;Rg<%S5QM*(v08W=SXd;cpN6kVm|P%j5dKD?rBYwki+RrOkIgQ=~~|bWAMFeVYynB zy5+A_IRrsHDqizhiKWi#W!Vd0wW=*)6Lmz-pz>Z_W|+fN$v+qv`+f~%&}KCet&L9u zMA{-U50dfE33p>riZVwojua9@hfk{}hTit6>l-8|SbP!BW(%#kPX`dQIl7^g-&GlG z3n-n_>gAYtC(xVIch}J6h}OVkShVx6-nfoIiqeaks+|CBGaNT}Bme*a0Am0J5GzV? z%CA(%YWG%qmH&Ap^+%~uRV`DSjpW`7A{AX_8%u+#F_R;p6ey@hm1f4Fl9CD-aQSG) zw%f-MWg;rXGb=lrGBkjvX@X`-CCt&$ng>SWCr$d$o*qtn9s#%pJ8J?7kHI|NQLrPE zKf|8&&+U7u-OM96NsJiY`&RAfJ4RM5#Etf}KB`f_3yXro?>J3t08Xo<9E<1LqDEC5vl1O-JjMFUiBdsE+YJKlVuOpQ4}Ethdf0+X8LVC?aptXkg8JdySX3qL_GHS|tg$ z!ikyfY#*)Q+dH6z-uZpnzT67$UoFwIsNy%TVDe4EGA>4anha4a?a=?2FSkAj`}&x^ zF4`aVEZv$Lk<~GwS)Bo>B5fEIQ<67e-p*mmpu zIq60GuxZI($>JkQj7ZdBZRXK!MqjAU(Dw3rxUiHOOR9q>BVV-JB~6MQsgsBLyqaz> z@hJ7gN(Eov46paId)bG@{GSiv6*0)xp@KlNA^R~+`MtA|B0jW`efFf-ZQ;SS%3LmT zyCQ2EHRLZJ`^}c~q?}8EH`)@5HZIk^H=HL+n#uoo{gM7yZJSa34LfandyEkoF~aUm zXcojSZ@=@&K1z7>aG5Dqv$j96e4D~k&q;qyA$5ut@SDG3&kL(#Czbme3c_St!&6b= zg;_rKJ}b-lYczi*G+x^8YHb=M(XsdpTdR*gVJO>vUL?kJgQ@ zQiS}@)?@oie6sOIXtr{PIEOFIklbGES1XZn?q#KL{AZU z9x<0?wLjiP&Qqbx{SSFsm_PnvUa=4}TUq4c-LU!JpMiz2AwsOzFGzZ?TyXqn7j2r! zSZ{Rpw5R!aIpwHB;(psJu>VYhv#go=yY-`qNeOYwr^BZ8>)#a8q7S85AjPk{4n9Q8 z&<<7MdXz}ol+}s$uUs5@&XBi8T*rmf5L>>w%t)J&nt@LP^nY2dJ&ch5>|%So-Sp>P zS0sL_V1NAwhF8v;sAhWL_P=?E7rKL86%M(Wf$e|pAcwN{yWf%0CH!{qPZf@tu!KBD z@#krNoEsw_=xPySE293i`$UDjAlIAEtp20=qRlcE!{gfT={AWzGwj%37KQ8_D)dj@ zSzpo!`b^EkAXhQ<+`_oYGneR zGmwWBvlo*g-UXV@7U6u@6pT}FTz|}3|G-!FhhK&ll=w8Nd9%izy^Eo)gUd91-|doI zT4ds0=1^2vy3Kl5w0~8<_ANXwq3`#4Rc$2=9H}VsFc$lT?)s>n6(X#N+|Ox&Z~0g* zPbc(|f7L&E($9udMRQqC+NDBV^e_Fd&LeTrXR~fpdbH2j%`2`UX^ympD1~-y!zps# z&TbM)JOBTi93xJNG?!t8PR4G;d}dD$PAyTXo!g5M`2ln|Iaehq*UG|=0D6D70p+AFC+aX@|vtg`)4NaVU*tt z_pZp#c`){1|NoJ3{IpQ{=+r(*L(dj)fM8s5`CKCb{$0__hZ~xNo{VDtkI~JhM04q8 z)q24f70GXyURMX##jkukZL8neb+cx+-n7$w&5d!hkMS9|SN;wj=F(XFx^*XC=snVY z$)3y2m+yuB!(VIS3z$W*G%XZE;$QCgNM^eZI$3%io#=|D*(7lgj=|SUl(b8SQ&r;d zQ_h?p3MV==;YeRIoMDyvNf|F6`@fN}lW(_M20H1yS%{`Rm(hi)VKxk}3@5O&^S|5%o{TSY*le(AS7@f!-fFw`kYNw84iUkh5Mi~f zSGoftRz6b^4tXq{md@6iV%%l7_UH`b7unEj- zGSwcqp_*t7%3?2j=1O#8-F^Ai*&046%X?1Bm+s>D`1v;Ve_t#A#~De*Z+{othpu&$ zRhoxnJ`wHSDS#I(laa?hDHeSnGROb*>YLe)9b?B*{@Pm^3UD$m(r$`vE%~g})4wA` z5z~NDrJN0;sgGzC?Tm+Mn#3a@>Gjb?0}O6?gq4icRUXa%{M z4cPZK2#I1?G1K3Q+AMADdC2lJopF=bUx9U*^OdB32K&bnuJyw*)uWQ}|IWe9$=>nv zPcMak^|`15PW!-G|NRsl3&)PJW9-;Fc8(om$CUk!9sb9kcIsCD`!R3-YG%IMc~!`C z`kOuF@!BRoIegvhC_8qJ9b?DXv3BeoJI9Wnd4TI@opJ)C3#Qn|X0c=J*gJNP9b?DX zv3BhJJNXaEjKayO42w?+r$lHGGgCPrOB1m!r5B{mC|Fc4HIZTXa3XVzo5NPMDe{Ct zVhIMRpC^Gv_DxL={P?N)ejrX99Cl-}aWy~ZpTx24uf9+ynK{m;y&wP9c{c*v4s5?a z?=WpC^_Wu-!=>J5;4cL@qqV8z4CJQRHuR>=Gz3nfX+WG+(-Aq!rsHzRP1ul7ck&lX zKZAz-XxQk;z)pqAC~;>0k4z?05bYr&ljGo$W{_C#uYs0>3+tbXZ4ooVn(*ch*0Bnr zA5ENFf8=cVN9GXPpl^S;YKc+vFEQq;6I=>v$g(1grddO(4hUT%Y*KVX>=kJ*r8h)d z5oc3iBCv!&d~(6nF+? zQt53(P3mfKmsFmOy;EK?05>&~5xgmti~vr`WW#V%CmE2OLe;P>^e~pM>efIxHLMYGia+CUDUg}`Mt-K1H4#e6 ztix)lKMk*`zZ-s2fE#O5fi(h6oon!%Le>yCmAJ7vC7>~s6cCyQN64iSZU{{kZY)hw zYf+bFxP~dz;f=p3#ErI7Ya4V^i5q@XiW-Bb*uL|$4F(fNQ}32tgo4bqIAbo|vPyDIOe08xlW_Jl z*6h(U$g{UKgDXN5Vn^Gsin6}U=1YUlG} zA3Aw%*fv&<84J7C%CW*>+q*dHW5xJJVlqnI85weRrJe|I?4iDKLF6m+9jD$r_9Ne>WBZ|33~JzB4rm{^;LLA9vzr z_?7A(rVW24wDV1-^_e(km4MiZ%p*64FEq3QiH)?Ir_3 z+#nt1PZ2}`?@Iq|4zD5*HRMJ>PAF?*q3%Je36MA-Mzbvl5@0O!MQ>naKuFpcAzNS~ zNEhG)L!4|12l2=Jx2f<7=owIiXbpH1$dNPd4V`prQQ3yoC=iVm#Eu{e_}F|BwGQww z|4J^vx79Lw2>=fL9AH3)L;DL5VV%=Z7yg+b4iGsqGsuLysx^ianyW4cpiDoDKc~gH z{D}Mc^3yMToXg{qzF+DhQ5dXfX3jra;M2eQy)=hK4kO5ewQ!KEVP;qUuv%?d3+LPO zqeYO?W`N#Ip0`C6m7jQ^hHWx`aO2?h^T+%QpFj|Z%)%pf2MA(Y+l2&zq(L{oW&k#2 zG9$a61O$UY*v$>NqDzgt41a*pH>j*ct?2&EH?I&P7Lyx?RE|)Yv^m3N1pR@6 zpe-oN!n=*x1%jktIGD4GAYkwWrrZ(bg@U|d9Qm~v!f=X|zJ^a0`ogy52*ZE`aR7EV z^eB$XT&In%bp=vYaW<=!;^sXX-7Ki2*uYkhYB;1Mf8qOd*G~lp=8*K^G&h?FwQ*~R zIAPS6!XxUTTlVM+EGqmq7(}(mkl+C{tUNW1FVHZ6)#x#52wdR9i#G z4FtfX7p);>AR4}k8{r9PScq$VUO`AK2U!^zft%P{;E_wuvVywJYHWm_vz+K@D=Zro z+mQ1{&@sy=kTC~kBW0I#2_t8eWi4i7s|5CK00NrRjByFr2|i`KP~L{U31C2+0@F># z)R!2wU_vzkWMwen8^JOQWI=;08wMv?PK~S$mXgS{WY8f3yXY2}N8YpTi-0{$V_-ragGvTsLMp=r88A6P@wnlxk2bc5Gf%^E!Jr9dCZUAr1Z65Ip+sTV z3l7R~pffT=2)V&5d5`eB;SU50%sglnh7zJ1=v(MOeS%*H&_YbYU>4jMcW6ulUHJ__ znSthyz?|j8b8nP$gp3X?FO(4KVATN;t~$`A(4owO9S;s+#e*If8k$c47lz2fQS1^3 z9!p?fLM+3w6qt}|P!(uQsZ0=cNy3;yWfyLQlA>$@>KZ2h$hY;*MZIP1q%NSx#Q-Sa z{zW+@1Pw=91wJzUOyIv&Dvf|BMYaxB4($PQpc%XaQ|OzTUoD3`0UT>X;>;p(IW7!2 zlz|{QCC8cimsl=86R^~paDekb<+UCSV2IMyALOIR{bCFhH&NK>h4v}Fa)P>x@SXAd26=BdS zLpjWO+IT(!?%;mjIhMij9N|B{-HI1F5G_!f72!iFw`zNu914XwU;;jXn#66Dx}qH1 zgOqAtf@AZIp+b$hAPzSHZf9$!9505AP$$R{ld#IhQUcx3e!Dr*1Ikf9;E?KhuaJ6$ z6K%vC><8QeAL9OGIq(br&nOgVIYtbQr7#eHS^;q|IBhu|48~;}1{_9ZfI(ve%9FIA z3w0)4BnE>y()rBeZNHbGCHit16&C?|@Vd-RU;`iwsbH&E=Nb?&rs04Nqba~cZE%<~ zy<(2bfaZWQG=~MeAX1&k9W`L@2rmN%s114qvVbx&Q^N3I;>B}@3z%*63JYjQIYxsz z4Wa^ZTraQ;(#adnIx;zl2NMNfLWf!5CTNhI92$l>V1u@dp5QjfsG;$&_gY#n-@Ud92NsO+8OYp zGT@cWaCwu%EI^N7;OueS9Un&uLpa_S{7Nn150G(ZaTE+7Xww0XP!1I1`3s1Ej)I&-j-@R*M}j~cZi3|`jp{;e7hWjy0&|&!VAyLjUwkgnF6gNLLIWTQ zq(Jjd=gkhCLtaP@OM!Kei-cj^2e8{742-B4I5{o@iBP(H?a`KY&rJ}WBVxb@+@L!R z%LDIV1SWI536$~d0-57FP&wiQ;-#*BkHHi6nOeapwW>E7Z5VRcT%y+w3PDsYPu`vd~TC^^T0 zpaawfqCDR~VK=*gt_V($Y-C$_1i$1l4GNmhtUftC2Pi<{qz+07AV8Zqc>$-o7ib3+X0SQku=$xdvfSr~KZ6=l>012$g~ z)*-6QwW3y&!vS3@Mw;x)3CQMR6>9UTqNL{34Yr((Y`jy8fhHM1H4;FZ|LSo%vb#`_ zgTU8tcIg~(!Xv5}5YT7ZUaL?h(-h86fSIcaI9pf(nb!bb&9CHDf1GUiO`4}bv z*_?4&Fn0X!(qJ1|uptkSo8u-IH&Kx- zc`9A*E-tL)E|$uP+|1!A3%_667QljBTyt+1z%=90O#*LPo<(n8@#;diDCM1|ofN0i zD4#w%#Lu+r2@1=W_e|?KbJO~Xp!*-LrkhCM95+Yf`gULH7`!;mYOd83{`fg;x8YU5 zu2)pZdUUGGN?m1xQ@K#qDcSOU!CbhBYg_7%H`|hZoa781+dMsNs})4ub{x>?KIQJ# z_f+19u0lL~*g-ybyDo}?4O&gN!~k&EQO;QF49tCLIQJ-6S7pif0 zhYPuPg*ltyZ7S2(y$81;BU8YDg~u&%Ia5I0NpC;fR7En#0KB&zW#eYK=~tLfJ2Q8a zxpPXS7g-P*=D!DOby$+da)` zV&~-6N%QF4y}Dy2o@Dh0kH}@G&cCyZN6iYKU$gB*Z};V^Y#?@HAMLNIi50Ye;0V9iJ)#b=`-ZsAYgrXtv;#^Z`qbF17;Pxy9%~ z!65yOLHOG)UuZtUCwr7T@%ViDM|}(6AX-|I1>QM1(&?arC*reAo&oaSmGwl&kl&dD z!xvlBagAOoGoHw{*v-ZP@sEN3!%BO2HVQhec%HP|bgZ&iGn}n{UypCB3Rm3!hu;)b zl3F=RceZl|_JvWl$0v9CJwd8((d`!P?AF7)L8S{m!sZ?;0|~v}?Ro4x5kU1aQyz zK7uFGwL+jdndcNsow`c_>FC#7dh3FmIKY5nZ(%;O>_W+&ZP0qLc-IZkIBJV5(7 zs+x}GoSYp$xVlht$}>p<;X-LDtr)#S*~1dLbC z6_c+?3vrREmt%zn-9h&q7fs+hE3~#%?GzMu?rmA>3V0Dk+_Q2gj-$K13cqz*Ru{vv z6>QgMmDZ75X~z?dXnWWAE8k75XzpQYQgs!-ipcq32&@B4M576b=?cz+lXts0~Kg? za~|?PIAmj7%=TryWu$GFwSO0Q#M_lpL4{qmcHYi|QIm##uMI7V=2jJ5akOjpsv8hO z=M<$A`RBKxI3ZIqQSnfaju1k*n z#I5nOko0@fvzmCWU@Bcm_PT!M+21D9ABH-r8Js)3e^O2CCAYxRPM>@VzoAiCJ*n<6 z_eIA69U|OWdMY-ph}Zj_gdVU5bZ!QtsQP}b47CX&*_VqgtrT1>{Y33B=&`h0a$48# z^^^mBq`};K_X6iV&C%7va(wUDS9YGIJ$#>gwjB@T#XDp3O;xRri^BQa`;YGdp$od} zat~&iZhQMh+_mE`by$2fX19WO-e^y4g3BHq62saP$*z7_Y)lXPJr5F|3jslqVcL$e zioO@a8Y@?-pMPRwq%>@rB3wZDNh>Hc4Z0|yaQ5>T8%=u1Gz_0?UxHRPU2(w-Rf-i% z#KZ)hO>?)qVxTI;&i2>Wv^dMr6#X=-!>oL(1JzdVlX9~bPd^p$xnCcIKAy^)U~z2w ze%aW<-@mnjWpKvkTKuC$uqN?-fH&VRt$S4qx1vUrxd}AaE~Z3ERNq zT_<=GJ*igSLia8T-W2lS*<7d$oSy{&)n3>x3IUoZqQKX4H}4z12Mg=fOUuRwS0|Ws zCc0NoF64s&!xlKFV(`7UoU^eRUg>R1_^!H6V=e65KfCaw|3c1Im~Oj}7L}?MPT^MY z;SjSAFpm|59|XAny8Yiv-*v<8Byjs!u~(YY52z{y(DzIiUbYWAX{3*@h`3c8@jmdP z?YZfLj`xVqA)4sN(Kt==mnus3ou2l5D)dZ{xOep{K2>hqg4zXr^b}|0{iDoy!E7-H z!B19ceOsY~Y*xDQv&HGq3x8X^P3(0U6c(3O>Y#pxdv}E5446KONJKs(L z;)27}xfbh|`KFW9k(hL?nZlD-6wZ&Y+!VfJ?sPk%;)*J%wGX=j`LJAa~LpI*`i(+@uApRe^^Y$cqZF zKrQ`|EA`wJqI)r3-pJ+qz#bL8B1gTY7{vyHYhdR=W@b=25*}Wq2E?rjse=Rg=$KiVT%5G9 zk9y_(T%U>2io{U8a%J#~%($~IU+jJqFaKzCj5+spfJG%yxV$J_wh^0S(J)=LoUfFa z;gL?gpJKY!We`PkRBL$$r$DVm-95*-Ban+i05{#-s{xxty`12XjaPW z9>FdZDR>c=SGPM)bVn@{7J8yKyK0lyiW<1MuT^-^hE`02Dw}tP6AGodcD}n#`b`Jk zYEb7^yp;7sRpj@#as-YY9nQ3OZqvNzNkv-N;8*g{myMV0Nt+q&ne8)D);gxVX?AnK zV1&%U{XFmX<_-|$0u}9d9?!vJ*?~fMY*fCA3Lb-lajks-@=TyQ_P>efJQ+?^q>bF3 zqa9FS-TYhM`a922DvTUP2hZ0Fr%}A^Nb?Y>{oOoS6uvp1PlM<_C1(}!4&!~Y^~jsM zvT?tdeI$oZh&~sgcd9~A$JiDfpVQG0y&cod%A^C6qI6AC7E#3+=}?upNxgMRPbO)_ zUKK4Co1Fu}C+MDup-sOgRJrfZ3N>PI)5qFqH=y~xxwD_L1CKB9&OLoHBzKy3c;51( z=cxyr_-1j-{XqD|R?q|khg5c5{elyYd&>r0tQRtkMRlJE2&6gt=53#E)SZe&9k_=5 zay(6#3%eEOtgSknv@jj#TS);X!S^W)f(O6BOZhvPd+xn}4;#U>44i!ryn+pqq}6w$ ze8HDRHnbC;BAs?uhX;!+y5v?qJBF|6R2MYGiS;zVn>IKhituC@T!f1*>(Ycmr}(emn7eiA5-Hdwg@d0et4#553)`Z6Fga;AUHmDD z&#{Ai`>XSwb-VSp_bru*_FEUvlmXmkp7dRC1Tv6R0>V7G*b- z*ANQdx`K7Q?EP#wlnXA&rCWBf&Ju|+9^6%uKC|}slD*RX;ShVRk6R24uY zOTLxrPyd9sK+i%ccV;AE)>E(wJP;>Yi>HhIZIga_8 zi|()bc&F;^R=@%A*Wvw|Gq6D06m{S0xR?>Fh0ONl{SU4dcc3S`=)lc&GExx_c_J4S z)?Jm+)!w6tTas(-M#r*339t??d z3{lB4(14Zd?#N>F@dFu=%e6NP66KER#aR6i=( z3j7jC>CHb}_&J&2T8_9I^I+kZ!-=4l1(k6#>htsyZcpCIeZtn(;Ik|fX5=dr1-kHk ze8USs><;aPIzF#p8L#!$uy5Bjed1LLv)9#lv|yZlI^w3t`IPvMJ)5#)C^3e6soN8`|;0Nr{tn$v+;kBTek@#aV0}k2lCjYSyT`E<-Ww z4ym{wotSZkqtaa!IuDC0-1%aQd9<=@u+ z{YAUhcm)gRjimoY$x*8O^!}K`itFg8R28c?LZ8shA4nPb^Iu#4=z0%VAIhKR+p3T8 z|MSG;&z9HLj}Pq2(SEed&;Rrw+eUt7LaO{HRk3JKQG8)Xi}&X*>GZK;ms`^Lj~VaP z=cxN79q5D@-<R8VI1n$-S_lr-S|8w*k?Rq0AGW`ve&F?J!>Zy-&NF@pPjoZZE^YigXhOuHl zK3aa!KV(d3ua@i|O_bBtZ|*OBh4P!Sd9kCe{`(uc;8v&_cW#voDo&aKwPur=(|Jru z0^~GK#yFYccn38b4R1Uz{~`CKL0H{TH1cGzV?ck*bB#pw0nRFcNZ=CHq!Gw9qTQt= zQH(-!^7E=e^5U${2!&1r64dHAlYurzM0e?lQ^8fn#4C_Q;!~*%toq={gearc>0y%@ z`7Yg%>R8uWEIlaNPt8Y z(w=XrGt%a|h__0!@${%lXCG?Y80!7xO`VSnH1SpAn!cR=Djbv9v({{>_16^8~ptHTH@R9 z*?WF@#{M$jd}iw&oR3b&Cj*ob$`Iw0@=STBJX9XZ50L@bvwtP_iv40Z1SACK| zU)pFfU*s9QhlARXM)IaHlpj}(Mw2NMNR1gM(1kb<6SVP+NLHRFBpD5GP?X`2=r|)j zojY})8?-)@5oMt)k1k$`?uzHZ>J7oH;GRgHsob#Ny72|Tck;?>FX6SjcxJqm|t8uu?r1~&t z;3Fz7-#2=ZKpBhSLfFU1xo?-5JT-WoXTLt*#abtC1<*!Y878VkK!1x+pnAB>RIFz) z3MPeoO3>O$BpW??K$I^`COePGEso34kKhlS>mW7|Kt$WV<^LC zY=raJX-Gy@Z(BerISF+#4WzGJd@_2`q^k~^oJ4GwKH%5e0*e)f^0P$h5|ZDPud2Xe zvn(Sk!=_K~%={W|x6v6{Y-%V6kZ{!jx$h ziX^FELr*d#A1+V7k)1Uzb@k)qE+ov@`=#D_cO-DNnQ?vBwQ*VdcjS zA6}&yX-u^mCg}Ct0wJR-qh*E8!%wUI$R9!I#G+4AT4WS}ZrIVRw|(+ZKL)PpnYdKs z;Uvt7G$V6M)++TE15)}by^~|a$+JiXnhtG?nl?sRd8%Zm1MT9b8Kev%-j7NGR>MatBtzy@&%EAb7Y$)`` z4p4qWOC;z6I4@)6F^Vt(buhJC9`WLkQnAuZ{@FNYzZigGHc0mJkSB(Qk^Q~skedH} zT9_Zwe6e#LwAin)&zzxVIzLhJr`OV6{BRkzvb%D(zs2&88e|xrFP%=krYjnhP#yc|gD;L?o(jEDu5uCUYxFCDZpUMQWeayrFLVuZ^558g~^NeFk z{kDKq`ort(n15IeuJw0FZ$|rxt?^`MO$w>>0Lo&rtA44xRi05s&9qd)gekM(>gUnw z(hR7wi<8sn1xi*^XxK7B9Drp;np%4Ht6aF%EfIUCl^yE`+rG?yOsn)km86_K&VYIv zX$uq`C(W@Wn6ge4yJzu#H872qe`L1>s8*h=3o1=9+2`4^l$W7}uqNdeVG&M;EHV(| zORVr`OtrF1{!iM0{G@p?n`CZAM;PdVaP?wV_Sq}GQ1+}5F{9@rKY0z$wW|FcY;7{1 z6m(|GN?Cs33!OUw*Q9*9k_a0r`F;T+`!fEsm*zK{)%II$I%;a2)m5Q{wXgpcP?A|1 zv98KI+Tlnv=ZW}KS!zmUsQL~-v`=reUyw#At?6mhU$W-Ysxp$V2Dv=5cL+c+(@(V0 zDupbcp9Ek&MDe>`qxVus%qr;|f#t2q7uvk+B=e4Qs3oIS^5VzJJevdMG}7zq1c^4x zW$Fbm##iEJXFr+X>}}fbjhy;EKvr_fUu-&6Yn_=&(R~L_q%BLUIkR!So|Hg0y7nW_ zQF3N#jVs}r9};2bA>}B!djKk_)U#{aJ<{>h%zrV+Wh+B5;>k+=%Yb@ou}wZ}Crz^+ z>2dR|#lZfGc_KC^XPee*^@D*c8jqGzw_DM(ISQp5|w^&VQMTsyW=Ae?~7VX9xDm^Q7Lb(#P zE_C{t_8|6c*;5OZKz6rza!7W{ev{4`hyK+pNiqIb$cS{SZwNpOS4=F)JXP}Zg$+^m zZs}ORT27z#A7HqJFVP)bw)A=U`ei*bkfp!vt}$#RY6Pft3%%C0$I@?yfjwC*Q)&s8 zXE=M$(2QRa3V5ug!xE*32 zD0Mo9M%XZ1!@F-lcBGW@!@b;QbE}j6nwO?apK)5V_hz!A2pWFXxTK*NNo2_*ajirX z>1O$KQTtlSakp&nH{`w~oAqSthml?<>tSD8xw2R3*r<|IKeF=22uQC|qF4Hr{^Oig zI<3E1ZY}YxT^nE3@+2^pmZ`LmX?yl(HtuIHEt)zaS~x~d&5ME)#z;*; z#s062E<`eP|Da)1Ww=(0h-C23>U){R5w7eXU2wh9Gg1DYh~z)ch$qY6xz|f)SF5w4 zXWRJsD26)EPD`}f%XurMXXRJ;nL#`Axw|C2PJKSe!U~xyM&)uA+kf#oR^0dPD&H?; zwwD=Os!^VavC5FJDZO-krXD@hGQM;weX$YE^UCXsQTiVvFs_!@vz40_=|k$!TB@=f zOOKMLYvgC8EIvz=TI8ednMsB{YmFSN{1{1To{4C7WsKDIR7JvYA%e7^;l(F+3HMY`zy|8Hg!uVR6j!QI^<5ZpBZg1fsj=->{)VQ_bMhX4tX;F`fbz@Yp6RlBv9 z-RetMzv@d@pZf5+uclqpch4)3RrH9Hp{j-W-=7#hnkz$kZ%43gJ|A<8b*)5w_RgnA zp3`2pscm$AFggw*02RO^Bgqc{80p|Fp_)aw^!dY_oRG=JPYN?!7TXB6z3yFuSqxaG zRg|7CDqaE9JnphG0CgACy^Kz5H^BVZgIKi!|4xY7@81?Y?qLkBr%gzXq-&n45e3!O z{-i}qCycT23wQpgcqPAkwJ^#Xe^-9Z`hC2lrBxKYqzhFWRkd%`vO+|k&*C?e#F+;G z@BoEOVYDXHNFU8@Xg0i4@>f(NK_*?-(yWV|^$T#Y@uKw)J~UV?z?;f7Pz9HP>Bt7N*BDK=!0 z7V6I}t)s*|%Z6Dv?0XRvip%7v1SSIsI=K-TK0n7d99c~#+pXSX7^17>yUcTbq?=#1 zGgzm>71txf=n#`E^`x*RBx01UsdntADMw18HwQ-1W3f}tQ&gd>hWp)JW*`yPd{Yg* z2cHw64awMeDN)KsVoSM1K;GSv)|b*T+@g7=F#zjg^>>ffa{Pq34i^$hgVrBv@B9N2 zM!1m+n`_ugYcNnm9lla67@Q_qt=i$+=cyVjm;YTY8EPv6qn2b;V|!V%JEBWj|Lvjv z{0{Rz87G>?^h+{Xj$7c-U^jV|ZK}%ev}g^i-FXs^mUrkYopFD(rh5Xc6vR;}gt4co zq5T^uGV;+Z6b3!rDTI&dJjBsSL zu%)bLv;Ak^AH>5B>j>JqX=M2V&LP%e9n4-(7RRb^(vF6Cqdi=pfeP-k6DhdOBEZ|< z#D^iW0fv$oJ?G`F2@JVT?qV^#gD^RVkUI{6blHK-m9`%jbz5;Owky)^D6yT2m$_b7 z?R3)EYZVnV^r#U;YE(b$&mtI>wD5IRtzpJw9rBhrqzlqW`P1ZWoLbH^8T&!D84f`W z9L^fDPK`g}qh`TlgPJbOp?b$Ev25)2`tnMm+&hO=4Ne7am#7HH-}c>x&YW!~>ME~K zQ8jR{FT(ddcO8pa+U_)v)J=q0Nf4_aD_&*-TCsi~oXitPx54&QMzlrKsrSQbs^Q-9 zl@>(P$e2$ZhK(q+GM0pC12uNIEvu)e=90oraCq`OS2Vb`;y1b=s@0h27nOCiAu(wRJl7JLT*0FHTG9bQ9gTePME`%-%B zmqInEr+Groc$ooU8x^_slBiqV6`eLZO@qJgU{!p*`6aNoNT9(y9P_1Axsy=~S-R3) z3c&#(K8dm0VbVVyN2GkXL^cJz)bb*}2Ov(59RD6*#uSXl&7!T`V8!41pF~ESN<*RwlHa;TYn!mWK148>%x{a37 z`R!z|6_N}nUAdGZYDFjs5s;JQkR?@$JG|<5hWSOHOf(bXo39s$e^%ASt?m5v|6~J^ z4f}MZ&+MW?H}z}8eIfHqI*7uYKjV^hj%Vyq{Ju3nTx{je^y$$&l#m=2o#{t2`4ZvH zi1w0w%y@~~c?5I}hw@X)GV4Jhi@C~^Gc^0Eud8LFGOI(71*B5_|5jqZ5_ zc7p$$A1)*#xVF@&A$)h)rfr-ry3nWMQ;cIioI?O{YT+WXN8y4~t1MQi(LuV`V_)92 z4%8tcC7ky?;?Cz53pVqBkoL!DyiY7}^Y3WC(aTFXq`$ZPngNcDNcV?iv! zXwBcrY;B+w4%M6(i{&bJ`3%XEL%cwm7>uf6Xt*+KI@u#vT^d#nz7YlHB|_(Nl(XhA9aE~vw7``$uCoKlSBF%p>`6`2u@C;V zdf}N7I!;w%&AE7Ef%=Nzp9`Bcml+Emz@<9zA+);&=)NPiKsJ=d?DTK7H;?KKKCVX6 zB2ncCdLIS6xIZK?+PJ4~U}&g7(&{oUwX*E0(3cL74L(h*iXw(aK|>3ZaM!VZE`wMW z!4+|9+OCT*rQ&H)g3@y=NJI^%l?!Wq5S`DWrjGkkN}bAbMh*DvxOxd+)2 zsq@+2P)f0ws^M`xn?Lz{gf4(Icg%J?Ou1NyP6Wm3vAzU(^>w0sS# z8a1Z%^CS5Vj8&Jzva_5vV^UhVv+~3^?gm8{t>@hN&8K6rMxJ&U%b)MEPYG!T9MZ+F z;d8+R+Leag-WT#lt~wOQ#&Y#B2H_x1=cP;Gj{n2B8T=a8-MpTENO(s)j(wEM$}!SP z&hoQKm+Yia@2kJt_PZ3&_vx7uV|{GyXonUvuaAtTZX#u5qw2Zl3F zK9eM8s(ty4dL<0xz65iMxu+S(oVrprZbBxpjitz@G0}e zvAR(nsrfvsDxDJ$;$^vQYQ=Ee99pMWD~WjF#Yi@+;UGgpm7VXN5QMWF_|Ex3!gws+Nrz|Jc(W!Z%kc0U4 ztnwLcdv$oyw}TthINI(M@oMlzDc(3O(r@P{wXw9D6zC(W=jChuVC(@?_{oAt|z*V$M$ zBU9C!*yw3y>OZcVFBb1-^`Q%aj*JDEjB>!*F~`aTpn58<%^aD@YbsAaM-H~&cf!=0 zOgQ6A>@Un9ah{}F)qZ@scbxd9S~lll9AWFPhGOW-10*m^(Z)p!RrcQQ?`F%ZF&`TgA)L;XBwAiCT(x)>Y}JHf+k&)A zYem#p74Pn`oYeEZy&8$e+ExFAuQk!=Rm%u=|45-zXM;I`s>Vcu;ypi##1KW1E!$0q z-4uw>$jNXb7+?v5j&OdyFng-=jv$A_Vsg6}4;e+W%0tL7{0k>O!;#VgUb<%KhQD@W ziCwVmZo%Qx+8)!s(+D}<^zo`3_Og4uX@eKYUuphHSaq8w=S|&kV8sk5-zwVE)aoEq z=vIi!NacHdBraMRhJHPu_uAoyRU6}VWir`lyjAtHLLvn)g&uM$E0_(r5M5VQs`g(& zM21uZn?x}3WoL8OPb2_ZL{H_;xruHQExwOHc@&Q1>R~cd66ui;BKpGLGQseT{O=*j zZ{zTSxtl+&lepONhQ`m(-+4jqqdIh$qZ*M;J&lYwxB<4}^kCtw$_iDB+=+OaaEK4H%h(130>BBGPo?F`YUwkF+vDJ$eW}TIRdXAn`Gx0n7!@$L&*msl-LhrwAa5oa7R#pSLQR7zo<7tK^Id*27bN4CM<^<8)sGXYD@_M40!mut2&F zovSrU7$TdhMmqp|5<)II-MwWll&M!@nmGgB9vMAky#fkUlmKMp`kxAd=ElNvfK=-e_dqJ)RU*x9u6@v zV5|=c!~VPw9~-tgEYR2^_ZXm{a~j%wIGRSME&Gh$!6-a#EAEwZkbWyn>CS5?osbJ ze-~vN>Pi}t?;I?3($H=xXf_`I9q6o2uEC%%u2YWGif#EY3~t7(*54V{&2MoM*k&PUmZ)hDD>V_g{H3bI ztJrC@ViwtkL4tOGhDj?|ObNkuuekK^_tHR}Z<9=SmYn`L&Uwq1?0s03Uf_MRX#2(g ztt5J4fQ{pE?<}yiSc^LqH%APih;0b{hWfWImKAWmv zbv1DwcujQP4t9|;oU-H&ux2n~f9d^Fg%}>EBss(%dd4Ev+-&cRM8%4A1>eIgTNGM4 z$fIc~tyhah*Aeb{!z1{*Ulm55SU3Rwj?Mz!zK2w*&=6)4bOH#XbmcNWJ^C`M(A%=|1$a+uJM^exjj^nTs1mIRwOrx5;z^#mr;&-1BDIuoE7zE!?%Dk zGy_CrDVJimK(i|$J>$H8Iad_68wQ#P`|cKDwX3)r&G)2u%gJtLwV(MsoM!^4UJ9N0 zGV_EA)-yp76E3uxj;C%kf9%QB1o!&of1ZJ2Z9AWirYp;0($sorTtvwZR!{#1+eaOD zR!2}y{oUZ1&-8&&)3od2id_^6mdlS%(G8GNx=r#LRm)0$ROU%*zqS@!x}iZ#`*o~R z>^5<4P5*%5WOXjRk;z|}qXz<+Yw=S}@RI@aGS0I#-p)Ni#f9(GL`MZaqlccMFuL*T zdN|QcK&zu9sv+B4D=!3*JJTzDV`M<$2un}j)+*vglkz6)a`N+0rurM~4RomeBwo^f zGOC*YL!B`4!(L$i)I^cpR;J=r5)`^pDPDJIn%PO;ok0{4byExg)t3i#{i;}yf+i(XwjT75O(wDhUTqTE9{`b!J0*eHa zw-wGIPzzqX8ZLydIzx5h1hPIUbuat^T08j)o+$O1DsN>e?)?JG6`Y&H8us{%^SS%m z)&_o6OhY(3ufQBzQ}h0V?gtO&B2#ezKST4=@;&}v(cvLP4pRzMPV4@^n5;udNEufB zzk517IzRX-_gEPXB(KrSH~iVWO@a( z!;|4)U6$?Kj~Au{g%9GCQ)YAX*5?y4+Iw{I!f4|CKeKh8ZYkPIl_L-ojTjI|8_l12 zjW}<}n5XDT=~|l5C+s#i4Cp0a)^8xORNHhxIFpfWHnRNv8P`lSWPTN0Td$QRG<`$V zB9KouVi?qGw27M`OMGzJ^uR5q*3*SC^fn2B>Xmgr#{4Lwl_&K-og)8W5+Xnc|L34V zBgr*~FzyL7<`^cEPq1Yy;H1b~fSIXVF!cloI5GENO#+hj_a1WUyGMZ;!kz;?Yj<{9 z`1uC$3;T{_q7#=yYsl_8gM?D-g&RMp%y^<5eF~5X5ovr23^03=^Ln!e z={KTP-fv(XM)G>|_YEKQ|3aF2lBDQL%PWyU^9R-r)$mw7*0p(A+;aLJ`=G~r$P2Ns zyUG{1lI0Ah!hGHtJUSM2mKB)h9o4L_7of-dcc=oQ^`Q*z?JN<`K`)1tpGT3vgZTZV z+cejL$LyxJ1e-K-Wj&V)?;m&0at~%6q3=`S`*&)Q92d8yOss%zY&{rNkA1l4WdUWDve(G=HHH5$k&gU_v74jeOYmQs#I5Lrc2lO=^oL3I z401BiQ_Ufl#zxELFVO=Cdr0WPv$KGK-In0{#YCok@U=Hp*Rz{2+2gWkD^wphFg^1o zIpZ}B3(iIM$l{F1%=;$ww78A-#Bs_`N&1+O|21lY?Z^9*M~zpi4|g%QC;_5PvwsE-6t^BLvzVcG8b|UUA9u<0WED9Ed!WtY-wey`3 z>!m%Ps2<1R_BPK!;5Ns#2c3?552xRpjfd_(5MNYJIiO?Z)bdh^s&Binew!%BX{4L_ zs3jmFI08!5n#p_K`S;4UK=ix$%R&8vvvbQT9ID{K3yx{}M;zeUDAd&*J_r>wVU2zNgla9^jZ(_&X_tq=97Z z7gYL0_$G+m{puJ`&pjBS{Qbul3&rgnkimnbLABY0=I(kgmrLP{U0?aBr-EKb>W}!k zJQ16N#OlR*irw^vw>x)Zc-~BRUNi9_ir+1zm_p)0$;O3t{}$Xmp*EGV*Hp+@0MRhu z1XO>FeGq)^zazeGK?Urylzu`=eUFBTPly8;tmUB$G7w=iSXJZJt;DQBcFTNwGx7OE zaTWZJ{=6a-Ej%VNG7h2yPq_@ivlY#lAQ8r<^pR3b>^Rbk%0QGyBP)$k9s}oczbXgu zN{#aJ6^j}anEN=NL$bf@`R(?!y4S&ejr$Q)uwO#xXM>`IGhV*>%x%mhW(m_#T5$Y( zjT{XoGoSiR>Gc}916O@1Dlt38T`H?m(}7l*>TGacQo&AITHzexFNsH^uI+WM`mWF8 z(3)Q2zhPIqeqUvVs$-vndkU>hiMN)Dwo1&lJoCzwO778amustJocr(%e)a_4l*DJ` z_}W=j)Vajzd|SDdXca>ef9EX{{SvkTTI z-i7c&U<%)3z|GNr&heYsnMEG8;Lm=#dRv~%|8`8Cyk9n2%~qUDRyvI(+|R~6ea|jd zMda^{&pK9}CH_qAGX1T~inp5j_w73YkJgMV*IQ3ososnO7sYJ)$&??djwmApOu(Bw+R-_`cmMw9_YTAD8+|Sk?2e32K3mC>4TZyf3-&>ao9= zxb=Z8)i@8;!LuOtp3Obgk9OQgqxQRR7zqky87IB{++ysBlqCv>3;$=EZV$!${(qIH IdjQ~n0T&blmjD0& literal 0 HcmV?d00001