From abef8df43f4e4ad7767e23c30bc33e80069fc886 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Fri, 10 Mar 2017 13:16:56 +1100 Subject: [PATCH 01/15] Fix warnings in TS due to unterminated sentences --- reference/speeduino.ini | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/reference/speeduino.ini b/reference/speeduino.ini index 99bea17c..6d5ad374 100644 --- a/reference/speeduino.ini +++ b/reference/speeduino.ini @@ -867,8 +867,8 @@ menuDialog = main iacStepHyster = "The minimum number of steps to move in any one go." iacAlgorithm = "Selects method of idle control.\nNone = no idle control valve.\nOn/Off valve.\nPWM valve (2,3 wire).\nStepper Valve (4,6,8 wire)." iacPWMdir = "Normal PWM valves increase RPM with higher duty. If RPM decreases with higher duty then select Reverse" - iacCLminDuty= "When using closed loop idle control, this is the minimum duty cycle that the PID loop will allow. Combined with the maximum value, this specifies the working range of your idle valve - iacCLmaxDuty= "When using closed loop idle control, this is the maximum duty cycle that the PID loop will allow. Combined with the minimum value, this specifies the working range of your idle valve + iacCLminDuty= "When using closed loop idle control, this is the minimum duty cycle that the PID loop will allow. Combined with the maximum value, this specifies the working range of your idle valve" + iacCLmaxDuty= "When using closed loop idle control, this is the maximum duty cycle that the PID loop will allow. Combined with the minimum value, this specifies the working range of your idle valve" oddfire2 = "The ATDC angle of channel 2 for oddfire engines. This is relative to the TDC angle of channel 1" oddfire3 = "The ATDC angle of channel 3 for oddfire engines. This is relative to the TDC angle of channel 1 (NOT channel 2)" From 31836511a3b19e2ad2b3789c12d42212ca57f3f4 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Fri, 10 Mar 2017 20:34:39 +1100 Subject: [PATCH 02/15] Initial closed loop stepper idle (Not quite complete) --- reference/speeduino.ini | 8 +- speeduino/idle.h | 4 + speeduino/idle.ino | 157 +++++++++++++++++++++++----------------- 3 files changed, 98 insertions(+), 71 deletions(-) diff --git a/reference/speeduino.ini b/reference/speeduino.ini index 6d5ad374..ebda278a 100644 --- a/reference/speeduino.ini +++ b/reference/speeduino.ini @@ -432,7 +432,7 @@ page = 7 iacCrankBins = array, U08, 48, [4], "F", 1.8, -22.23, -40, 215, 0 #endif - iacAlgorithm = bits , U08, 52, [0:2], "None", "On/Off", "PWM Open loop", "PWM Closed loop", "Stepper", "INVALID", "INVALID", "INVALID" + iacAlgorithm = bits , U08, 52, [0:2], "None", "On/Off", "PWM Open loop", "PWM Closed loop", "Stepper Open Loop", "Stepper Closed Loop", "INVALID", "INVALID" iacStepTime = bits , U08, 52, [3:5], "1", "2", "3", "4", "5", "6" iacChannels = bits, U08, 52, [6:6], "1", "2" iacPWMdir = bits , U08, 52, [7:7], "Normal", "Reverse" @@ -787,11 +787,11 @@ menuDialog = main subMenu = warmup, "Warmup Enrichment" subMenu = std_separator subMenu = idleSettings, "Idle Control" - subMenu = iacClosedLoop_curve, "Idle - Closed loop targets", 7, { iacAlgorithm == 3 } + subMenu = iacClosedLoop_curve, "Idle - Closed loop targets", 7, { iacAlgorithm == 3 || iacAlgorithm == 5 } subMenu = iacPwm_curve, "Idle - PWM Duty Cycle", 7, { iacAlgorithm == 2 } subMenu = iacPwmCrank_curve, "Idle - PWM Cranking Duty Cycle", 7, { iacAlgorithm == 2 } - subMenu = iacStep_curve, "Idle - Stepper Motor", 7, { iacAlgorithm == 4 || iacAlgorithm == 5 } - subMenu = iacStepCrank_curve, "Idle - Stepper Motor Cranking", 7, { iacAlgorithm == 4 || iacAlgorithm == 5 } + subMenu = iacStep_curve, "Idle - Stepper Motor", 7, { iacAlgorithm == 4 } + subMenu = iacStepCrank_curve, "Idle - Stepper Motor Cranking", 7, { iacAlgorithm == 4 } menu = "&Accessories" subMenu = fanSettings, "Thermo Fan" diff --git a/speeduino/idle.h b/speeduino/idle.h index 158f9488..d5871b98 100644 --- a/speeduino/idle.h +++ b/speeduino/idle.h @@ -54,11 +54,15 @@ volatile byte idle2_pin_mask; volatile bool idle_pwm_state; unsigned int idle_pwm_max_count; //Used for variable PWM frequency volatile unsigned int idle_pwm_cur_value; +long idle_pid_target_value; long idle_pwm_target_value; long idle_cl_target_rpm; void initialiseIdle(); static inline void disableIdle(); static inline void enableIdle(); +static inline byte isStepperHomed(); +static inline byte checkForStepping(); +static inline void doStep(); #endif diff --git a/speeduino/idle.ino b/speeduino/idle.ino index d39931c9..b8081ca8 100644 --- a/speeduino/idle.ino +++ b/speeduino/idle.ino @@ -13,7 +13,7 @@ These functions cover the PWM and stepper idle control Idle Control Currently limited to on/off control and open loop PWM and stepper drive */ -integerPID idlePID(¤tStatus.longRPM, &idle_pwm_target_value, &idle_cl_target_rpm, configPage3.idleKP, configPage3.idleKI, configPage3.idleKD, DIRECT); //This is the PID object if that algorithm is used. Needs to be global as it maintains state outside of each function call +integerPID idlePID(¤tStatus.longRPM, &idle_pid_target_value, &idle_cl_target_rpm, configPage3.idleKP, configPage3.idleKI, configPage3.idleKD, DIRECT); //This is the PID object if that algorithm is used. Needs to be global as it maintains state outside of each function call void initialiseIdle() { @@ -154,6 +154,7 @@ void initialiseIdle() case 5: //Case 5 is Stepper closed loop iacClosedLoopTable.xSize = 10; + iacClosedLoopTable.valueSize = SIZE_BYTE; iacClosedLoopTable.values = configPage4.iacCLValues; iacClosedLoopTable.axisX = configPage4.iacBins; @@ -162,8 +163,12 @@ void initialiseIdle() iacCrankStepsTable.axisX = configPage4.iacCrankBins; iacStepTime = configPage4.iacStepTime * 1000; - homeStepper(); //Returns the stepper to the 'home' position + completedHomeSteps = 0; idleStepper.stepperStatus = SOFF; + + idlePID.SetOutputLimits(0, (configPage4.iacStepHome * 3)); //Maximum number of steps probably needs its own setting + idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); + idlePID.SetMode(AUTOMATIC); //Turn PID on break; } idleInitComplete = configPage4.iacAlgorithm; //Sets which idle method was initialised @@ -213,6 +218,7 @@ void idleControl() //idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); idlePID.Compute(); + idle_pwm_target_value = idle_pid_target_value; if( idle_pwm_target_value == 0 ) { disableIdle(); } else{ enableIdle(); } //Turn on the C compare unit (ie turn on the interrupt) //idle_pwm_target_value = 104; @@ -220,53 +226,15 @@ void idleControl() case 4: //Case 4 is open loop stepper control //First thing to check is whether there is currently a step going on and if so, whether it needs to be turned off - if(idleStepper.stepperStatus == STEPPING || idleStepper.stepperStatus == COOLING) - { - if(micros() > (idleStepper.stepStartTime + iacStepTime) ) - { - if(idleStepper.stepperStatus == STEPPING) - { - //Means we're currently in a step, but it needs to be turned off - digitalWrite(pinStepperStep, LOW); //Turn off the step - idleStepper.stepStartTime = micros(); - idleStepper.stepperStatus = COOLING; //'Cooling' is the time the stepper needs to sit in LOW state before the next step can be made - return; - } - else - { - //Means we're in COOLING status but have been in this state long enough to - idleStepper.stepperStatus = SOFF; - } - } - else - { - //Means we're in a step, but it doesn't need to turn off yet. No further action at this time - return; - } - } + if( checkForStepping() ) { return; } //If this is true it means there's either a step taking place or + if( !isStepperHomed() ) { return; } //Check whether homing is completed yet. - if( completedHomeSteps < (configPage4.iacStepHome * 3) ) //Home steps are divided by 3 from TS - { - digitalWrite(pinStepperDir, STEPPER_BACKWARD); //Sets stepper direction to backwards - digitalWrite(pinStepperStep, HIGH); - idleStepper.stepStartTime = micros(); - idleStepper.stepperStatus = STEPPING; - completedHomeSteps++; - idleOn = true; - } //Check for cranking pulsewidth - else if( BIT_CHECK(currentStatus.engine, BIT_ENGINE_CRANK) ) + if( BIT_CHECK(currentStatus.engine, BIT_ENGINE_CRANK) ) { //Currently cranking. Use the cranking table idleStepper.targetIdleStep = table2D_getValue(&iacCrankStepsTable, (currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET)) * 3; //All temps are offset by 40 degrees. Step counts are divided by 3 in TS. Multiply back out here - if ( idleStepper.targetIdleStep > (idleStepper.curIdleStep - configPage4.iacStepHyster) && idleStepper.targetIdleStep < (idleStepper.curIdleStep + configPage4.iacStepHyster) ) { return; } //Hysteris check - else if(idleStepper.targetIdleStep < idleStepper.curIdleStep) { digitalWrite(pinStepperDir, STEPPER_BACKWARD); idleStepper.curIdleStep--; }//Sets stepper direction to backwards - else if (idleStepper.targetIdleStep > idleStepper.curIdleStep) { digitalWrite(pinStepperDir, STEPPER_FORWARD); idleStepper.curIdleStep++; }//Sets stepper direction to forwards - - digitalWrite(pinStepperStep, HIGH); - idleStepper.stepStartTime = micros(); - idleStepper.stepperStatus = STEPPING; - idleOn = true; + doStep(); } else if( (currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET) < iacStepTable.axisX[IDLE_TABLE_SIZE-1]) { @@ -276,38 +244,93 @@ void idleControl() //Only do a lookup of the required value around 4 times per second. Any more than this can create too much jitter and require a hyster value that is too high idleStepper.targetIdleStep = table2D_getValue(&iacStepTable, (currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET)) * 3; //All temps are offset by 40 degrees. Step counts are divided by 3 in TS. Multiply back out here } - if ( idleStepper.targetIdleStep > (idleStepper.curIdleStep - configPage4.iacStepHyster) && idleStepper.targetIdleStep < (idleStepper.curIdleStep + configPage4.iacStepHyster) ) { return; } //Hysteris check - else if(idleStepper.targetIdleStep < idleStepper.curIdleStep) { digitalWrite(pinStepperDir, STEPPER_BACKWARD); idleStepper.curIdleStep--; }//Sets stepper direction to backwards - else if (idleStepper.targetIdleStep > idleStepper.curIdleStep) { digitalWrite(pinStepperDir, STEPPER_FORWARD); idleStepper.curIdleStep++; }//Sets stepper direction to forwards - - digitalWrite(pinStepperStep, HIGH); - idleStepper.stepStartTime = micros(); - idleStepper.stepperStatus = STEPPING; - idleOn = true; + doStep(); } + break; + case 5://Case 5 is closed loop stepper control + //First thing to check is whether there is currently a step going on and if so, whether it needs to be turned off + if( checkForStepping() ) { return; } //If this is true it means there's either a step taking place or + if( !isStepperHomed() ) { return; } //Check whether homing is completed yet. + + idle_cl_target_rpm = table2D_getValue(&iacClosedLoopTable, currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET) * 10; //All temps are offset by 40 degrees + idlePID.Compute(); + idleStepper.targetIdleStep = idle_pid_target_value; + + doStep(); break; } } /* -A simple function to home the stepper motor (If in use) +Checks whether the stepper has been homed yet. If it hasn't, will handle the next step +Returns: +True: If the system has been homed. No other action is taken +False: If the motor has not yet been homed. Will also perform another homing step. */ -void homeStepper() +static inline byte isStepperHomed() { - //Need to 'home' the stepper on startup - digitalWrite(pinStepperDir, STEPPER_BACKWARD); //Sets stepper direction to backwards - for(int x=0; x < (configPage4.iacStepHome * 3); x++) //Step counts are divided by 3 in TS. Multiply back out here - { - digitalWrite(pinStepperStep, HIGH); - delayMicroseconds(iacStepTime); - digitalWrite(pinStepperStep, LOW); - delayMicroseconds(iacStepTime); - } - digitalWrite(pinStepperDir, STEPPER_FORWARD); - idleStepper.curIdleStep = 0; - idleStepper.targetIdleStep = 0; - idleStepper.stepperStatus = SOFF; + if( completedHomeSteps < (configPage4.iacStepHome * 3) ) //Home steps are divided by 3 from TS + { + digitalWrite(pinStepperDir, STEPPER_BACKWARD); //Sets stepper direction to backwards + digitalWrite(pinStepperStep, HIGH); + idleStepper.stepStartTime = micros(); + idleStepper.stepperStatus = STEPPING; + completedHomeSteps++; + idleOn = true; + return false; + } + return true; +} + +/* +Checks whether a step is currently underway or whether the motor is in 'cooling' state (ie whether it's ready to begin another step or not) +Returns: +True: If a step is underway or motor is 'cooling' +False: If the motor is ready for another step +*/ +static inline byte checkForStepping() +{ + if(idleStepper.stepperStatus == STEPPING || idleStepper.stepperStatus == COOLING) + { + if(micros() > (idleStepper.stepStartTime + iacStepTime) ) + { + if(idleStepper.stepperStatus == STEPPING) + { + //Means we're currently in a step, but it needs to be turned off + digitalWrite(pinStepperStep, LOW); //Turn off the step + idleStepper.stepStartTime = micros(); + idleStepper.stepperStatus = COOLING; //'Cooling' is the time the stepper needs to sit in LOW state before the next step can be made + return true; + } + else + { + //Means we're in COOLING status but have been in this state long enough to + idleStepper.stepperStatus = SOFF; + } + } + else + { + //Means we're in a step, but it doesn't need to turn off yet. No further action at this time + return true; + } + } + return false; +} + +/* +Performs a step +*/ +static inline void doStep() +{ + if ( idleStepper.targetIdleStep > (idleStepper.curIdleStep - configPage4.iacStepHyster) && idleStepper.targetIdleStep < (idleStepper.curIdleStep + configPage4.iacStepHyster) ) { return; } //Hysteris check + else if(idleStepper.targetIdleStep < idleStepper.curIdleStep) { digitalWrite(pinStepperDir, STEPPER_BACKWARD); idleStepper.curIdleStep--; }//Sets stepper direction to backwards + else if (idleStepper.targetIdleStep > idleStepper.curIdleStep) { digitalWrite(pinStepperDir, STEPPER_FORWARD); idleStepper.curIdleStep++; }//Sets stepper direction to forwards + + digitalWrite(pinStepperStep, HIGH); + idleStepper.stepStartTime = micros(); + idleStepper.stepperStatus = STEPPING; + idleOn = true; } //This function simply turns off the idle PWM and sets the pin low From 35e67e5ee66c3917a3af8c64dbedaf8711a9ff1e Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Mon, 13 Mar 2017 17:02:54 +1100 Subject: [PATCH 03/15] Fix incorrect memory addresses in ini for boost and flex Fix still required for developer brain fart. --- reference/speeduino.ini | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/reference/speeduino.ini b/reference/speeduino.ini index ebda278a..6d1ddc64 100644 --- a/reference/speeduino.ini +++ b/reference/speeduino.ini @@ -214,11 +214,11 @@ page = 2 flexFuelLow = scalar, U08, 57, "%", 1.0, 0.0, 0.0, 250.0, 0 flexFuelHigh = scalar, U08, 58, "%", 1.0, 0.0, 0.0, 250.0, 0 flexAdvLow = scalar, U08, 59, "Deg", 1.0, 0.0, 0.0, 250.0, 0 - flexAdvHigh = scalar, U08, 40, "Deg", 1.0, 0.0, 0.0, 250.0, 0 + flexAdvHigh = scalar, U08, 60, "Deg", 1.0, 0.0, 0.0, 250.0, 0 iacCLminDuty = scalar, U08, 61, "%", 1.0, 0.0, 0.0, 100.0, 0 ; Minimum and maximum duty cycles when using closed loop idle iacCLmaxDuty = scalar, U08, 62, "%", 1.0, 0.0, 0.0, 100.0, 0 - boostMinDuty = scalar, U08, 62, "%", 1.0, 0.0, 0.0, 100.0, 0 ; Minimum and maximum duty cycles for boost control + boostMinDuty = scalar, U08, 63, "%", 1.0, 0.0, 0.0, 100.0, 0 ; Minimum and maximum duty cycles for boost control From fc265919df2f19b86ec9b1af426a7f482f259729 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Tue, 14 Mar 2017 00:07:03 +1100 Subject: [PATCH 04/15] Add new logos for wiki --- reference/wiki/Speeduino logo.png | Bin 0 -> 168400 bytes reference/wiki/Speeduino logo_med.png | Bin 0 -> 56031 bytes reference/wiki/Speeduino logo_sml.png | Bin 0 -> 22698 bytes 3 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 reference/wiki/Speeduino logo.png create mode 100644 reference/wiki/Speeduino logo_med.png create mode 100644 reference/wiki/Speeduino logo_sml.png diff --git a/reference/wiki/Speeduino logo.png b/reference/wiki/Speeduino logo.png new file mode 100644 index 0000000000000000000000000000000000000000..7408481e2338b2617fcb13e5e04e2676020686dd GIT binary patch literal 168400 zcmeFZcUV)|+CChRh@yfHAP&;hu>b-hy@X;zMF;`{0tqT2O+cCU z1OnM`?C2p&2t=?K0^z^0W;M8S%WvN&@IL`pV>4q2BpW#a# zU4Wh030-F&FXgl6ea^Wk2YFor--bZ+gLJ{KUM>M=<$}B}di(1J!M1<-hA#M>cUfh- z+?THec*3^ZnOVpk^zn0%(@<7d-nZRANKQ^p-|zedUCTp9zTOW0f^ByT2)LxHqJl!9 zlu>HRK7Ot$syaG4D*N`U?BA~hzMXUw;XTW zv*&yQ17O>?^B(m5pFih$-ue4;E(Q8s{PL9Z&MGb!UA$bp1N>D~l~q-KbRSsl_Zz+a zze)qhMJ4F$C2-TeAKnz;e&MfW{o^j)CqKQ-CCL3JxA8vt^R_QS{Bv#k=bd%^1J8N5 zI0yXgD){V+j1Qc3Rr%XxeU%@6TOX`X*Uaa<`vvr&vjHv!`}e78Dec>@v`@o&-#*=a z`*pQd^;Q1$oxh~}Gp#Qk`@9$f>`1@Q8+-+vI_Tqd$a3d&qzAfag!hd@@Z-R1=M%VvgqL2uoH5L6RH) zt4MhRiG$}m@g2(kFJk&K!ElIv%72$A_^JYUKAHczb;SQN1->AIRsW0dt&PGVSm?$q z{{LkP{8QM~|BLW5!y$ZgLUNYS|E7LCSqb!V*Z(iVx8URBpAt8ED)e8q56B8A>aYKc z@c&Oy|4&i>Pf`ERqy7($>i-`eRb%@UA#6!;ncEoFe$>-#_4kDvnLyW6qO5N-e-br} zwASpI!xzl;-x9sTSnZU3=v#En-Uh;wWZlJ8KZzi22P;WE5)K#ht&bbeg)I)qdgWGa z|L(&h?8Sfwx@Gda=C&W^07flq_B7$c%Oe@ZJs8hK^w1+!pB|#!xf?qzH}=2=9Z92!EhbYHvCJ#BnVe)_=ToI9WAhex_t)d0}8~0EfG~!z5jf zxN0Vl^io~s+fv;4BdUAKgPBVb>olqwUflYbZ1UE8uHtwSNSd>sTvLMBZMF^x#cehY z&w8eE@`~&!dVS*8cmK7%Ry?Gl-GlS7Y5#XIe0$)RnB`^>fue;JKO0`~31vP0=!}C| zPLXU0$S20Qw2Hw84(AvoPK=3cNWtJHcBm~j>cw-1Zxb`>UI)^dg@bQ}e;iVcU~9oH z%9Vfk)iv=hhFmdSv$eS##h58UE7`(P8#q0|KI4T{q;IEJ@v~GSvqR_=tFi?%|67yI z*QvT40#P_R$okXnAJ0AWScLvuXqRto^_8`v=c*#1@^j=%eXlM-5V#E64-0*=*r}@4 zgUfY_gcE3?SHbAIAcib-Fex4$tmFKB#E7vqQ^Ip&+AqbwU;3wj{P>_B+bD?9w>xmR z2XKZ4W{Pmi@tv@nNaG;rq{;0qn+z8|HJ+m)P-chYG6s(;Se+1h<5@}(i&w*aPo6B$ zU?p){&yM`~8AfL|@d?t}UN1Er6p6Mn*V(D$qc}GybklfF6t1rdE9#+W*eqdO7h#+( z(bv~RaE+m}FI7ESGh5Y?<*>@t>fa2ji3Bp7a%z0XrXS`bsqdhRAJNew`cswK^xT*C zuJ^1W5dH2&j)cx&SC*HYhm%k-NtZk1ADq7M=%GH^&k@xf=({jcT$`xlZyA^}_hxth zd$q>fh3)o=ummLigD6?bz3%(oBShsOG!4V{Bi~HqU)kGnq0Hj)9tz&5oPS_}Q4zK{ zq*ZauMei3ZY7o5%ZyYcPW1{#ipnHLS(x1I7Vy1RD~qww9rAdR0;Im1CQV~?8+mQ6>iwgzC=yM z;xtI_c0GOrm2liRP!nxRnkZC;_2t!U`SzGhBFHQ29$W7CCft7-x_W+i)PT@s!=;&) ze4xp-(x|Sx{4}8$)Xq6?o3>cN52Si*X?k46X!_%t!x9KF#k_)FjDuFGewR+!F^~*v zRaq+iWc-wH{+{DPcj(>YPV*n)`=4BsInJu%_?e+lmRs)^)O!_u+SOpVvbY6?QOBh* zyo1I}{*-$}`sSb&2|Fv%=d2$KDBOq&m2$N0U8oY2y^Xm1X(yD{CW?GVDJMT;dQ8o> zCO`Hrlb;2iAZRQr7&M?09|on-)eK z*$njatx0^y!5=DUgtvGQryFH8h1go!e^ar4ozvWb6G^%nP@4EdYFdxyHu<;s*_p|1 zy>6+gYL!scNg}J^fgCok;N|9Aw^iSy(&!D3D<15=NUoDymhy;3ap-C3owfPL%v)F%8`^g#8| zQ%g@{&2KKvcB=GX=y_sY$dXHE>sP;&zCw*&)o8GAQRo#7`1>pgL*uz!OZ#4SejUl; zB$&7O;M1Q)iGXjOR;z+rFLuec(GN=VgvqZV$jl49fFB)iRkZ9{M(j%+4+bIo8Lw;Ok~jKrNS1cZ=@k38Qgpj!K*Es5DNtz z9y!%J;~jqinghpWIe}1ofO71w)l2hyj;hM>A4g%MSRw5>yn)#mJCylu_wE~*_2h+( zL&kF&R7W*vAJyJdDROG{x!d3TM}P&eNWrQ-G2bqHcWqxDSikd*;R^%^ztkEFL_6qk@|W*d{-J*fCyc5% zVau!(`He9Dq4ytsDwg`QbMVK7qBmkWeea{D=!A+;N9=TUew{+j#w%JI|I&sNU|^R6 zC)fXEU{g5}G8|~|Y)>$wggf+DG!k<)=UUJXuvZ$HCM%mAF5ZotN;?w0eGToX;G+=Z zul~bZ!j9NkT=}!&J3?2~f`H;+!{$dboQu0Jn^vJJ)JV;d3|;*Wr|y8%0D4|=&6^vd zEd`Ox2KcXwQQxK6lnp$pjl11H9qD%=*Y8O+D!7L!g(vIlT<#8}meBF#mW)f#-mR*0 zlLLtroRFH^zsfr-oPhKkxc$vVL==HcvUuXPThy`@E-=NJ-lh?+kX606;tH}T3>foabn>HIa>A(}TabT6Z=+N% zFfp%%=VIT+{%1xcdBTWPD&e%aWSTad5*CW(l+(9HqO2K?i~ z-yORbFSUw(aPucC-3*mFW78=U)Em)y2ufQjVcTEyFYLI_35s9)H&@`y^WQ^<-G8F3 zauJ($9C=FGHn-dt)d3>ggZKLTX!qU;e49jgua^u5>8T`-sN6@yj|7OeRc;*7B`t$k*8Z&ftfdkdsXBWaepy@ySka)aQXAmtuaP~ z4tF7?ub%c_lH(J|-ko|TCu(Y8{3ZN-lAe~Z-uQF7=Vy$q1p8e6IKu!79C+v)vljZf z7(~Tved)^DG)zk5Q7wnLcY-f3)ZYaDL?s=bl58MYw5Lr*u!gO}bj`|R;$PFzNN2Pn z&G>Sg+L_Amk62c{^RqacAw*Pdn3O7fiY?qG%k?!8Wgf0E(|rM(7Ylpz`Bh_@0!Tm zAcRbK`T|d%fgbd93TcUm>UBS>xU$C%|MUgAml)UY;+mseXd)c7dc)0i$|Z8J8--K~`6Rx5ZkNG%EX+TwHRHCugdM~N6{%Y@7{KM1jET(CC{6_1ozBGi8Nd0DSH z`tl>9_K)`2l-WNcyoC?&ee{Xz&B!0mW5k9xk54Y~)mr4~=%6Jw)e1gmzrN|R;t_F0 zs+llzf=X~2&##rqpD60w<qXbLB;S;4tp9S_NOOIod=W2@oCunldY~;r% zzH)Afypi&P$4)PJ1jkQC!zoWQt|KOL$|J|yVvCly<4Db&>493XN4EW|f4A)1De~34 zb(N=<^FpMZa2o%J-hUZ?#8vUPtE!xqnehV)w3SfPDFo$c_l`TXxh9yyT&j(9EoKcl zr@GA8NONrM%I8>k4M^vbYC<($2?kextIB2yfbj;;uX!HwW8r3=j?kCGE>73hs@6)y z%0QC^M?8zB1H1OYHuvDJGGe+ddPz32I<)rXj>E7%txxA;XUykRook*pKJ5|z7Cj=8 zB|x^#stw=#J!kvsJN|T@MjO_B{u8l)_OWGrBd0X5O_C+z& zX?k*S?JKP*Rafd4fsC1kn>10W(|;8HW3cbe#{%eQQ|MgZwOWy|xz{7KiZIHKs+=w< zP3mX<(2HdLX|1kH2c<4m^5vhYinI`!`+c2+W~p%bpV*60BY>zFTxX+oKNf!KmyIqa zv-7kkO9m_}t#JI*;F4o&tgVcUgV>R_WdMR4=#3IFZvm@NJN7kfk&h);Dpoiv=Nb}qd;z|aj=G_^#tFv|BZ#2wPap4fTA8=SY>2Gk z(89vrKh{!#-7{E8d|;2$|GJMONRY;K(@iIS{FEjmej)F%?oLUb1-N4Y2=&Y)EGM2A z`Q$f&?47BNkA0S#>gA3vJI?#t=M_Dd{yJ)q6R!~{@MB%!)#1Xg=)N=uufkJ%OF!TH z0?=_T>22wV7~6BxC|+RZGc;_{o5XIPL3ahTn6=bz1Lkpv^0l!WaQsX@XY$U#gZJjm z`9J9ltG_f_UgpG_>&lZ^NsOl^t%_Uff=eEAW1H0$@LLaTR(5>I&lpbhH^&YtIvv|^ zG90NDedp^Irt0!9w&lgBIs7<`MywWnyK3T^48~0g{rRrD2&)nsHL<_k>NS9c6xR^K z7mNj^#i)+{W~QETCf_!6Y)#WDvTnmg=wD{}_unL~EBR1R9h~l1rgq;I(GSUENmCdB z?X?jeLM6Kw_fz(Y#yk2Q!`=*^Twk)c)!{Q)0=yAZ zJ3){@ZB-KgNyYkdjrJOXQMX9wR1{AmKj`(bM|?1{T9k}b%~`9ev)zkN8b1pu=5CBf zV%#qhr)vtEOU#Q7(4Kf09-+}X+lx9yy~ci%&G`ZVTb~9Ae_YiksUL?+_4M$lsSZ7o z=<@X)F>-5Y53iLCaTG*e5sErIe5;@mZ?10j-r_&pU{=LVpha*bGasK0*kN1|x@76! zcFU=1%46m#wWz==gUcGu$T&TBH37hPPY(<9DZTkpa>xO1k>a^8`nx6nGsOxpu4MGt zOii_g#B`EXLNcN(-n)c`DCm^ z_G?%ZfZZ6{GffAre4#akxrNBlYF#~Df~H%!FqwAg^#JxkHIlxw)Qgv@j>Fl34kbe!cbJjEh1??5brfB&fr9g!A z?QqZd-8)-8?lLWeqYYI19Pov|t#J~%&NKDY8z8CHnyzxC{xBeA9_AB#C8izVC)dm> z)VEn^JIHyrJLR@laknNCAOm8pJ0MOJlRV$fbcQ*Ky@lbeQHang*pmm^7`~uPuT6jK zoiG%VW|}bCZ-_?lvSleysQ3;F2R@%zPU$gt~KorKGLzf%(g>l zErP#KP@}`i1II&uBk}iysxN{N@Fms>-O0Jl-)ErWMpio($Qf8oaIh2nVoj<7L8`}`rA%gjNGXUY6TcLm zv&9ZoX{whlml*tq?$oKhPM#3fa3c=7ZgYV;1?J|k{~=hX$l8%$##8qY8oQes-0l>% z#C`}+p0cdN5pyo_i1x$f`ZkRDv>06l5*^X`0sRivjVSF**VI`P*7v(adhfy`*W_PG z!JPEn#QZHh%Qo9
NR@M@dTv=YT_kd&|#^$FK=Zj(z?8~Q2YGs z>vQ!Q)Z3t?qXYnt*hohOLa&|7LtCWMZ_w_xv{{uft|@lDB_*cRf4E41?(rm~fG+|g zb_o|CSp6k($IJ#&5n4SU3xBXRpumS)ua`HG!#_Ts+>i{@V`tk!5XY)eN%2w_eY`>j z_0|wZL6eR?{W4`~Zyp>TbRz&(czONe{VRB%2uWZ75tEyM4#4oOH-Bu%6TEg5FM)>= zmgi~>ugY{IPhe`9zT#HfC-XFV5DpzT>zXk!am_r~-;`EtE z?Gdn#fjcZrEM=}x2k4F31GfXzAjWesdqOQV4b8bTf;;~hOiUPd?-&eue~a>nSE^?3 z1g%5+4;Q~E&i8WoJ1Sr=!0c^3?V1#aNi|O~Ai0#vY7y2$Pf6J|V}Zx(2UR2%BgO_f zZ*FdaX-3jzd#TGG&yEg3Ce~GP67&wKXU0Iq&YbG)B=wb-DQ3fIR^4K)kDek~-V|Q3 z#DgoccU8R0RAXyWbPXzsHH)HRVx#EXf(M?6LGfB-yed!>3o{Vx+gdMt@38$-;?Lje z-2*9*Yz;4m<98A|Cm$yi$vw#u!fQ8afNs!SzeL3ry@>*=%-y-H;G1?6DVkpPCla+) zXOM2}_q*qMtW2UNG^4`F{z7l`E_FT%nbmow80c1xW^j3+Jb7_YC1YrWc)vJ*i?;di z2~)Dc!Q+qbt24H_-hJGVah&-+YND=1w{8b7wiNRCL7QXvy}k?ZFJB4V*)f5x9oEKK zJd0UotSqZclt$Xt`R_|t5{7nLH$===MG4lX8b@J>@uiUmeTdUXhyb6=;tKsz8tpY9 zLIESF3Iuq6K%W@?jTCwg~vDA-MoUTT8 z8F2{KoqB(KGXM=rK*b|zXr%rv2mkxrfK%IaX$mp0BSw3BC@AGK5R~AU2jv9eH<`+U zPIZXla*F)Er?Z=|3~%=_lhj!OsVlPk&mT<;>c26~CWMjB?<+YS`NqJ|Gf_mSB={0} zC@x^xI=SJQaDn2~Bsyg!WagBn6Z{KEZ3Gtr+2^s4q94XUqu4cjp7$Ho!NcZT=Gu$N zakE6VDo)~wh|N%<$IjkAj4VoFT-MSVfYeAHtgJelBp49ddGTevB>p}Ugz zqE0~`lE*CcTh9Kx>5>H^aBPu)7VHZ2zn31e>J-vuaPUcmgyg~^9zqPR2+n;0Ow1^C zGl(_R{*zD4ewb6L-wBUY6e`$r$FIdqtJ=GKVl%)N>^~>H+fYzGE(EmnPGof+3_1{- zvUuJxp!u*Wc5X`SzR&&e7Y*#=!kNQ-sMA3}zRrY!t(&?i-OfwhO$Do_8>kO?5NRu~ z7PW`%FIe}_Nb(hWUcJ%cQZ>vt!|d_q^6?j@T_K!q0ovByk1y7(k!vanUqu(*ujobu zE#86;piu4y<)|5nD1P0>Qb+I)4Sf95%fWlZ15k`GXfLa`e712xaVvVz8QLu!ZmXkI zoV997Ge<(P;|7Gkep^$H&gEFrPtabEb$j;xapsoHYkk*-MD6kCuPvt#5u(uZM}feM zcC0HABu0%U*czQ4u25^x-Z4KrvDHL6&>C|nWHQx1gBnPtPZ3u&B@<@tysSUy^h~rB zL`{@68!e>fGu0UlfW6E&5dqnZn6-`H9bH;nbDBwQ zhbKXrqFjD){g!=l?AuiIDO{ecj^Fv*isgCL(8W1kAxgHdP7fs8FwvcSft&!_R0JOf zYhi(;6dEGoUN&bO7qZ<2Y0^QQkH(jyVR=l+0z8w6xu4;+F@W%KTLyS$W-2fTp>vkv z{PlZ#WzW}NtR(-tE@&F(FEdDm+~!x{#l3ORbX0YzyDz4F(kR{fPS&UTk6{8E-Msmr zcVI_fMbKxh#U9e!(xT73y0SLE`*LTYEireHTtn9!NzAJ(Z=eg6=#4A`EcS}vaMta1 zD|EE`6%X}3{hJ))OnIG0hx2fbjNr6JL;X#?j0sQl&U<6qw^=Af91ro% zX+svZlo=7)CGtT*lW;Os&2Uf0e16OAtK(|_&UNY)QqM$3DiBDs_Ci9Nus-c@{srI) z2Zcs3xrBGRb3>4q^eHBGkx5ahXD0TaO(l4J4P+}Xfs@VIH!y`-=W}e!^Okd!KfQzSOw1sXEn5`mUV~sMET$haJwLuY275ZV1*xSH&%m)*Ww+>Jlwp@b zGDU*La!K&^L}$5h=RyA5S)ajTtLHssBZig|MT%=FtQXIU=(Smj9Am4 zShTybF{3c%9;+v1VX6WhqTFe-wNoKhh4tVjx*8qlpPX)5Sj$z8k8gg_&UA1XV%p=a za~VrrB4cc<;nW|sKbiA!(D546bWogS8t0o_H^g@DdFOJIa*LZ+UoL~Y#n!GM<K zPiUYkYUIr`*^cR5#P+&)dzy=BGy+NK@QkIDB=?s!a7qdX8ybtL&1I(y2(_K>Yq@@K zPxQ3i1N+^Lo<2$EPB#WW=FWE1u`p=#@SrobkJy#3J+WUh-d@ECIaxq=_j2GeEdz^+ z9q9$9(p&cryLS^235{0d6D`%&xh52retaX9&9p=-&^j~=lUGB{dx8d{O8|YqQY0u& zWq4)OdmXP`oB9Zf6`r-*%;kR6)TJuO<@F4gjb^F6>umZ+Jsap{6BPw4vjV`3N)f1f zMbNbv>%ddnX2mbsI%wFapv-cTgfoK;nG+t5h6>+vJ#LLzF!vK4?|0zVx)9A3t99=K z$lSITlyB4zMZ_d-fnwlReMZO!tnVX=+qfS(sd|)%^=!eLP)H<8G?GTS*{KkhLLFtB zMzaD79a-756cH7bLhom+g6>5%yIh)=XWs43T()C;4R_fM5yukUs@^{AL^F+s1(nue zf{}0*GMSp8Utb(oATseNoAh*y#{?tmI2=mtgm&omZH5;a7}Et~!}Lc5?}wfsf#gmV zgwlsvK0Vj2g0dxj#R9lddR8KpjZ<$MmOVF*D`LUg{RV?ALJOn%yF=wZ{xkLEl~l@2 z?HV_CWt`>k;^Yefdp+D3Cfjlv;}aY=k9l5GCPSweR;QNpGs=|5A=uC*TWUa()V@|C z|4npox28`EUE7JJOd$3bj9pHyL8_!&Mj}zqoacNBFE;N)q`ipAL{sD zc%Pu5C}xzR>U@Ma^=jSpSPmf_xMK#!F_C{t=s~Q)?%n~bQpv2px`jF_0N zF_(}TuTz4@s<7+=gE|5+NXmW3#sz=bnSyp~el8{8{NiKUV)}M=!1>3~jzvL4NiV0u zux0O2y|$!dd>qjI%IGCVO~1an;TA7g0uL@r+57T@Tj-nXo8+$(DoOlo$@_~-dt^k1 zQLdejv%LAI>H`WsQLk=%3%{wmZD{x5r7cXv4WV3kiUACX9*heDjggi#KlMD+DznF$ zO3*&>`;Bz^nmff4zFC2$Z3u-%dQstfmoL@z5A)Unl~j73jF^ zouF&)Q-S)Vh1_MDg8C_c@zwo{ww1N`?#K-msf8g*aZUn290S0(ACd>xZmY?LW*vn2jD)*n&H#( zh89dt}e?rk@(qiz|L|I(==W7Uk{*L;7*KmA>BCZ1cqVzJc0mc!Ik8E_ia{ zYG}6;X7t(NP%&|oogor9B!f-C4qTD!{<8P~&Xm5xi>=Aw1TM%f@-bze7DnBqZs`E3 zrwI*sX0a<+_X{Td4(*7GxlfsLEA8lzLdfATNB_9+Dy! z?&L#ap92lBTN|{x_Sx9GKWiG8U@;QtXC0G4w#Q7|^Se>;y1CDzqG?0yG!xuCYT6;O zi(#O_F%Tgrvj$3Iht68cixlJtQ|aNsS%GhVOxFPQQzo1b+8(e(#XY13xo9;tKM$X2miRmgqP`oFo(gL$0$;J8&^dn*pQ>>O3ma=q9$% zE5HvKnx4jm>DwRz+h(+bBd1F*EVKypc53}0z~8N4v6!E#cjrQVf;il8DS%hXO;anD zHDo}ebw-$T?jTcED%&K={2{L-{ZBZC2RzkCSWI={qHT>3QL>7JGrOPrGjn!F(|V}H-pA&sO)MZyOFcRjkd z(M_E%45dH2JV-m7B#I2>4wlSzc(zP6<3iN|t*88>Z>_XdV-U&EphCVTaNjbImmu=^ zP88Uz5rK@@gnKpfQFy(LDS#DT0+8nc9tF;3x%K5FAjRtzkq?r#NL2I2y)w;zajUKtZ<>Xz4L)w-H9U}Zw&#d1bOnoe{xWj1o zJ~O)a8*s8Oy|#{LE4;4H?>)oGyH_A5(a_G)1!d~lpO8j2x*_>s=190zU=xC%-bObS zzup*3RD77;TaH(wC%jw@C5mIIfv)w6qaB5MAza-eW?^#eGiKoly3TbxQ>NfOyG*8D z&)vS0vp<^URpM1g4Ity`nQ!dif3%6ZA6uiI6HazsmKh5kVmxWsZR->g*aZOoEUiUA zpNx}%8wQLtU3lh!s#sfDYiwN5^dHK%c2BQ?`-i8k>yRx%Z|L?fG3E5;8KJUa>O*lkj>Y;55(H<@9%F4!PvpA@ zoyPKuo`bEcp$pO{P&#=T#*|xuSxG%H47fX;$mcJLRb6_0;RL8MQjhzIlltajjzb(E1jUsCFQY=5(e474XOzA4TY`KV_a zFKc8zZ`>D~Aj|D@`Obm+PblS1H~~giWrZzt(mtdOi3d^jdRUxty{SCUR)A7_moAT= zDWacDQW!v+1^1v8Vg>68yW~@BlG~@}6Q~76qef-S#DcxD1>R&cGl^9kB-J^O@OeVF zVu!PgA#IaVon~x!1#VfU;i2k|P=G|IXZT0~s(pU*k*$YmNQ|bm=5*lOas9=_hmzk4qwfK|N?I5YLmzd(%(TvSjW{WG#2t@7A zcMhHdO^Dmi9@uBsGhFE!T0OsjQwXRNpVDMDPsv_hdSYu7(ox_J+y$zDD0>hGvHak- zk}5aV^I9;v{%1ic@VDN|;vN>hG9RzHknplbC}t4WQ|MXee+KDtpIKQ-@KU5jXbyAj zi>NHk89Vf_J4ruFn7{~6szF1#JE_*!MIaVsK(^o+Lkl z&LG-Qa9TO=VoI=xfz&ABn~m5ZHPwqBpFd!@sBPU8?lY9uNVav9TiHP{S?S_69eAC1 zz=IV{u>ElCouSy`j`aQwPY(Rl7hjbCq)*soBsEcEWd3DTzfheb{9S~H8D00XD!MeP z_gTBuuY?@Gj+h}9y&pMQ6x8EleXZjeq^$0KM@&aLHgA9(moZ927JmxNxg8siCg)9q zQ^Zz+Wkq$*0e^L~_!P%{fXCeq1^Gqoooxrt0r8%|r8yM@u(sp(s;UeE#eK9B1<{yM7W*_ylz2Q|a}R_X9#YB3$7T!z{lt zJmLfr&PC#hSbK_;9BQeKV%4+DtbCX>)?DUYoF2#A-;*3QVUK5bY2xAtpEXO~FO&|h zm@+ubygfIJfR~XFyJ9$a--=fa(Dn)dZgNFnM6G?Su9+&~j|ZKM%(oH41B`ff&(BM?`RLsgSjKO~qD8!5;R(sK1D< z-}9@l_?pp9s8oPWQkn1sazNO8m_kAf%A>~@`?a0$^XhvBxyV|IK4HJdt;?df_dDVzZNQ5wAzR_&tP(mn_LLG-cMU4^Hv0H$11On61GBrgQ1o_lj$ z8=ORN{$1S0&9%M;aRNg2#VG~1NAnvzaudZAFQlw24mTnEs7_%aBT0J4mwoSEnEOeC zhnGiMNlIQse%_K&(%jh||oU&DgBvYF^3aBR$GWUnE#a49B(x~YK zj;2Q5XErTm;nGwOH@j0F6pg{on2O@vZ~O%6M4M1HH0SEK`0~jzJJ2$8ZiI1egU&`g z(LIA`PcIhZo12GSE+AeL2>4}MWHmeB3*QYOc(;J#-&^=L>@$1Ewf_(KqSo?`8?4?S zDF$*&Z__)b)J%<%Yv|DW4r2^}cM@!RPrQrp$#d9CXW9?ZKXU^w3HDkZW-O(xeb>7G z+q(dm%JI}Zck1B&I{&(O9k}ywuzetEXMKvHVPG!(xODC<2*2!_IXxXfJ)fKK3ER5$ z;&WrkfMk!NLlE+(81045oqQ{HO}LwYp#Ph4*~lLypYNHT18)GxLasojj-{J!b$0og z)8G?0zDD~J3EaTz=e2lRwy~@uf_5sQ2!4ph_JAz8a)QeW;8GstLpcr1etc}B6CX%Q zpavup+zE7dToOT7y2LhlHMK9l_%UHXAJ=I=wBIYbZ2>`u-?=T^$VFfgL7khfmBr~X zh-x;Vh@3e(Uw83IsK+*llVR=62eZ$^;ZkLalYrNTN0p%@rX=g)_hWbe zPCiqOq0CAa=ukViowAJveCOK7KIC5+M3y;5VCEHI7p?Wvo5Kf68%f}XLMA$0)EW9J zs88Fo2sd)D$xJR$IIelW;N&fUdbLmY>c=S!p&!-YO4%b~cGk%?=|li^g#r3Y zMQvB9|Jq7a%$>rGZdksRvs9c!cfNm}e8y9h-CTpQ5=SJuhI&Q>;2r-N;(6CFmPW=G z5b-ja7wvLiHt4E)w&w;-6jFIyBljS1ZtGxDt@>O?piB^_&}-tL>J(m|${58W(c}x0 zfpNnVZXL##;wZ69(h07&N%F9}6N;KP6*ugKNu=hu6KvnBXc(fIpLr(-OqI5SR$7@{ zFC!!Q?es_*u7=fUD65DsIVa(f+jwe}&ncxg+Q#-|#5YLaaZ3dJra0pP39c~Lj!urH-Q-gYl=qyf_%uBpU%P{o?>kKx(zHAr%b82xq zIJyUrMu*%Mw9t!%5GK;Zd4s~hgjl@0gSmbBJSm0=o5-hNy6njhR_3V{msM+kH`%~H z-@{AOr+L&laOR=;$=35_PjpTZ?m@6^?>?P@t(_AoFc%Xsm^-uhdAH#WaNNRRA~IC$ zv!UIXdxe|gPg0X`qosB0rL_0e#7IBppBP(O2CdPP#5~yjT;!sK|NhTzU@lNN57aM4O|tkT3x5z*Y>@@X7v zK51ALmr^X?EKmkF8cvnBN`J~~0#UKd=b(n28fb3n?@`av6j;3GI1tPrX_AD@)Snm<*I3nEbj-Lh!69$ zsMHg=w;)-QcR8g*W4LFj zl_%GJxtzA7%zhtunkRl3f7vHj(A^omA*E|FIDBjJ)47S(;}G)Y7^qT~D&GnpRZpQo zm!3Pf#0X32i61ar)@XN3_!%!-uIW5KrXUz}Xzb4o=|+_KWXrVf#RMo?Vi>~VO?y& zdB70G@#3X-N#E6(H7Sx_%Jp9vW&dfgZrdaDD|3yCBD*A8cOdz=Jgx_5fgiwy*x*ot z1Kny!=M8nXa-qwMT=|UzIU*jHWA3#>1g}WzY~C+|mg^oo)gC2$D~eHP#oQm?$Rhek zmSaH>T?s-b+fL3X5{SRVBQBpVt(LzA;YWx~iRzTunRVxxL&%fDziycH=36qR%3gv! z7*6oS-{A3t0ad(*c`&V2c5%{Ml>M{B6>MQbINn|HVcyg5lB)pYESkB=*)b-55w)*} zG$4K5Hg!1()pHEs4evGfl$u~=Hc|`X=?SH>I|)qt^r{wNvM17oPUAAqe&dlGHE0rp0+UaD!u2 z5J?mn6*(>R-qW57s=MZyFR@k5vD1olyn`G}7^XJBl8PG#3zE~jk5Hdr*+lC8oq`kU z?Y)6w4^aqvEtO%mCDd#2AcVX@YV$UWZG2&d;sI2hgM@0g?=dqy94>^JS^QlBxrOIf z6c~n|z3}gUnq32Oid?E^`^3(;=|V3kUVOdPJ|t{F`Zg8F`4R^x^{VN~O$;Mq#BKCG{GqpEXiM@H-eA7`+vgQW+mAOEUgAVAR=ybH1ydZN3Zi zp<6E1|0~DJ=0zmN5d=^H87+j+$$Kbs7w5PQCBddbE93p(F&*s&G^d_^A ziKxe{{5pUWpz<;v3#c>p3%`jk$JsY_D&Y?@IDf2RtqH(|S5W5-gr#*02H%P2g=m#$ zh{GHd`R0<@pa|Q3XXPXrvXHF-Av|PDB8$P3qbu_+F!d^RA@>zj|kUTfvy6LxEu( zwb#!+ed}tXH#xaoX;&O;mUsd!(3@AaC1Pyt`mLIKLyB{Xt2;ja!Z+8UEnM)&HRxjP z95|03ZK_=Q8;=n`a}8O~l;z5JZ-4ybgEBzQZgPBxc$7}0%od8Am(3^D!j#CZ$F+BBKYD%WQ+ID1aPqs9ZAk}{hlf~St}VmW8z z(qboB{&}-u*o1W0>`K%vv+f16@kbqxm*zOP=LH?S8dsw3!PMGDXe-Glm(Ju!t%&SR z@Xc1Ntx%+ErfDpI1DEyky);!}WO0VYSXUWnm(?hjkKeNiv?wECjw_)Yr1Vu*4~U<) z-G?O6#KUckz`_UJo6^P$RmgXZ0<&b=Cgux8xWrD&_f|R^vQ4$1dj=M` zCHt;oWr;D(i(EWt@WKAYv+hqa7uK#Av0^^6xCQZ^T^-YnEPy8t)784M{MgM2jEBR) z@249z5`4-saA9ztiVU3g0&RNTVOYp}h0>)9hRbmUxwU25%j}?51?q*Eu))25HgQtR z_{lO^6)sVNA~C!}Qarxl7IilZQ=C7vRJyCZAhLJtkpVb=HPdhzT3r0;!sEG*wFVFH zaD_eHZJ#f@=T=1o!IlwKfg4t>UVqfGjC$CVq)&7|`(U(y2u^y2oWln=LXHSH;!Wz2ZsO;&?z zmo~p{Mzjn}H)*)QR(B{b#9Y#qK%gV^0qG z9=yFee{281h@Q$VZZhLte#hi&x%4w;L9SiHAZW~*ESo5$C>NS1$H&)aoJuEgiOGKH zta;{W48C(yir-lJ`hVtHMzsPnD?ZS(JlYL}{}~ip52{Sxftu=LyOYGg*{Fk$NiR*Q zGsfO1M^OshS`Nt1B?Vak%nh;F)2R3Oc9GtCbsJ;XSl~T&&FA6 z!oAaKxi7Hxgrv6mbrU<=7_*rdvqmk^_~Mt8j*bUo7ge%OkiDq3j?}@996~FTh{u*$ zfAV7mbYXKzF?8h^QljtDnvsvfAO+-=9<6TDEwkht_Dj@6@qGw(;mCdPIuE_ck{!@q z_D88Cv6*X0)_VK~@)EVWXBX_aB7UWLHVAT*m7x@SRjv<>JSzCK=UBgc1?Q-9e2d>w z(~KQA0rhLhRdu(XPT$TY=Tnp<#~zksP9oKpOjkfTnYPi(Bm=u{FLY(r-dp;6(h)KL ziv`?d$;pPa9&W(>EEd#^T@K^SckHkSr5?}A;-^Ow(($`_ou&MN7`^ljqu8t}ssK#6 z=+&U3&7i%agJZE83-93UWksb0gmMf@TtTN#h&)W{(;&Q<+(givlT5DhuHaBuGC5c> zA%XfrAB|3qhZ6uBjYo4HJT04GBkhrK_1u!Ig5$IG#m5GU?p?gKI>9zHnHW~bQf>ao zGO$t3V24EgUQ2#=U|`X1;h_YD8wahk6Ht9RlMSAD(Kj_5sYyqi4r z#H2~f8APw!CP^XY{JO^U-=+XZ=l7l!=BsroPYo9nOM;hI^&4h-+=wwTC}`7_hLcPW z;WV0)PD!f607Co#OUgNlJN6-UP*U+u4QegB3jSURz6cG?;0sVWrey#;-=dAlE&yDseitWB-4=3$+a0e7iVKHAIgkPd3uI8 zd&qbfB49YdbV=IQ9yq%=i79qYv}Q-<&N;mQQ#=gwsHme|$7)dDn*4hnbz~OZ>G5)P z;i+K^w5vdc+gq8#lp1G~N9VIR4uSKMIdwV}ZchR=N|fxA;S%xgTtJ(}pFI7}OEKq? zxKLb6a(mtVx<;0IcLy=vJ$K+qK0lM2Uq2j@$jT2D-2++Sp=Ay}Byr3y`RT*;kK@yM z$jZ0AbG>5NWLK#>lC914A3#Sh{o1PSPo5;84xp_)e`=MnZD zFI7R6NPUIGUsWnEo8K=44bvVdv-ibpHT7x8gcT#ic6i$}{f~+J$9iR*oNPM_eL9?? z+kB5LWcKE+D&X3+Xg1lgwWsjz)SdiFR1L(=K}B@A`w%JYe#-D*i*n0dUt22UZBd=P zgXe^NM!i?u^zf?)40J?1z;>$Jc-|I?-pLCKt!QSOty45;lGGHqw^*J*N-K!Jq55)M zUv;vE-%@T;5HY?Sp%FF3;J{GFz_wE@KCdUc&v~_jBwQ1>E-(9DIEDn4HNkrs&;!Hy zQyl><-bZ#jiOlm2$~qk0n3O1PL6x>t%zb`9s7R$^k*Rv&{lhJ)=MI@}L>gKy%>^x$ zL=ma3_huO7w3y7BR;NVFXWumrWGu%PX%K z2KukfF9sR?UVfqD!x2OMp*U$hO~4l{zMn@=6OwB8XR?t=H0kT8GsuPER8IpNa@}y4 zs5LxPEpp6K2inK#%_h`(AmGJA@l=}quS`XirViic>9*9Zv-ew@&6CEC?_{UiK1cA) z^B@jrFL*IR^~@FN>dVPt%iN&J(&2NU5|j@oG#*c0Bq@Y8F!!H8rG&@ioYTe3Us6l2 zJDihn5*LCu`HW@vlU?c6)LvSZjXJCXn77Jye7Ema_sWM{&7ZeSlc37b+45YPnW`}DP!?T_$6 zQQ5&%H7b8+S^LMkn&1q!XCiHRwz#Fdwr#;`SfAbBHc(_ZkF-Ea$J{UIZYU_;0n)M; z+)y0|gI*2DLinu|BR+IAYoM21h`-l<%JNru#_d;zNWz`^iy}e+GY>zfL=ivXYa`I4 zY#ZOJW}2UV6T5HQgy7?5q=f47`pYFCto8F=`7ok7JD)4hRr}bX$(&H__T?)dBW5_K zeZ<{khAiqLJwx!u5V8SoYu@A^;pRoU)61jqu;Ut|w-}-aC33vS+6G6A4HMM0v#ym- zj`7YYIRkXf9A%(X+~qggJrUY8+Vye?}K;HbLh zqAA?x76c2ISY+tCU%%H~_r2hmNsr;kFI`kFmE5%W~V=zv*s}ZbZ6~ zZUjW6OQZz^k(6$bZV?2fQ#z$vkdW?DS{l6Rj(1+Jz5mbN&wkeN?r*Y=W5Io2G3OZP zIM3g#TSLlMfp6&-BcUF{kQ<3~H(_sV-PuqE z-Qeqn^lv##zpY1<{XH3n_Uaa?|ICb`Nic|jeb&Aqdm|pz^uS~o+L*-QXM6G{cy{Iz zt^I5f_G|p2tW1%SuNm7wo_^d=wQPoUf*wD8Q+2Dnixfukqnx4<}! zaLY!^$2V@%+U%WT|N9X@o2Ucdc{|N@C7kJV-pSp?=mh|sHgZ9P;OK^2%s@tQ#w=arb$9e8HC2oltY?>(#a%w@x2~Us#dO#hGW~nz&b0%yt!=p6?IMD??Ou3 z`mrZ$T@f(xw?=Jo-Z5pnQ`T()|F}rOK!1GRvUsw20rFn8saUMl;hziTnKaV)PjaT1 zCVX!$yMQQ4d3Qi_hYvJ#4`{K>YD$gxzNQ^|S8=bO`+xXo|C}vFGcNg0LFq6su2Lm2 z=K|&hB3jLT*0%1EQSmbu3`3{QaFhDe()`+ab+$f+5i5j7`9fF*ieaqS$%LjEU_$Ne z+bw_{?LhMGNOG%XRD|Y(mr-9J><@4Z$h(R^bfA3o8v40NWw%HoaIY5rTI;eXT_fq| zSv*I$u>6|Auog}JkQD-8&46(0YE?gHWH=#HcdlYQL0Dpw%_^q0FYelg{}m7|2|>4S z?m4HhR;NYtkkfI%t572O2^&60F{#!A%9@k`s2L6b@Gl=jI4cpxDtnW!!KB`VO)VyL z;wlNmnN^C#bNR+j=iQk~D501bVW_j?Wu@2qKfsTFt}6V&V1}zZtcBc5^lQu|8H^!n zk7Z6C2ECDXPLr7?ZzO2va?>yU;FLlYW?xEq0j&CZ@W%OCrQ4I2JH~e+EjVBJ^!0!l zZOmf}MB&4rNvq-A@kQ6-!HX8ajDkkS<0_&YY5i!eBzYnNu$>-qwzZ>lS6|kBn4fcM zvr76fKf=XEcLw1+UOhlHTB}Q)gO2 zp~R30L81`KojD7xLea_IG-sD83h}9@cL5qphId)o&s}FN#&LfDd>W4ch@CrcJoDAf z_xtEMPyJeq;{UoPKEVS!n8wxP~7z%R2iBRNRlJ zN_!)`#*!>$J>W&wk_Yr87`XWWhjUFY?%VohQ9KQGqHq%;cY&aP4?0sSUU}=KwRK7B zw8V7%iN^vIBR>a*s8nKn?>aS$ZV}G4&oK!cbt-)i)BPoxF7?GB`UZG7Wn-153Hgt2 zrWai}G=-xT*U)FQSE0sMp2C(0U>sQO*HAcWX1r)65O<7f;7P|2aa ze--RK%9ER-rMYh!jT%8tpZ{(i<|`Nj8f1RueQ}vWuUC~OM3O2FJq(uEY{iKO57Fp0)j zrw=P7-)<^Ml4o2DSVDulEp0Lh)K|MH{t$VD$b9S+t<_CF%>}Oj8$M0*_{luPE)z#z zEzD1k*c#8z?{+y&)u8awY0=rdF3e@31gH?>$dhSf$w9#{G~!F+3jTh{(o*1C8%BEG zHPMje60&V|BHUJPF;dt!J3{!)!i_7C)zqgxdfE0;B780k3L^edoqi>O&8I9%H%*w_O2Y-}P``ze5w8=t}=IK7$w4VRR&HA4nYctBfOl~tdh-+p0GMf6Pgtis|1_m#0qQHiM z{NuRb6_a5`FXGZr`RUAbGG*Ab+!ZL^9|CQ&%9$`=uG4I**hg!|NL@-WaMMdCR&g&K zv=EJ-i+hH4@j>|&@cup(L>mM&2pt2*uEd2j5w{DkjPia!6r0HKH>18x zDeD=%+YgnD`X0|jsA|2Twq2L9=WI4c7fMM0MV9*Q8(nqmXS!O*U596QkQNB{{pMZ{ zrIG=Pi)Aw~J+jpAdcTvv8;O0EcAv_75oG*cfKYlgcw0q?!rTtSiDV4j{nE;)yP#EXsb6}FIks~>1oF60<2HU(IB)cU0VIdu z{S?TToz4IVSi<+B-?$rCV&+S~x=~TgS7b`1jsg34MhO2A%+GpxJ)>FtDFa|sA{{r$ zw8*I)6x4u=-@NEcVBxs;T6haFKum=nM97Xw{aPA@hVL?+os@w|sBCq<+N=01 zbgOI&c-7XM6q7A!E?=HDuL z*;?NjO`TRYEyUbr^!Bj?YQfnRlf*$dv@1}0V#wD}UvWz6ZLK4x6e^48z9IwmGjgT& zjnn`{RKNrFY~MNQ_Ph*EdN@Vx=40<|M+sU{?_Dz*%$riW7B@l?G&KIkcHkKRj7k`u z=9uCbQ;_`P+3Be&G5K|dIEbdlqN>B(kXJsKuf5ru?0NH_lbp@8C6?QD=%f`T`_>a{Y7%^{3i;6SIr?@aSoi>-eAVe%EJD-++ zniyxSE?JOpvW5ZnMLfdd<0*go-eHzX^>x5`huRfis z_qzZug;~+#fzRY>QW-4R7+S?WO`g#(VEx6e%%5ZOG5(Y8bi>?yAdLLsMCcMKk-U!# z-0bTXwx{jW!1~_(kL|grZrx4a3m7*5#$)=ezps@FaH~UM_6f?tBbrZV83?qPH$5tD z?bLuu!(-YJbR1})#yj@r|FS`V=iC|Ic=|a}*z|Ftz8zVLO_d^4HL}p&0TytcRAFEQ z(n{0%;2g~OYJ9_Lrot3bSi4k2_Y$5R&zyR;3KYS@+L$OU!M)CGLUwKi83RT$py)({ zYsdK$v$d&C-~MC=HfUX3nrNq^_9L9D#SaO+efkH}r_)5nC9e8~{;UNUpXJc~%ximL z7Xh%#CfUs>24L5oGZm+8? z2Yodra2@V8Y=KQI47C27tpMA`N#Jc?5ewJ!e>e#yqWrz~FI!+>KQ_1q>2!MoVBV^P z03PkliLcb~wAWn3LxTY3_V=@#*M6RBx$o0krS@A4r2C-cI}G#OyC`K{0!&i!b-z1s z-t6a_f%?7jcjJzHhJ?>^5f9&bt)sR5ozjaKk4{)6!hi@5=d%i0C=B}%1oAfzo(!fR zHW^O?*uWoi8$?}(`q0tySj8`*m3RMp`vsex8)khH1QQ3I8rM`H4IG3@UeldCn_{s4 zKw02+k?>s-t(rb_$LqI$F5I<(#9^G)`xK$)@PF94%$g!vCP9slLF+nEwOH+EdwgOZqY}zHl#pA8)@jsUsxBwOOP0whAoyM1|Qr9~Aw)b=mU9^7!=$s>1Ve&BN zFbaU0aIV55xc3CGPF;b>C#u|Zw<`o*Yc)l9aU-7(+B%A=MF-I;A4=NNx8Go?*it{V z*0QPiigIu_D@s@(T*P~`m_r*N1xH9rM|a;8`zjGh2F(<6i8hj*z9UQl=^ipJ-%#%+ zv-`;CC<7}W#&(>omYSXg{%jIl6~l`ebm?~$fg#%BcQCgLN#(qY#NW3?O?KMiXQ{VE zZQDg&i)Rg2O@>%RRcHO*RO_s25L)$nMHXf7+gE*nIb#{Trr{~1BEE*GUAvh*Qehi^ z>eFE=#Q0rUuud|jAcA~58Yw)32~w0lKKK9pAcc+JE~*#do^Ws-n>7E2aRLC<{OR|~ zk5)^A%1sep1+JBp*e`bkn~rA7**5PfMF08!O=yuIAUC(;$~SH>6bzs)9Q0WymnczI zYX(V^DMzaKv_NabZrZ5l@RDdkw}swl1*>Mu;fFWC_r^s-XqZWA@L45|JVyL0Ruh zBk!MY7O>3FPT2x!1P#v`CMO%zy<@S@_S$&sUte4WjFCv@clIPwQxhIiaEjuNDF>Oy>w+Jg!1 zlygugqN$;N@P)wt+|z&kczti-4k^rqnU@=GciL`WyMsheC!qBF6e2XT>`4mFcipPS zDmMHklMuVjjL5e1qi5Lu&r<>nE(Sqm7T~Ezhj|Qpb%4Tr^!gdcEh;7%wZku-1(;6j znemBE`&n!xERi$-h)6sTbt{04$~aIuT+cei84LfJRXjxbbr_LG4F=dYcUl_?#kT+b z0RHpC2E4%UWnG5YmLUL>#SCi9dvOINw9U_0kGKps=5^5gYmb*OPoG z4HqcXt;6!GSPT_6cm@JcfzbrMd@MB;c3aSQHQ3~qTWDsvrmgPB>5||?+rGl=Q<+6yc=oA9yIWD&1Y zxr-iLy`>?d+_zlwy4oWjkdG$67n_p`9MuDv*0#AmTGt`aV`FlTmKmx+dbzxz(@I(8 z^u2MXi~>?B{plA9{g8Iy7bQ7c^)--y8MG}3n$AMukY&&=#Zutu`6MRf#e6qa+f>OGo#!rvT1Z z?aiO^4Qz^f2}fUzbs%iBR}!_1gGHWr zwtRJ_FSQE1bbs`1K*J_B1y@ zu@CT3JIEb-X68#1!U&1zt}$tg{Lr&+j^ECW)iMs5uif<fZ}Ut^;-Ik^R<%0 z|KAToJ6Zx}KuJ_+Z|Jm1b>#5N zcLs;9d%8j3)PbzT$Tuib#M5RyG1w59{2AyRDN@RRu?->p{pYL>f-~A@hTr$R>(gx| zfXd!|Ko0D_>(BKc#yGl&$xh?5KrfFyq%t~hw@gc*96nIiwnOF3B)`@ zZOLti{Vig`U$20S&;h_uVuHBpw&~%aaJ`cG(Na^5>j2<@1vS7OKvn%DFlv|?BOQzV z9rRRG5M-GfsB%_8?LPnb#s9Jc{l5jknl4lA*gcC1_>`6*{+brXrtiYfm4hj4VL}i3 z#rVS;P+*v1m2=4=u)J?lcU>SAmi+5u7EmLMN%L@tAABRMnUJ)2?NVAZg#oBE%4W(- z7s8Q4?-!{8Un+`S8;9f9gFJgDKx|Br!tI!o6-(RYLFtIA}=W^5+*!sVB zN`HJ(Ecowl-9yaKHiptDXp*u`D%|uhqOa8(b4E587=SQ~w_jQVksJryeqF4)0H)^nzk8LUq+Iad z)m=d-KtM0G4}y#~%aBf@^)Y9{PLR&*eZFYb1CK1rqfY=VMhfbgwze%pb0@tEgHta7 zu5?g=P9rWj_t5xxLutKLFERTyFJczIzlw=~Y`k|k5&J+qy7Lx8OutY+i_9(#d*gWh z?aF5?SF!S3dl#vS%nwZqZzcQ=Ig zhIEB`^h0?I(#%WkzkToE;D1T>b_;;JyT8WeC+rPiNwL&x%xhPgnYiBw4jI$!b$-SI z;9W_>da~)|@s=r=)0zSa9uvGaK0Qvq*gjD%>VN1zptLYgQ)C}Ukp9OL`4~N*E0QD> zn%4bMBjP6co_}2B>G%PR39HS@Uk8?F8`>dSB*z&kMxn@gAmFmGo!}? zfwPOpPd}7DGJ1f4qnkg4SAo#_F!fq18;yHp9+VXaQEbH9Se`Cq=SyjW_foPTj*m$= z7Hob7iShZ(gZ{tU3*|F$;lFq7Kf42CD8hUD81Zbl7Xkh%o{R;(b|?Y3wieX;HArnqwaP$!mZXh0?&3x ze8v+jc$VZ0;3aSX%}WTR@l?`1j+!WY*V&#g?F$B;VbuGxU#c243iuxW-$fcI$sZHL zqxT)C`~V%XNH(DdAr1Pp`j9BuKlYyjJ_IP-4(b%tm22kt1fp@5c8gCIiQ%J;kk5DV194ovM%!d?UgAYL+Fj#Z7}-sM6l_vMf4pT!k8?FQL_+~88ij0NyB=E=gTo! z(}X(k7ebW<=lPe=xePS&C6O-|J|N(K{)$!|2uGDsZ8e(B4bIMjR$l=l`<|-00}aKt zrysfLAh5X&u*=5 znU|8XV_qrvVRU;FRO4Rv-v<1%mjCx}_MZ=FrW4YLV3yPJwcD{8gyy?$v-2zfkPij% z2{0DP=ERA0&!58QeqL&}#)K*F|1}zCNP7VmlwGd$esUB7fw+Pbxw!3q*6;szYir`% zO;PVMka0xSd}zifX$py%eG zibYK-lJEiRS_L>8DhLoO=J2aKb!?HnL)$NE7U=yC^%4akdMNciSL^wU``I{w>F&RF z-AZE4*})X9r4%XY<^SsX|MU8SKOGQ3Piu%3arVQ$OB(n!YC8+n<-3^l-%v085^*XWJ^gYWBFU&q$yu8Epxeq5Ro+0W5Ci1mBZsm!^Hb*(+6!u8KP_(ZAdnw# zo@05YHmT=3knBeUf<15bf4>H6Q0QUrtE8KKWn# zEp7x42HG^tbQm;yiFz{7BI4c_sd}smmCPUcUumpb{c3hBQ}WempU(TN)R*79NJj%Z z18xP$X3*I&u6_2YB0Jvq^&9qDAp8ulh!38A#A~a|h+q7-EBW_7SjkI0aXnUb`{}3v z`R@?2HbDb)2O`s*klM_^+fSRtN&0Ir|K7d_!}fLK+jc1I$PuH~v5G!yk_n&1hWsFL z3!LU-cJ6^9`S%^gzin{=-gxh-E}&rM@lg5Z$_3o;&hMI&Q|_4uSn@YoK5^Uj*?n^~dc zSrVjJ+wUO(sTMe5D}WF)*?peL>GE3Qzxd+;EBMgU+yVKJjQ}4ggtN%Ks8I=CL}=>= zB==N+MND2iA&Q_;-}A(&4%Pc)r#^wQXdF7NZ#muTH#*cv9ivU(Xz)(bkIqWl1Jr5s z0xY#*+5Ep*zTp|(;Uc_20-A56X7yLxl&=*w@j9 zUs3)jE#Qn1T1W#w*v0<6|OWHrL|MWUqxl+!sBSYOS;^S>Q)@)p-OoStznKOjmuvv$RmyaMo9hlPa&0p z2IWn7j{iKdi{4CXDPifOBR-0d`KHd2#2Z|44zeAJ5;`!2hzYNX4K%UJOFG1?v_DCNXE})4{VMrnF^b+jn3!n85FkF>S9+n zU~O}&uI;-`L>&0gD?^wy_tcN{;0NT4X}^C7y|W`_>3}Z`Rm7J|(KA_}X zfkE(0=rEK{2z|YH1&Y^&0z@nZXd(b<@+dN291H$f_H466)uE~bgTJ^NX5?L<}CtK~p@`lp9 z9|ZG5W2GssEX5jzwf#*QFdT%!n0dRMi%GX9E(Tqm%IJ&hI+}9LDIU8IZ(Z7}$yQmf z7k6C8XkOZh-ekS+7O%lIyo%3}VQFL7SqmH>o@HG`r?>@%I=Kc|#_e(bK2Ru8hK}W1 zBTGm=aW7tMio)WoNP4}KKzq!*GkLE826zEEyCe;;+*ZD-xQjP7?9`njVY^0Eiy!sY zajOsM{OVe;xY2#(4tzm--E)fMVI7M8KAA$XTJb!W3KE)Y_eA#7DEv8Inj?EhN?lYg z(C>qhW-wH6vC6PCaHbi14*~g=)qxC7T)J)kGzix%D6;H2WF@tW5VIJ_Fk)iY`<*=A z1JL{Z%o}J0N(Xg<3+@d3e$@^PG{p9o&4!oy78=x%Y}+BYk?cf!%Y9=1#rk9V z1zx6#7RhGT2*ZfVcA~?BpWtieRH8Ry#y2jHaDa{&MqD*iXN$zY$s@f0Sd@;u79AzB zeKpokn**T{gy5@c+z0Z_)6fK~U6=zZ|Fo*X$LL>%xLT{C#4#R> zdXI5F&_r^G{n7<;)wv#k1a?ADUdS}$Ue*U<%w!~X&lBP@&RynlgYCjxxSB(JMFyF) zh7k?r9=7d}&F{c4sJ*L(U$&i{#lTKb;J1S-J5NR_n)Ny4Zi2C0v^9#Iw6xDT5NWI} z(Hx6-QM4-4PILtur@aSjMQ^%WcF%VC5PkA(3w-z`$L)*;VOMvDv{Dq09i!n42V(6a zFRJryv6_#*H=G#oFKE4*XZRh5*>3zq(Ovh|Ee+bDQH_0f&aNe`r?;dy(k8APYwh*~ z&3N%m9ZO%#_@K|H(*PC!ot3W0&YV~YatNK@Jq&E(1W;5WBr0i9z47R~lku1xg9~@L2z_m{lJ-ba&p#&DB_tRx)03Q*Fm9`;*aW* z7XJWVImG1a=a}Go7;bZDPu$pP(D}Zh_A3yZBQmaiu+^P~d8%6M-0f<6n8Qxa(!`z# zNk9nA97`e9b?z;@&udR9HlX7m`_8(F`^=<6XcH$`D0&B@OaxD2Ag zlSZEIYA;rnS+LVq-^27wkGH5;u>3h9K4RMc!$1PlT8F}(~Blq$K=sG^!pfj(R(GQyKW=Vr=WW{?Y36T`(-Wi^KMN8 z?oP`t->s1y(##K&N8X@SVyuzR+;be50w=SL?1!)jj&-S(A5eegG*w3ZQGEJVruz%T zvL+9-ya(UH+(co4W=zBbnZ6SUxg`W+)8?6*CdFKuX`SS>3Ez-TWk4S8RGOx){Oy#H zVdmO>F&9=;1osDDNNzi6Zt*NUOF}(AJu9wy*){vB6I(Fqb-hud@mW=s*_)&1iM0XE zNU^R{GD6xM0PqvTH1H{kt=B8uO5~aKP+gnl!;moQg;BTk;oFQsRoKIg?><(c0uq!S zPh^aIzz)^T6Hzmf+gfn~$tR!$u*EVQ7Mo0!gjv82+BEI)OuQihjC`lMaS{=q!hz_U zRZxv-t?Kb-lp^DZd;62+s~V1Ba0pq{DVh%|8yUrN)mzP6w0d%-)4!__QWMfWAs{xg z*+xU}{1_Qgl7yY79j>c!Eu)M98K+tq^F@adUo2fWPOzBHX1n7Mqrd}$^DaH#dP zW&w$Y@6Iy|4yn=b(rXb=H5fVcPiw{9h=|?mfX*MchQv}t;F5M zp^;vs>3rYNz0qJ!;CR~)q`9VLUFsJ>EvBAWY&bp3!b#cCx>X35qoLR=x=6W5c4GLH z!t|=Q8vxK z2Spvu!P(km*o$O-Ucsf?4Hp>eynWhv&N`> zqC~Z?{yr*69C_3}?qC6kgz6;z1l0Lr3A3KYFl-mwlZ#!aS=g_P8_BDdi@Gc;)4Nc7 zcp8G&tlf$+54zmZa%{SJ%w^{g_bDx2R<5LLp5bS5tlY%#$<7m)@w~p*G5m#zu>pI1 z5u?O^m_~DB1|A`K=3_2!ip7u`h1hH!UzP^iD4k#gQuloU2qUcy(ITq-n%)uG;X5>6 zwdxn};d$(su4T-Ns2EJ;XDm$(^Za*d`DQ2Dg7wWqk#P>ZV{XjYKgl9MKXG`Ixd*hqiPu z)C$^!Rin?$5;KUl5*IoYpAkqVSnf7dAkr`_Q3-b)Zd|NHJe*ZJRZ=Ml<`tgLW+f|uS)4TMjzmY*OwsU-IEih3O1SV? zQNqa)`@dN3N9&WuRN&5nhKyd%f~qc}TkGb13y1uh-LuHYMY^X*(BSg8o$YN*bAJ$5 zf=JM13zP(NJ4klZOZ%lDIR})+?Du&QK9Bb(P|1m`TezciZ+J6Sg!_N?rBAci{+XaU zfIdXNhp;BasFY^%<-5RLFhS%&@|m;xkkM#EHLegh&m+&iDJoA8$RFhrW)-2)*p_>C z!SO?-YVpd8>x0*za|F$NzNEu39!->Oc&|U=6j)Qp&mwoJ(mVtGw^7J2PO|Q_bz8Es z>ot}LbN2PVyzFXWy2A`yDJXjRU8i05i;+^7c5UG5UKFx{A&Mw##MkISAwn+VPtRJm ze6Ka)C7JOH{fJ+pxuGiuZ&*2vnM+ZyIEj8AOJ76xJ-F^6T)*5Yk3~Qh_zkLmAF+I) zVs6`Ru$%{y2xXbezz=`$?QCIBwCJJzL454PosP0XWh2BE8QZ~ZI@>E0NPZE!VYU6vG{s3WHmGnJ<>jxiPmLIhdnPNZE5Ac4z z%1>KqtVDZWr1p86%w7`f7kAZ2i%xf7Gk5P4n-|>n2P^h$#_~52eW4d<48+Y9nvb`8 z+?&5lCrkKv-j8e{J1crW;S0I@>p9hq84ng&Gxj9Pz4c&K59r?0Vw~HEPV=>X4unJ5 z8gL^Ws6fq;JZ3G7<|)U&r1*rkCL5~h2I>Wqr`8lD32M5|vWMrQ9p;bKI9Xx`_%MY0hnpdLGp-?{1vU3v}ru)NV@lTA@?_15{IqEP4D*HpzwQ zv-ReiyAd?*R$$OCcRVGrH{UVeq`ZDqhbQ$cbo2+HS~h7v8b0(n=pxBcLqwFFPIAp9^y(o;k@0vQdD$Eki z>d#ZITxU8K!8v^>P=wu*$PdRy}z^ zU4agL?Cl@|%g%4Y*_LT8I_>}+o8)eAf-B*@{r=nQL_cCP=)mve^mh6;cQ%Y1Ag}$zG}naTH+bx3BB7VKh$IBOP!GLhK29 zSHyo>>oA1Jd9p>)O7>O36Us#8U0ni`9P0J~9(Vk7Q0KUtmyjH6*-|T2DJXRr4Tlw}tfu zFOlksWF#t`BQVQX7jTOMux|$-tdm-a-Zus44T~!(N-I$VeBK=M_XtL$AENV(#(zY` z&k;Fq3YM{pT$tc>#-W1KFQa9=1xkX7CXQy$R+aDc5a2727=qr~NZ>b$1ir`U z6wIrtd0oR-_9$-osa|$&m!2$!cGkD(?G0<)JVLl_m7O@__6bCMq{%PaFzD3$rdA?ZY%5>@&wT% z#6)FAGDtQ}xVr!T~xM zy!Qhb1h~%tUpG05A$j1{@SxidX*gL<%J)^gqLT`u7YSQ>2MWgB=t>Gs^@c8W#6UIT zY#nNmL&B3WX?f~S|DIThqt&Rdhri)X8D=1LN_7z<_U`k{%6$otH|yNW&!FbG-&J0* ztb8Cjhv$u7a)O1c~PQ@i-Pj8X-%N$dJe4;9p%n~fXJG$ZN%AR z*?HO3qkJK#qXaSprMMt2h~z__XaOV&;)TGe_VCun9TY*#G#WbC*CBpe4-wE_;K^8a z@>^cXly8x#c-WBhsB~b4BhhU4cYdFSxyDG<;&2~61LAs4MT94dz9`|KJ2zcw`*=x_ zLc6okYKsrwkG7N_@xH6)@z7CWAg3E(3Odmk>cMI`F{{Vv{a*U^tjaDS(wI-eC#KML zm4xT~aSQvrFeeoS%XeqFsUH;nZqhSyS|BInE~2Hptan^ZreRsTGx^^92nn4?>i%A^ zrU_agYV#sbLir0C4gHrHh_{F2T3$P@R0Wh%49tb+Pq#JOMe{=8cqwB(X(fysX*?Fq zD;>cF86UzQVW(RyxjDNQ0d%XReIgRaqQ4qR@#&{6I67goxjb*(CXK(eU3uN1p%t_H z4mcTKjwK-|*g;37AIvZc!A1S3VZuOv^cvht8QL+%A7-+=@0CM$gJed&wi@y1oHyexep?Ns#10k{NgM#T?eI#HA~^?>q~vLSvFrtv~P)taUy0Y(uZm zG`XW>+sX48JQgrl5lDi`c z^?Yt4^P5NfDY!nHvW_jipAMeq=&B3f7t?66-tFF}ELWeZExcdD7}fEKVOH~9l`ZSN z=lxQsH<1r%PoAqTsed%V3gN*~+~dC0T#+PgBsh>YjhPIIe8CbaxL^*sS=4i# zTMXRg;Csl1cS$0jlBUiBqcV>*sSR@Ep-U2PJ;?nI2W&ki|gg|G^HVAU`J060Ype8#71j3oJ`Ol*E@V&yq z575MsujrQNJ!00}HN9W3hXs7T)ivfsC0WY>wxPpGy*1`_8-9U&mqQy16Ek&LdNDGJ zRaPw5{rl3?9eEiyyOsx?ZV6p0aqvcg-fQH}v7`u+`@bT(s7H~*`1hdz#?y2$;RTWf zae9`s7vC6Cti|fh+m~zOMJHgrYNW;3qkacfd1?3|r2SC7zj74iuzjiM|x>1sm_m3#5Op59Xo~*Yp~8F(?Ja+OLg@i)Szxfabx})Z8qbZ@EZ07 z0gVBSGx`f{eQDFMq-vs2xiPJ&D(&Ij?`mp&QYxfp5#=^bFC=8(%Nq(Xe6FEmGa|%) zJ3X9IG4cx^*~7RnFo3-$gi(vv4j+1v5a;uuUN3~gsEFgf^m zBDLq4Cn*8;u0j3|8-1UT5;Rr9F)N`YQf#6Pl|}N15&_XXnCcuvwXwIM$LYP&Xiv?( zjeNKIUWqf@2!%P>4e<6idGZ+C;HeS(BmFw&&Fzxp(2V_tC#VISgqbYQG z?IIXR2HNp*%unk{KaSr9+dC$>w3(k1XFS^KlnQr=a|aqcbwkGHIyVc<#w6l2fIUQu`TD9z9)%&uN*2vL&&qMH zrop_%xLFLnR21Bsb%iHql5g>wpD|t!*cCkKc^ZuNxs>i#XK&8#vhOR+fflqmW8lFI zX)l$T)E3pT%6Oji1tt^j0)s)ToIaEguNMTQO z9_o>?8RDK;C{FZP<+mJP4Bb_h&mF{PLh*_@YBvE=^O^l_&l}4Hvf5y{h_lya4G&lw z<~(^qLmxgc(W(WB00lX3oLL=S%xKMH+g8HKm6b>?9Lbw4)torNLpjFfxgBBUwW~|8 zTmC_8LGZpHdJIBsI)=SY zd%gzY!yMUoK}gIxpE@QbWp@9`D1=%`_ee#tNK=QGjEV-%zzc#9J8BBiPQKwfEmX)q z2O%EP^+?{6tcuaSB-=?V3iO|2q2x)&n}wqjmM7lr2W-GLE3xd#_~P+>yb}NRBFLbeXMh>G+Mb@1Nuhy`fyt?yxZF__L`YK%;w1uZOL`N z+-5LjwF|dl!DPUvPa8}X{*q<#e1-0A{GE7lc?C-IK{rJwbgugJt&mRKvFNJJs99<8 z9tV;Z?gaMEDdginIFFC@{>5;x$+yZcgs>!^fZENp=V)OdaGtVu`@uEmHmZ^~CX@2R z#)K#m5DuuOI3WP5*E6nFbg38IBj#hrR(ZFRnRTF3fb1dikq-}yiRB-BS*vlkzuu?oYS@=#Yjug?!mRTg;^EMk56NMj+N6oiO= zar`7bNBQ2TiO*!l;RT9FRp7La*>?rKPqx_fuM+4}OZZWs`Rc*r4}gPNm{=8_mF&On zF4OO1-UnqZEE*r_%6`sTjQqVSd!W9t`0%z;6`b91kx`(v{?vV)KK~XU?`7{cw~+Ku z2~W*QfHkFz|SF0x&zxJ;&!IUt=}h@YVD?G?AD4)D@`iK~$$FX+6=QopL0G zL@i&w*}JK`{4S->44Gclpx1)|KwFO1Z$=O9?y3OdU?44 z4IdvZN=p~+Z+6t9ecre0KulOgew+=2-b2>-id)iKGv-H6OtBwPF^s&twNzCRGC8?= zY#w}@S%BylRin`^fyog;Bt{|Asg@?%dejQ_b7>NH`3Y5xjP&I$*3$m;V`J^Xp)xyjnBEOQDmlUhr zetBrCCV!coHg#_5CV#D!w)X4%3xY%gYay=mv*}9iajDGjIooLMOet@{LBt$Ul)_b& z+#H09`%*VPz^%@c!Nr&BsJ{ds~89eRPKhtEUhyveq8&DMaEE?Wxc^z@Suc&n!_~UP1nH57S$+amI``X5*s?~M$2~qO9OHt2S8MLNs;HTz)0X^)D%j>@PC-pd*s#h9 zPmDBls(E!VVX^S^Xh{a@lJ9t;_(8{}&b#!{{2~}d2@Rf2+;+EPnetmu#>bab-Xdzf z%yOw|u`qEtiu4aq757RCulfq0F#<0cI~(-XYT!UNk!KR9FQaU#N~x5$v-FjGx`pc^ zrR3BNw{@P=cu2eaOKehf$_IoEf9>4{hUlVi_~wdJ&Swb-y2=u|M_!{%zr~rzUzL}& zBfJ`*IR^^noN>fbkkiZfjNr>=o_C(6_&SgBJjyNr%jc5lq$s2FCp$XFaz?E~WlDn> z)L5&~u|$p8efU?WKgU#SHo<=`i63}Jq1R0LsG^czexSB@7umul36PDHApMII=%BCD zl&U$ z1CA_@$Zot>3$~8aGuSebd_ zVCne4@y_lu{VT*n>=S)z!4Do_#rbs#!maYno;k>sTv$fQNoNtXa^oWN z^TE8~Y3Zv{0JuhY#i?}eNbOzpg3fkTPb2FN^nWVs?(`vOmE`%o#gPjj%+T#^-^q0q zjyjpqzgCRhf)T%aw5b%Q5pdL?rd_@<5Ssmrz)Z<2C}|cJ_24;RduX3eT?}L3Ww$@C zye-ZoyZ^fdqj_3ybZaSTK#j19l4>2t58A1c#Np5e2ENci#w%DriLqZMon#ih+SSOt zGfb8vII08lO!>OBb!YN^<{jp(v;d%Jf>#mrt2c9n_ZD%Pu3>kVQuVjet5d3CK%P8-dy~cKG7X4$mDVV z4AuH62ecQ_^7CG?Q~nD-Rq~gml55htlG>9cCk~(;A{`+{G#E#xh4-gj-2#pP9r71! z#GFGC|A5&<08@U*{of7ao_j+BX?ZK7&mWMxDr{~+PMtg8YJe6LQ5F^lk}$zELFlhv zVnoZ)2A8Q{_{Dr@?soaqubKEGHgFp*mK|0Fc{V{>6R5IC#g~;+02%h1Eiyav4@RO6 zN#t$vgCI&GSH8d=APS*q@`jhku`hf#<~YKl8GK*giJ^UeD%0a|pe_k1+W6*Cafo~e zKtooy{bb$v3a)ZgzV?4lSYG~_l$aQyeL8*rb!@~$Mbf{;ZMOgq7&XB1@&Ph$w$ufH zU#3_uP(RUmmaw4dRaV1O#Mj*9hIFnb9^babA)HMj zd~S676TmMu2h}0>fIdcuH;Laq)yLiIgj&nUGnFDN4t(nLDskz}aAOPa8uqpQl+j92m;WL&5h}-Pf;y)Q z>qu|0;h=`jbM-?ELwAhFvuIty)e~xMMLn~>C#Gvaj0VVgU>NU;|A*{*&RP`2&%T7e z=R`-nem4G_w8$JWg$7~f1kQy`$|p6>0je)^gKkDAM@FLx)MKXlAd|#?+M@9Eez*Q- z*#~t#83Rj{tl9D4*@+g!hbuiLD#5xjRQa@}pA9kL++;4}EpRCL<=fpATWZ1JwnKfZ z7EB;h;}M?RhZH}HP*Mv=_6?JK$ylW;7Pqc1ka+81K&{IUcQhq}c-ro5H1Ty&4o;+w z3MRfS z1FQdVw%<#Rn+|g=IqdS*hU$EQV)$GOR*!mT?CIC_@O>cQa!EK-j(Y-GT_1rab&s93 zEt!T*L2=DdZk6%Moc**Zaw{UrEM*3b(~An%5(f?|SOjsYW@3J1NJ%^ZAIQ%2rbs?i zQA?S0h^4Tah#Cb!sd*tzXTTXGrPE3SP%&OHL3RMM~g zJy_CcO z(kkUPyp|(=9jDf~1EZ2{@Z$DmJwe_PgvDFtonL~gWa zUeEKzFZR+O5BfoP12Mo@qRCs>P+f2*{KjiVLEQvLIpLnloeW$59)!Hg9^E&2{ya#e zt=O zm`6c|CMFV3efowwaoV#&0sjd^gi@o(AfzHm4(*Pi2bceJf}N_?B)Gmzo`lQD*8)x= z0ge5HYs6(e3K$h;e=M(`t(T5gSqB<-DUzUUoaO$}OWYBnz)SU-K<6dn4_Ps_+kogB zcbP9it3aMN2#{L6gn4U7i;?CeoJJ!zZr{ppmB_pZ}FuE|MubimV0sn0)oJ88|D?+14lJm$Lsv#G(AO! zTP0MfDV{S)2$-}Lkd_h@XJWjsq5APzf2n$s_Gr<&V>&^5xDP>o^YPJy=cv;v=Cwg4dKB zj%*ySr^*KLT)aj$-4IEpQspG|z+R#*usq^sO#TMv)=y@;#+tzVA-G~~(`&v=EbGe^( zRUv%v3ZPa&BQ)>aejBu&y8NY?z3t5cW1BcPd$W|!@2q?pcw$EmnP0u1Xy|$OxUHZ4 zj#?x_=m9~Gy|5gYf6L*kmy#$n=^Uh5-L_QIR%x`){0|2%6G0vYnD6xL|LCiyVwlN@p|oq|AyYW=BOksE#EY+wQOhJb=+e-UI3@lM1F7T z+5OBaV=p>>R6F9}$UC#6%5f9>fWbTbuGmXD!yO5S)w(e4Z~pAcR8$6;8BiSly#;y) z3@Q0XM1s8hCo%lncz_&;SoJjR9Hw~L#HXU0%({YbEA3ncyv2{l!}dGI+u1G|3#jr} zGp#Wuy`5*nxZXi^ujypgGM65o-tfe+|VyO>d{(Ob5IC!VXT=DLw&2QZSv&H{+Af zh%SY$wQs-=5)a6)P>e%xI3^N(I)1t&DsS{ve6CZ23#q@Is@B@Tfje^Yy`hUBKu;~8 zJmu%UyqSH_=)N!JTzI?SBcS=P_InlM-DjW!$6wn$nnPt0KMNC$n11rgY#F-G(xo`U zkZ#gILKu0bDAlAat2Xfzz12>I(-a<>@gHp@@ykymAO0&;V!8$ce2IJAV|+3FG`~={ zZBXnv;;}O(@Gtoyf_vu$p$au0x{-TmDPQTKD7e3y~B4PHn1Uo?_a*J)@EB%qofQR%lmFBpPeRJ@wrw>bOp z&~uFEPvXdVsS4iIy;eZkooJltL(cOuXUd|d-2DFh{C>@sc6+%bc-NH%xc+7tcViR5 z(APJB{y9!zr4R^TiqaKe=AbFXZ}fu9a<{j%(x=Lq^a&8IUlic=2XUTlq=ZDzWpmIH z(}J7=xbqBRR+O&4GzYQT3-1csf)t*s)M2ampf@{}dhAz{{;izKkClbtke#y&8yhINKa*`T#p1;4A@j>qfDKR)Cho1W_tN zy|zCyH7G;g7-sG5 z`Nj1VFf`%wtK3h_y;lpNJ%0*1x%FUDUB@1O7JAiMFCWD0`P4=FfdSJJM2 z(xW?H`5TI(9A4H6N%@G~7?27I{ut=uXSogIvHvt$kv3u@$wHw<5#W;JxVS-`FcygX z(e1OxukW>-(~tmjKmrT*79%ecBS{D&IUU-xN|^im0AgswUAoiF1=Zk&p-Xo{5cQIE z{D!2NoT^VJ&rduLfF&*w0@9UPj#gE_%5ql#zXqOn9i?8#kj^qD5ZPl5w#CIda~|3D zsZ2x)Vxr`6ts~Aq3nxf%Xq-CP7Mn$Tx%>>Y>U}>2{J)Y9fF#`qC!itdqFAI&a*!&) zE-KS4GpqS_&yv8n-{b^vaVOoUrc0XLz_4@wzB0d(`X`eh!}UafQ|t@rOX)|Lt`liF zuXX2IF#jVfccYr^;y2fQ?bZ69`XD>o`k8Wf1GzED-?7_^1uI1Y))#T9k4xCqn{4qcBtK(e;xh?0EngW+lzqo zlefo6ugT4jkKwKRd%S&$Co!K3AQI*Yu8-1`2=IfOUMoZUtcjDuat(Ix_lfOz?Si#w zdjXk?l|9T7vul#3Sv@Y8{vTwEi0zVoHY@_NGx>4$r`353`vz_im`%r|kvnz6jADmx zcJy`3Q{35q%CN%}#46P1rI>A06t#kyU-5H?pJAvX(!^}49=CT-4O@Z)f2q~uzVQZ7 zb)hC0HsjgVFN2q8Xgrf&p68tvlAw=-zNYxvHe?|3t=`&G2FE*OoDy#jctB$B-Khob zxqW@MWHQHr@32Us%f}81##oowPAof~Pgg?6eUR)a$=hQGntqb*Gz)KEVv`7W3qrp! z^I_dlru~f1I@@J|mD(D|3G=0l1791i%^jZfx4&cE8n{+4_*TOO)~$e0;|nx|X%ku7qxdhoGLJuG&@#(Ugcp=imB-K8nc@T?4=S6P zQjCn6u5;bGvh=T$aAtMzgiz9cu+t$W%;kwL^B)dOZo;us9{wzO%5t&;WIFBH$01Y7 zjngU@!jG9^TEmW@OP%pZj%j1A>OYO1y%K~a0012h9&XU`r0;FtMIZ@BvPa)k-4s!1 zwCjfO2isPT6Uropnirn_!WAX#x~5EY6z4vSh7c(*Cm-MkE6rr6d^El9%b6KZ`~oan z7>7F$dkM;4uZ~lZg9B#h9+op0ItE%yZ@y;J>#~kE>3p`{N6Dgb`GiHUpuW3e{YpoHW6fQnYBNTb<4isXxr%|Uw%ewxC@Ugr!F#NNf>V9krQs|E>fyw|FEDT09?UNqD<04n`CYs*2 zDORyb3)+jAc_AW{vzzGR7^AINmT*6b9@i{v3WEh9#WL!dI90lxt6al4ymC#BF7R)&aGX zu5K{?Tb-KUG^GKO{lrK6ke(WQaCs!+r59{+%_(EVJ$ z5Ep_s>(O0c<-WQhlOJB(CZt)XPlKm~cA@Ee9Px!4*|mH$K|t(r zw9MP#YryW6^gD$`S#V@c?oT*f2cx}@%k7tVu?wPj^-N8}`dXv2(SKsYQk`qMMz&is z6aKK~*sX})Ww;x=8x)gb&45l(8wZi>V-$}*9qmZIn8c%0o74?5303{8m8r;Z)3jrS z@S*Wh#NUM9e>&GGESRbOMmV4-4SqVqfdoOchN@8SL|x6dq!oJ@Y{{!}pb)-rW9DlX za@^)GHO&NF`()j5kTAcE|91hf#5T=%tt6as{32m(77I>`NOOhou~E?)mMmf2*38?( zf}BzZ6p-=K7(}X+^~2~!f&sp`HgiwgbXL?1+IbKf+o z!CZaxW2&)pVY5iwunYJ7q8kl+!o#f=)o^4q-YikEO^Vbt2yu)x7;d>8Nv+n1>mGxV z(SvNXTOM-a@SLK_ZmloUU-$pSKpHHQo~G6-7)~4Y8hV<6#Nsg2{yQ|$C9Z7^z2`BS z$GWQe_63}4?g0Tg1dJXL1Ds$3;h zsr^%K3oCJ2XPDuEzLgo>{5+o3YJ1j{i}Z%nHz5S7ND!AEDdbi_82$$3$Wpq0*c?jIJ}oC0kWHx%S36LI)`H|0%#$bomhsR=89kMaGU{VhN~pTD($gBm12pWRz*IQVH+}scgB7ntI#P8QMG$ zd44yh=8F|RMHIt~PYK?f=C1@@NU%L<7swVl4W^PTuarp#pz(9#fUAH;Ily`M8FdU; zuY57TGTqz|e%1}?WLfzN%ZwqF7S(Mk92wmL{Aw={#%|wq8*Z>!gw<_^Hwl6CUl~*C z@!=oVm+?G_x3LwGl1k(_*Onw96X$VmsbKn6*UC)<@p~&?C5Z<0Ak0ov^0FYz^U<6% zIWJ+F5-`xB5#>h`5M{(s{B{F~FZ|<%RA?Nwvfi^0)UO8s1$t2kn)a;I&uga}l_4PO zqp|c%b~&vLe}V>!{FGb76CU6@-2jZjiMxC?mgnp;LqUU#ou!lZK8Yi5)iLSM5k--u z96F&)78hj#d6nIfDZHBx9Ma%U-W{={)*mo0W8?=(=P?N$D4p)K+uT`m*>iRP(kKH> z3GtDUTG0M8tRA$W8wMT^ThZ6O{Q7%}K=w9Kg7XERC!gYs2z)?|~d?QodY+^3;5!a(X`3k)8^UhjPCDi77yxa?$ zu`^5Cqffg-R;CTmCp-JFFv634PHu+Tfsd*mXuk( zh}D+TkEz{{7Q%fq3IyhP>P^qG{l@{jY!FV`&lV?*ah{uSA3am>8!@eDX*Inrya&gC zP#aFk-F%;ZM5oeT7Y=Jby65;b!xB|U-G`ThR^?ayw03$!vv zze_!5*Y@7J{5tA9fn2EcV%x8e36<;HSV!8Ce_*-{K--)HV#g;>=D;mdwak;kU5+D~`I z!nDD}Qo_{uJ*8Kbb?u~+XKRtn|3OStNRH5_aA*DcE60xWz1(M>Fh1HvJm}@AoLWpJ zyE;^rs)G1Qbn2BP4kQd%ZRm3k416;3v@rb2Q-HAG~?mYVGSTu!>q&1taOokW4=%WBN(R zO~(e}9O>(X-|$fA;!>ZW7QA|_$o&VHb8ZGj=WCwR*;{PJ-2`&IJ-}1gTSplVD#lcL zfB=0PPGQl9)G4>~*IJKfuy-!n=HAJJqeb^s=U=}<&o)O{9|+|#0}b90aT|8j{OKoq z#9?Gs3e%;kqMUZv9KpKfUoeVuGTa?5jd_tNAM1b-McDL%v^uvjATBO9+9o~@Gq&X; z0kSPpj_vs89Dp|B2zt6GN=cw`GNyW!b{y^$K}*7(9yi30J%B;&C2KDGglPP^jN+MKAViat$B!oD)_*4XWPYYT>{PY{ z`SW4{oo{koQaykf@2WFYn+gd>3g3-@f=Pm#M5)b*jvwSo$?zt1UL+nivF#rdwx7iJ zu`vjERhd92wjZ)rDCEU}yBay{6l@nkCe3u}Sg(8*S4oOYkmv)nc}|{Q6g^88vV9Ex ztnpAY^#P9A5{i(wj|Hn>%(GR?^a;-#%myE=uFfO$A^J2LN-s~3c8NPsk zEem^^Q3f$mR6As9y8IYmd%!NvlJLk2*W%eGU{B}*9VmYHfw@DeD=vf|8Y^xQxf(6N znI*awt8(w^qSh*xvzG^GrbH2E$P)6aq1TF-l{hO};}@ioXW_Kc(81}y*lH=dT)GY5L zilz`YT7lmWM%bW6QTe`C;BFY#pc$RWl8T@l(d+l$DcRcO@HS=;<3z7TQ;5>No|&lq zUwV6h#=RXPcjQsxWDIkEm}O9*0zEBt2{*HCmtLZfu7Ey)JaeS}6|Cv0LNoC#z4X>9 z=(7Tm1Oig*68i&O5$+IpzZl7H^je8Tj1L(2QR`xPSoM*!zaM@9Ds?J_Lv{n7ixZ`% z6cOBbUE!EA{!KHc5Vou&UTlRFpovepxoX1TUUb(C{>c5xC}6$5=@Xu#C$qhd*Tw` z_bLO=ri`a5%Ct5=+tsPW4CeCwx*qSHuol#_8qHnaC5$L!GTjHX+uI_ykVFJ{!)R+` z2<4EV_58M&Re=4YhzS|zO%%k=i5Q?36xVzO*fWy*Z3KCW@~q7KbZQufQ4 z6Kkk2yIW_Y6I0?6aAGAIPJT(%f?t2MwKM@Kp(+hGkmNGkmXcU4HdkeP9lK8*iOwMG zm5HWy^wp5&(fl=N-LT)0fKg0u_DW465gT-9|!z7Z0x z)Qcd+;8)C;p)l3PoXtAz$|?Nfo(NV*Ea6|I2EKiB^0(QpyPh_xa)Kzt{gkW;uyP;n z)jO73LOJ<(sqe-oLCrUBbuI}gG<8jD!oKJj{M#|1TtoxcsyXcHUNZW~(p1BFhrTo% zG0K{igl;O*OTJsyUNGXb9wb*Dv1yxD3-pW?0lx!`F#Sv2*KJKu#GOFO74M!bWVVp8Pm05Gqr^DyGjH(u{?k5r*;J7fLh431SBa}t zg(n|UR%U%5oR8y1d3i<%uQT}b%3&rFmxT!xG_O4#H-xim=_9SVjF!O$|IM)?DBK7d z=?HImAAe0paI#++6WviGSwx35t-QEx*}bEL%wRrY~#9-itOb){E*5j$@%2(GrG;o!bBaMmA)S{ zYD?``)175YyN`Z+I`NdyCD=?=*@_Q+m0m=uSy90NqKmRd3g1*hu~9Oi%6x$?)z9Ul zOuk#5t_P>x_=!elj^DLbqK(bkO;*tAM6bwN5{$30c{cGl@5M=3+nm+lKkSG=`IG65 zx3^6Nd0Xo9Q)ysB$NZ?8=+m$MSy zsZ>(SotHqoUrZ&~NWcOhL!RnRvq^8n$9(jPN-$QfNG<&%EGbW%CVP3Wfrnrmx)NbA zsb-1Oz$-Flj9m^RDQD{4c#H>twxrqt$_bXa2l7{~9@m{m&8zR{+j=0izA2;3aGWSlCk zfm{K(Dj&}$fbA*}Dvnx1wp$@VH>i{0gQ+`Mq>{NEN?|Hku~rrX4hQM|gw_}KqN9XD z-1{FrtPE-3v?HEn`<%1fxciZvBM;{YzIp@ER*K$y`F(!J-Dwl$Pf#r79gww=%J=HW z++d`=Q(Pe`!UV-WYO@;BrId$a>gF#Vd)gV&4q<8vwJ1yY!gtZmZs zFM?Vg6Jw=-u0KCP9psEd&p+T_0iTnBp*lhbZ6+)G@&TA*CzJ#dC^nWbIA9EtHnaa> zTn8_>-ink8hpZ7M37jWvoup<9gNN59-iNKMM4(~A z!kC2;UI}CR+;>Ld8@OcTFpRIO78Mt*-z72>W(LaiU4$|6jHgGj_M=Bdumyf@>MaE1 zmkMk((nh3-n!D*B{6L!N^h}1xM(3b-a)P((kzBArzXiT);^YWs**I|_Ag(F6kgJveXfa407oHERhPGhGeYg7QI}Eo zP`7l1f|HlvxC+0<0iHhKC7)r5|y-~Rm9ioUAUEq_uQ@B-~H4yqkF@$3{xC}EQ~x-7s3a9_?61p%ge_3*mF z+2SX~Sgp*{c_LS4;&yQBM5dEIsGHYhfJ3!2EAG9KGl?hI@vnZ=g$5fvbU-#ksyfOG zCdLd%6tJ-*qvq?-ge?EAxZV@g5b~9(LwW=}!gyATa%=U@OzgB(FKMUpSq!W3!i64Y zx+w-g%e8)TxjGT7O63ea zuDTh)i-`ZleX>$&NouAl&h{6MBb5L!6b&>N0jVNe3Z06cMoe~vua@#943~S`F1WL#Kb~uf{IS>%{1ri#AvVGwTs}zzY+r{b6s(3R;-bk@l%z9q(_)8mVT?JOP8G z@5Z!a5c{^LHLPSd$NiCMMFR*);PdusqV_;LV(p+4v8ly?q0!w6bE0e-HK^(rWdNwigf)Rm??0l z=htU)kM@2T`}n)M4V&07Dtl;7sJz=CyInI{>uMXoy7(vq*Ml?VgKLUx38qb3 z%uV5$X(^#kKc;d+x&cI=e)7 z)0?Ja)~qg1%vXsme^nHBGiJUP+>iY;ofQK5E1mG8bu$+g19GzDLlkNM3(e%)lmafs zW#MpOB3yIE%CJFidw@kZJXLu$QoeAvfUE7cQnn|v8zWo9B)^ax=9D7 zTKxB!>p!it27cu~@zR(R0or~Vf$|dJM7lw3jyuCgiewiQDx5FG0br%VmS8eqcXsM< z`jZyx)h&Z9^FQ;k+?GzYX;zn<)e~C6-;lEe4R2 z%KD1Ay?DL5o*z%N*`wOfEj!l$tH!k#u$dLEQRor!AbyBG^#HHwg2fE+kWjPoi$>*n zvJ`38f1*g9dWrCqZ;_!WYY0Fmu%&-S)tv9)9W>bj5Q${@ zK4u#By`sZ>^(q0R2@ueksd795u$aNXNv zDDx9G&g;`wo0Kg1IZC8*33<`Zbv08&q@GhSj5S_jFJR5rYRns($AOGmnZ^+tcn%BCO;k4KHSLf@>T7T!ok#i+PIbI*A ztS&w-`cOV)fJG>t$3r?_z=g-<>}{>?#*G>3Ck1}!!yR}ISFEm(*czcw78y<)!Mm}x)*>_e^vJE+;+b0}HLN2{=RV7c^EnN+}9D zfNgr?dlWeIEv%kFR%&x0u9%P!pYTR+*&d%IekdL_%fa<6*qZewno3kx+EWw&f!?o>T<|KBDDE@x zsTk7}ECvF*!xt!nu)Fciq&duGEkmMr{MS39$C-jLd(Z3^d{_#zgK30XpXg;nt!YN8 zlABWJUzOu-3$W ze!#Nu^WZ5sulFCmr)I{mJY`#8J#qu?F)vym(;iTY3Pr2RuXwvsx0S0VMU4$~&7|)V z_?dBjeo(00L2GzYwD9U?QpfBcu1KBtG=bRQX!SHBhd_lC{%JA?QuhbJW;6X7=BzYl z-uC8nXU2!u-;2J%9P_&`?V7w9WE^orbUvvm)9#^nkM`>HLSEE%=xQ0B8%WqlLmt5t z$;UV8+FOy-)#>eZc61t16tjA9qGy1ef=8{Ac#+_BB;omU7z!>35u)fv09Q*yrzH^d z&9?fRHgvnAWF)RMEP&gr)`YQI10}fDa?Z6{KTA)nEx7HF&rDtqzh$UkhnyHd4 z*>Fceq@s2&Y}`I;mWP!(?@=SQ3CRQZha8d26~|pMyc5mY=%&ehF&~%?NBJnpCofi- zVybZS{k%EgaLz}=qwD+UO9aEZ&CGtbi{YD|gxxB`J;5-I9x17sF}njc zZD$HEei>~x8=ogbtM*tJm-Yibtn_QYs`jp9#Z1F`+9R>uO_^Fodi-cM>r>Y_w$jUY zWYH?dJ%@&OnWg@12+=bhv>@0Vl`G(kt57sIvCUsNUeVIQH$8>dfg9NqAWto7a-Ej) z#*z2d%v&~yBK-Xkqo9heEDodjqL1%nE+p7-i`fFo)oy<=a+U?nK3XC z0&nm|N>IYEtS>LU4MDccC>N=p24%j3CgC3?`0n|IT$q=v$-fE)>#;Y{4(!OJq@(1O znS9>DWHhJM*xUF{HsI8ATN67xOR*?4?Z0*QZJP3_n@A^UpYhpt4Ilq&NC!r-!vWey zh*JicjR&GRFbT`ALABl79|Ed6jtz@i$190C_RF^G_ViX}C=|*+`U|kVlz76wy#~l* zEYY#p7S_^ax)E}eP z`=bBMM4WY2U5v@~t*gpTFS;reF1Lb}kP?0VgQKZ`hTRdaLepp8d(~Ch5*CS0@g|pl z=H5f!+3&%Vy3~u@cgqba3Yzu(WuSVFJW{;IflfUOBQ))f8rIW3FJu<6|o| zWfI+KdX=jFyf0io8YY&TF3cL>^<~2MsQ}Re0{MFPDFk0uH$0uI9DnyQ_2WM-bpK5C ze4+{g5y8D(OOrf`c-&5%=Ed%64P_WgA2KqdX(Cyct#qIq^!h* z$>alu>6y}v!f~`DH`J6GO3+crR_2FEc`T`=T+!ckYxKkclQ@yzUJ9~l8R~jP_o0V> z0vi2I4QZctQ;HmP3Cjrj=iOHqE21kp9Pm@=E`MDN+EcY+#lrePOiHjU146P5^QIc9mB~=9!7zO1(@`Fz7Su!CfyS+DWR)5`e-%w0j?gmWN zwBLNY0amEMRtjjVy3Q4rI(WF4K8fJpF#2d*Gjq%}BwJ9qMHPV#QK;p0Z|uf3=4N|flvV}VVkIhx_|7S`*qq80XzOWzP>R|t>1?AL6%Hqp3F{&UU|F!7D zbfmARXu#1z^1EF|`FesH0=_gQaoaSY7^QBAXYI^n?F59ij);7vgx~-ViI-!TVGV^r ze=V~6a108(g)oZE-ONU^<+?Cn^Ft(jB<1aSpqp>Osnkd0{Nlt)YPE2zPINLAVbe%` z7~cb#fm0h0mBpc4)>i8Q;XgS_nAo+iI1WYw2A;oLE=B{Ud z6TJfE9U?uk+d1bPhfRm@!%08UL91{XsPg#M3Ih&{t#&4gp8gj3%f%WJibNPUuQ~kk z;CT4H_Ml1jU>!t_n4&KVX0L%V*CYNlL`~K6@rL;Kv}9`RXFU>QSq1i>Xj;;cS#;Xb zpW|U)NK+P&wCl~LQQO0$tvpkMG_4AnO3B0n)vqP07|e1BE4|?GrA=(2w`4j890b5z z_)%kd)dC;-*wpr6T;1jrBz3>`1yHgK#_F);wP+I!E8^a=m9}VS9o_vx&&l}9VRffo zt;P0>`&DdHdJ~#r8e8-p^=PVG$R(zM!6_PsS2tpLR#d7outu1Bw^bGR{BKRBg`yhy zw7&?s%QlLfqsOSk*;Ud$D|Wt~aBp_$k9~;Lv3H8ACan5VNJln1=S>b~e`1~4Ky6Nl z(iX*^6paLZ=XB>ACg(7@dznTwAjO)s#GKe zl~HnoUQZ2C`+TuNdr0UOBkkWAyd<;BAn`HzB|`nmtSg69~s?V=N# z;)Mt&?fZ-|vgCfcFk>WQ_V*lqPlp(wC_P(v%U3@8lD0n!dLH{JcC7N+YYTBH9!~HLLz1X}^=))5R%SV4+B?TTsdwUV^5asCGyPlQGeeBs+H5;Ef zrMIqrtzLijuwrg(oFmT%LN$K~gzTZro!RAn3A^$lkXS5pmklWJ%ur;h2^@?qszK-4 zYd#hhyY`UqcC*Ra3Y*ExC)tbIS*T5_<7YvZ9cw~Wjb1Ve*d;GKn)bYo!_?A55)1^4 z5?z%txI?VNaYDmr?uyQ^|o4v)+F}?A2BM6 z9>$9DyAB=W*W+#`a=`wNrn3x-s^Pk@#L(RhigXG}cPcd~ z-6-ANJs>D8jl_V6ASvA~44n^MLxaQ&-S8dW>-v84kC{1V@3q&u7jsS)t!(0$n0^H% zDe9_RZ~sRN?>I1FV_Fg>@I)dnf*sxsng8uOzW^0tW-rv8OxIZhws(e+ZSo0(u_I zcZSQ{bBP!Pg)KXzw>ZF=9D8XySn4A-pH0325@(hE)HlY=$YizOtsFGA|tW4b z^RVr^U4})KZh{shG^#a9;J0GqK+mGcMWw4d!r2r^eFDW zCnyy=Q4k&4Evp__23y2Pfi(YKqc19=;4A?^!3o6-+8y>ga{mF%f#OsP!alOY`69Cv z>nsb5a{K6_SA>B8+3wNw_nL9(3AIGIm5!>wpyR0)i&697TpTfBH8GThOZ2o+$Lyv$ z(__paMoZVdbV9#v8JT=8^M)|;t34CbKJXr1R$+s&+UC4b!M2|cC@W&JX!|NOd#uqF zcJW-r$lW!LTrNbc8&JiUKob8{S#G8XwfQp5MRCqxlU4pCxb8gAp*FwcqHdQG6A3`K z&J{%youvpd%3+&~0;qgJf~lky!ZhF8Z#hU>Mc!&#&0ie&1b9fa$Ar$t3g5Eq+ybG6 zfq1;NFq5C}I>s_%IBjr}KFX_kO$E+&gd~Sdk&eJhgl6u82wj>qE`zU!%vL7GAFmv2 zZ|r=e(FtY$j0GKe*gT#=AEw%#RZ2bFLbD#sa#87!oO9acYGm`utR?y?W{jkB`|j;F zm9z|uT8tFEZ!G#)ZKX3f-;rAm{|K7zy#;FAI!%K(BjL>te}D4!lhiq-K^ih z$_nT?$LR@>BzU~8oMLi}k9&w-_ReQKzu{BR2hU6MAvax?h$G=&f(C>I-rL{IKkk#j z7@9P(`*l$W7kw`Rt}@iI?&*m!-PnU55~g}79LiOPow9~kW%xMmssMbydTnLz?-GFtmeMl1$1>EqMvmcBLU zSH(ptg%b-(MR`?dI8i{uPcm=*a2WP597VF!JQe-H=O2wzw3g^I0P5~D%f4J(0~py3z-2$ogmaMsCsW1 zSb^H5UY~8H!h3x=}+WuJrl&>crSg@~jWNgqn# zoPTE zL0Z%zEk(B%K91Ek9vyHgm6wEqJ!a_X2F7qCa4BhpGM%z@^VYAtzo>U;yhGWr*5bN- zYXIZ?wy?%Fs+rXYlh}S$bO1ZEa>B8c*b5#U*2Q6$6P4BXjjiF|q6+tL8mxy^fZ6sr z*zTx8bD@$0RLJE7=H@BPT`U!wg*)c1Oo|VfHW-lG%1%?s90EW5aB=9W=&zglj_2;|;*XMoj^QQbYDWi|LGG zg`<;87FN_)KCgF`vXZ}`5f4->4AbG;n7-pDqvFD4c(A}_$S5eiY-Bl|r;Nwfu8ZOW zsh1251bA92^QjSB(bHe)G}GtX6bv$T`KiFSZa5JY58Pc8Yoq!jSQN^p_*jc3ZgNL?GBvvJpRs1@%sr*Ii7;NZ-E|fq3Mu`>!lL@X+~fHw`b? zn=eh|W~Z3Y_WN!=&OmL8URtDXkjN1_#8ltM5`E3CP-=mT1u)j2AdOE9{0sNrNNBtp0BAf`CHys)cqCp-15wOlmO!0OU)&L`8D^A z9;+Z?(22>z>RAyE8i^h{e%N@()FH!Ju+x4=5Mxg3VATuEHL?HdVxxj^Ozl9MjFRuq z8~!DVS_Yeh+@AwAUpcFL@jh5QMjMP$MKPkm$Gv>PZhCt?kFJ#v8wW9`<{;1QP%zx> z%6jUM_asuPj{y~Q$i-_E~ z5)#Gth8{s9BdLKo9z#$4@`xN0(<%hTq$QKy-?2`yN1i(^_dK?r+rMOd)hydce4a=P zEjAuON0d&;KE|ss#@*2YVvxi|oN|hf#}c*EG#!%K2MW^=Id|8FVMO`t>22s3h;9-G z$}bk0H3DruIm5C_SeehVc}ZilP-AcKj3AU;$JFw8Yy@a$LfLP`op}xD0}NEF(@A!T z{i<)W^g__0NSMtBw{*Il&R6g+F_a|wD98QV#7br_UeF<>U>IxQov>B!^*bMw9vw@c zzMQ4_f)`iuaicuP`1Hp`|6T)7AN=t%EB7R3ds?PI4m-4<(~$jk#Y*c=-L&}$OC47u zVJ8vYU63U;RSERoJNKftXoMGNygZWF91mW;H{J|#-3ayJmg9WIdvYhVOevmz-e4yaEtN3OLi(P;u*)K>}3`5i|ayGmPqL2Iu=;cB#DaOJ-5Pq^zh%^VGsz5o+k0avV+Y&0p))LtjS`@|@T zpDq9Gq%KXJXu-Rmnpu-jm<8ylXRYGmC;TSdjk)U7F*1t~{+kyYNwYbyZ_Z2};`wk4oc`$gA0~E%O^ZU zl@!$l!Rt(cs-uPPr_kG_9WjB+%1XJ*Dk;?vvcys1SqOz?Zq4dp5bVX{9Pz_O$X!AX zPuJbQp_7?Fb3FRWD~Cpo=kINvJ5)p22JJmoYJnSi#}`msk6HiWdu~pFoTMiT2f{!Sg>FF z_28M^_7X*ASX0{A_OR6RKl~u7KMHdp>!i@#zM4tazu9&9TE9H9Lb@qDFuTt1-QAQYCjx?w?ceXqCD(|yFaFQ$0B^Jc7OASHi+WVsZvOqulP8fNbPib zNFW5LxWc-xHZ2%$^#yYvBQn*00UcrWq$kYUF{9GAmrG0>BD%Fe)VW`@9Dkq~ z*>>G6K6vhHrMJ*er%4Sjy6)PAuEyLZY$&}gYhtPau zetwvel{uLOyUNViT%WOdZrg;9oWzQn7_)U?-m5 zk2`qw>EyYZ*{me&Q$0RTrnkRt0XWe%bUEbPntii|mg@e4TDjG!R+-GCyPy*Bx8JEr zkhO1QelG#aG9uMH?VCe_;2E8G83J|gumDsWWgWHL5;rSIki>>QIL;Rt(U0DGG6$2Y zANr?uOAcc``!OqWc@IePem0jX(vW~suxAAA&Mn`=I-j?YLnAsu*Z0u9rBA`zuduV= zek1=A3*9_-iJWgnZ1t~i228W1>unmXyF93(yF4<=Y;70oP-`>aoFiRIBpNv=*mXV8qh7}gvvpEkXiH@R%rAu#v1+bCy-qY*7g&Fk ziX|J3^Z7H0gg2In;%^*(O-TC-&24Iuklm=@;urq(%a--{PAOvKuVQ(&XqIna*=MtP zT9VR1dQqsEMFKCWpwzOZGOo#A|H8*I1-nEz600`3e`Xnxt|QAPnZp#$tRt_S^uvv& zbiAp8SiA7bk44LZEJon$$l@E*pQ};B9J0fB2XwWmosFfeA2kY%cYiW-3&~MifUy%v zW9K=2MJ(9#6)yr%`Cz)LUyGW)D zv?BMPq0VsxWsY&3ut@Tn0fKX*mD73KW&0Sogj`83{^LCh(pk_62)@hxVo$dGDNvy6 z2{!ISoYYM7@lWsa%D&-EWh~)_UIcsvU-97!#7Z{l(8vpoQNoJuOT0@PF_%DKfjslZ zE;j@01C?sxK94vV9r}of*0(B_(?%B4()t`JEYm8KST~Vby`aaEv8W@w9vf)T#qcj0 z?as;sv?%u6I)n1O&M4>6U>}W7qbf%mt^elK#t6YE0`GOdGK+B@Q(F|1SZ9l*7Mw&e zR=L$tUFRhcNhJ?}UVshHf2~T{JXeOHF(5;AcOBJR`i#Ex_(GX-d4$GFFmj5 zo1zOq?K!JCr<40O%q*+sC`Q*!jx^k{EtEb(?IMJ1fMg31`;Pm0K85tvkAUs(DBgKE z=wkD-ro2jr>AwN->N*V3&Bz}EaBe%=Xl~uo_5iK1kXfG~J<4+ti~>qUp~AS8!wc$0$WOkpviju5Dw^;W8ZsuDnR|7(k6iyU+ z?L^G`CJ1Q_iBnov?_J?y|6Q?T@r>}vD2;=(fY2YlkH+9cdC)vPP02s9om(MM`>BrS z(s4ESs{6yQ!%AXHp6V%P@5`p-CqI!oiB^vT10vH2snk<6w58yE{pXn=8f8A25dW}q zR6HISvZj-SXgOk(WB2)Z(nEffN~|aQI0KlHjt3RQfgZlC?_$oEw=cry!_fA4p#6$z@ zRMM-ab0x30au<}UD)>Dxs_I@i{+MHTf_t1%V$?6i2*)(neJO0~^Dsp*&8r{DK7(b&!Jl~+}!tq5dl7DM_y>9S(i65)+M zW_7VAW8#^1*RPH@0-96zNx79!JlH%vE&_(yaE;Mfv5(U4H8d`cjmm85j?#j7N_Aa@ z#w$6QWb~UJog&5!;6)boA6T4<+m&>Go1&Ee{eKpKn6j=Yg5Gj;ey2E|m0#+T;Pc|g z!#UiN=)PRy8+vamc_ zzPrx2GV+uaS@mlHEPd5Qn9GUj0_j9+^8h|bh21J)`NsGBR4=nxwz7Y+t6LxzTd%~K zrwx7!;qSh0d!+E6@TU7no`Vd!nWy7C&%iqLgIP9L(t~a`VBZGvIcTa-6{sz)$lp6z zG&m{KWeD2l9+csDA~s~crk^L)aNn-hJs9;RdmRAcj6y?&PU6m*fu}`8p>epei+auP z`)dxO`QrbwMgQGRacX4mq6#gXQQLHa`89Chf+t}WQ82^YkF8M1HzTQIgGaToz=p@n zy~k^g&amg5LMWEgwlslob}b9XR6=w$(cH)leiYSj`=<$${s^_(-2u1aT5hcJ*~JCt zR`t$F;I=k*ci?ksFwM-8(SR*-2CP^<*<@5R)gjx_ehLip&^bnodMgG+KBk6UP}O`P zR6aOKhYnfIDQnC9NoBB6KILt4s6px$y7?gqob=RlH%O&#B`}5kITlAE z;v^&$tb_WnA97!rbDxK!k)JA)z9X?CTJsd(H7T4ydXr1|&=UsK4OW8~vC}@=yunHk zpByxul~9A@O0AM#bur#`X}ElibJe?PbGiqX=|!O*v>amm&yo{qJTL%jT1GCfb8Vrup~W&PZO-4ah;SqjuIqPagh zcpqoBpf4HYxT0RR)_?4f)8HWGLNlGQRlTvctme)4=~xP>*lB+i@{8}uZXwvR_bW@R zO-7(kGtn(=?;VV6*Bp#hDP;x)K*#~{evRiHv^cZ1$@K^^H-i7=r`v+O+gG>28*&&W z&NO0p%d0O{;a>+ky^;GJ5BoW4;cWg^?RDMaA|lS;PVa3(E^NeGh90hGa5_v}gzwgN z4c$d#dCB)k#I;K_wR?zpJOVeL;(FF6_O{KI@v7OHYcG_W9b+m)UQ2S-3X8(IV&&ZE zuq31fP_vVDdpm{6=CPzA39>c>!3w9SvnAoZrWYLYCS;){A{)QQf-h=J_V`5N!`gN1 zM9ECwW2MOMU{$=N>_)sOxsP4z|KiuD-a#dx@DJCQs1dbfgfcRnP3rh-;b3tT+xR{j zO z%P8Y}EZ9(sJ2Pw-o*TpUJY^%7p17v!gS<8e@K2K4#luzd7K~Hl>0#Lq7ABKL#xA|6 z`K8x)#mbT;S;`Y3Cnj7=LI5+)qx05LHsGRYh_}V@m;cK-u*FT0IEKiAjty-m3DcuCP>rK5R$4g{_458_+`Eo=}aKPV$29Zl$v zo)H7D^b%rXrxL?7V>F*Rcl!4aaAg#{cxwsg%F{cbNlf=tBo|=Opb`|Yg}8k%r)hGF zUo_WOX3x6HcBG@MP;{fg`=*3X>`az9r6KO*4b*kC6?ZG`4o{a=VY@~Q$Q+st`H)NX zn7O`Fa;ew?KnA1)m|C5Y3TcVz4&+}PtFL`>^#yuM;0**4DPCmdygm6OmZJ>fAL-KW zE3*B`zu^6BEigeDErUEPpUweMA;t9w`i zs0C(<&J`MQTdU77<8d@_blhb=n`dnW{CD)u;njj$?W@iD(NU>W1@p(xl%|b72b$u8 zWkptJb-WWbARIk3|Ac2BVb~Cy(*Tp#(Waptdmw@4uEZNQx`P&ws7NUV-OJK4{OnV= zi&{ENrzn@yeB}mCol-GW=iPMX1MT8*8~#nIpF9#WV70uy$mZrr2T={3kru$X)#9-l z^=tZL|Av9IC*;Z2a|9__7T*k%#%tKSX<$#Ra;@;^)YUCecO_#l|D^K<=4=Tv8F^2A z2MP;Je{49{lHTJ!SfH+SI^5?ya{%wXl$-4Tf2c=xGS!_`7#%h2Kl5^yGxyzkdn>V)0Juy^+M=y43eJOFN-E zFVV_(Y_HTJ2cFiHqcX+J-%r9Lq-uAY!$Ci641y+=d~YgRYFAc}&{wTfqI-!=NEL9K z&=380###ybE-u^@9ZbG}jh@ayrL0(?S7gU>*PXsuSn{0CiKla}t9J?h^CepinDMkR zvi*04ZGt|EoJ-@=rnO()UoU!_6Ta@`60isl>svFqrOx!v7G;`k32DENdEj^pCYqOB zy+qKTxL||mCb1_Ost=zp3g|r^j6Ia$%Bj3*%U>At#pNU|mVMBXsNg-jTH28kzI_@I z+e$=`31vf)@*(a?-Ljoeq_h9#b@WW8%b!<#ZkOM9UFX9Oip5^^_8bgH*oWvuo)g}6 z5~ipd|EO#OkRJ$CbnnkFW`|v_gN3A_U!p6)6=l&~o1tc@5Tm=m5QsQ5OU{sk*?j6J z_@ZUkcrf4AWN@9Ta}Fg7sz9j+GjfGRN#Cutd1U%nxs^-t-X7z+H1P)fgsQhbuH-)w zj`3@j=(`;(T8TyVS&$yGgonDx%nv{HN(GFzsn<5_ipvLJ_!N$RdTDwlnbiud!5Ldn zi^>fOUa?$^Y7_U=ihfV=tY;d1SM~ zK-MpBWhQP{F54xS10Nb3A2d!5hFusJYp-X#OF^Sz4jBRq{+sb5{6xvgpY?+#X{ zP7wXS03&AG@;A;!-Yoh32a!2dT;1wl7nM6JdmjIBi^_OCz?scKkPbxyzh7vCgoY>Ge}1e{M+y>=K*Q=y0&Ly{j-;O=Ld*G zlhi>CpQC=b4wSV$852kK%KmGBcO!qC$nUlsU`YtkoT0l>m5*}FOq8A45`@$pB=w5$V^16U> z$#)|zz`qOdgI-?ub2bBpgDQDcc+c(1(0mUz)Zse2VZ>`CO1YL#MEP@*lh=tQ@1Hd+ zGL7qNugQ~Q9}p(W&NlO{{Tp#B*V^=e5eL&6n|=F%f_w*8b79|!7}Hux&6NEnmzy$0 z6sZ)X4$pA==Qw*D2r3tZv*|2)EO1*eU{~kY7DE!(LwNEyot7{5iB048f|I~r!Wio7%g z7L*DSQ`4@O4f>S3;xEVN@N09-7T?XHZxqUG=Eai8#y|!+pGozco`nwabp-xOIsV^Y zq?oJR0|#l8(rS;$GY6a801efMOXONuJdYPO%|<(Uk+oZaSZX!e7ke#~e}*Q>r@u03?07<(3r$&Wqq z^QkC^I{|Y%)?#Ipo!f!#3+xxPhM#Cm*L*_&mfX^D0H}3FmNZD~tHMj6DAhcO8iZD& zpE9#8n_cFb3rWn`v=@v}(MZd((1M{9v1x=Aqy`<n*5hp@2Cwgz9kG8Ypy5?>T+^?Uoz}Sx(ltUCZu@T(~did zcz*M}9^LE@r2?4l$D!Y%46_jBPJ4k?p}GB8vT@GQ^Mn92cnT32yz1fk4;jhi3_{ZQi zRdVXXvlf9p=A{JsTV3n*xtmyfzI|$qjEFP1*&BB zN4gzra;fmBXCktSq)?}LWvX#dneNPfxy|p$aK0C$!pf=BD`oay@0C>u^)j_oUYGv+ z8>XKVSsK^54Nd#}OF~$Z22UM)xW+Z#1>tl*(2N?UxFbn%a-o8m?(?bu8$h z^g$L}Mxjlyq8+ET=f_g%!2>P#eeqQr(vS;W;6@p)`;|5Py-U0F^Gs0aAcX+Ah|e2r z#lVe>j$2hRqm(I(wk7WmEC3XMqO1ZF7TVokw&V=2cp(d)W>>TWrUIf0J2yia(^d)` zMj*$qkUN;*`#NHbg8jb`EG<_oAGtB5Ph~99XI&YE=s^@^|2B#pmk%3OO`EmHAl!92 z4Q!`JJ&X^ovz0h^WGshs*lesetY|R~z&EnZ{#5h| zYjDH(d4Ri9ZhKv0RD36V)J@+>V}dvZy7}|__J8(EUC(%bhgyt$mwYWLNGQU-H)NA5 zl1zse2ea31-I>};hdYLGS4nH{rQ6eG2W2Dka7-_MZo2D@Lru-0@_f&zlebnH=d|o% z+75vJ;`MJ^J02an!yp*{-=?`o=U3di9kgb)X1_}CuC(P1?ne$+6WhN9#gmhBewlGPAfhB#PmVB6N`jAMF9(*m#+S z57Qhw5e*EBuNwGdM&0}&tw!OJO?X#MSQMjyj{szBAxF}5OF!iR99tLre*TBsNj<}( zdhMzT-!d`UH|H#iL|fbyXTyV9rC|4?;x9I;M(S6UA%*^Wo>Yj)rjf1is@kA zXw2xK99sU3c$MoB+rN_7`^q}B125V!n)8yS72s2yjtC?EgBbZ4L$XG&&}LvjZOhSz zKCabtDND z{g-^KWE~II>`k1CX2`M^Cb)|3`xw-hP;DooQ<6#{Wvh1JMe3M)Z?-R*dXbvDS^VW7 z*Yk6@5^~pJ*KYO2Z4MqN|9ApixkF$P)q$?V-a#kYl-tk{pn4Vy23m3j!?-=4^S=%v zEC_vx?q8o5)mcBne5>H!1>DYZJ>6VyJV@aCicZ#aUr9`3wLn;_!CPErd)4q%=@pF5 zQwVl;0e6!96kC#ys7( za-GV`m`WF2>B}~H(+bWG64d)QGUA)n#7GF&Qi8p3kO%7?;^7G4ZGg=WeYAQZo}4vNGMG`H2b)_Qo(sB}f*x5G)MUoFRWuwh z(oxx2c5r=E(g0+PJQkC_Iq$2lQo%j=!o%%PdcbnAK$@@Wb_?h0?20@bXLOKEK-O)K@zxodE?aEF2{rOGe1 zd!bSQ*i&qoj{p{Xx$a{Bs9z7|3b(E(nmdfMQsQw=H zqr-drx^_wwzUGoaMXSKo$6{hss!S_UdlnhSIB z3AQ%?wML(_7|;1iCloSy>bQ#CXH-(-5Qt^!T9qyyeCJEKDJHr)`xcJh#(Br9sml_l z@{VcG-Au`&Q+1~FuhD@wFil}LGkQk2?0%PW+_1UvJ%~UkJ*#LV>pyooIITFh12mO| zFJtp&N|y0ZmCOo{AMGbj=&i%}kqJ~~dck(#l$QCEn4_;C660o`9_52JQC00&W9203ygo{|me6!{5;HB= zNEnVM4K4vS*rEIBBAUapYq)=mXZp0B+B`udd`6u?t$hL&L-2Q$<}!~!!9eTqMz}-| zb^*=A(=bUg+IXLHG)61NL;pM=?*5YCJ_MluM{rfPR&#AgZ@2A^pNSVsZv6!D7E1VU z&d6RmuNwl{GUlD+bCLK#^;+To#03-3(UyTuo&W-P{(^K_PHbf-c|D!dfjz-WkE_|X zKdMa5D>_r7ZOIrZQn6BAS0LKtnY#O;V>>AQ6$zcJ9%${iAJptJXknHw-e*2t%4nmu zLdqT85aEso)4a!lj*~ty_IOKclJGgM+}a--9xqnKZW@Kig0`twfn%Bq`wrMPrJ(@*@E&x^IYZ6FN)*$ z)sLrA4STo!?(v$kmeq@#kW*!*YAyI#>Y(ZTYdJ>u)DIHxODvY zF#e&hqVt374%4jg^pOMtznp4G$GgN=<4EG(HVC|nMF`vb9r4n)uyAANX&u-JEzu43 zo3Dzl(Rmir6w$t|3B4F<4@lh+NYH^3WtT_)t;eVu$K8ca;7(rZ>{5aTuE8Fb+qHtm5#rN%^(KH~1-}RlmYQd;rX+`?? zM5H-V){1P6S}Rl@Dc2cbTft-e%@1oKXfE1^^mrlks^3M6yK2vOvAAI`2oZEU@W)RD%MOQjORL;8*VO^w>PdP`?JAeI>@xfI=E^v)$K~S!2ee44N&uoFW92pWMcOw=?Ih zYDTi$Pbk~V`19Z9F2l_q882gPBnr3|E61AJD;~fI18I-|0{!j8?7%nsjTuZPM(Z8(oi5>!L3-z3>1XhHd*_SAhfYkX@jY^K$j3+qL0MtXUOMb?dx^-S zOWr!*9_wRQ3!a$8lB8wFuu?Jn@sf*=rf7F^$?P1I1=?LB4Dl`6J*ynMukIFh*A<&J z?L%gC_B}+>I#V5D*s9g8B$SFK?wVU+$bR-CrgMCovZpO(H%tW53hgNc!paq7-yLoY z6dxkD@mOqmlX3)`aDw}!8~MLuQN8c|j3PeEh3ucVfi6>ns6VdhU^n2LQKJ!13uf&< zh13EJ1~Qp>Zi$vGgEET-*ay$k8r7Znl)@e|28`GFYZ>(PDZBRp{TUb5j=?7+aCk)V zr9?m*D!lN~!+ogh-DkQJw(6bDmW2mOx{q!EJ!NdS&a?dCT$jpPV?KPc((?0@iR>cX z#PrZ9M%qd3INhEo8@#R9=XcyN?f2qT(e(l_N9ZfDey+b@one6?VkJca9*d(cDoT&? z1T+9~MiW~yc|)RhH38B3h%2!kF&1zlia6bG=R5Q;6+ZzjdpIpSIo$+7F3^8+6|U|; z)f=)dr$ER7c>rE}^Z}O+qADer<{4_apo{BkvEkktZmy&m=A6HJ=Jy)Zzje>Dn-FY& zC5}FI)KM_>o)0o- z4Ifri*u!Fn{^(~f8IlPobM~L0lOx5bhpr#O8`PnpMZ&FX_$Jh^iRXi?9NUGRjw;}C zN>Y38z~3czM8`Pn=m>IO*l<}&SSh@)c?u=_D1FHe+qnfGFhyfGWc?YhmgZhUkfy2! z;pu+=sB-r1{-hOD`7cs~smWrCQmg+|ueejJ3x2z)0PRc%NVlyq;lM#*R;ghVS7%dT zK0sxK>aLAF`SWs4OCC*zI@S~`M~|IO`>~7i|S({IV zp3$&|{?|u4Dk^VEWdBYcYpD^q3RJ`9P=)dP33Jk z&fxa%-R!DwAU>mBCF#*wElJ^^1_m6FfT-g^!Xkd#5y3U=Q=LC*qnAVH=VyT#4R9-8 zTmiR-xgoQMlT42UV+Zve&AbHMrsFA~(J3HOK}5GeocZgA0HK*YNNc}-iIh8_BONK$ z=oOgs1!_U_dw#7*{|%VJq_v09Vd<8kcEvqUt{TGN{iE7SA@&ntai_)@h{L}Awgf}v zmQ#{3sG25tF#`{1+mM4!*$_E`kU_&3}NJ+D9U!BUdZE;dn^J+qYF0@byg}$5VFb5 zvSvH9(p^Fo8oefXD=eYQf_zt<9wF|%BjY;qxOihX_BYtVM5oRda#+~;*wAK@DVuQF-$j#^G`3-w9v z`!uUw9Z@IjIPc8~zI2hgy~S|>PFQGU@RbwZv-yJ&Z6k7 z)JXZgk9#S{av#o$5`s@@qyYHo?r~K@P#JB-S<}`W2(zmMpg&Ms;}ZZ5(#5{|0gBo; z2?IHn4mt;=|7bly)Jtnofx2D7@jWU^KDDw%Y!YkO%bAte9XKPAooFF~+JfjC1y)QR zy)_5abSX0+{xcdUiMrn>O8_dXirnTZvVF$LpibaVsR*T`v6P8JYsqi42xBL zP%-;KDe?*BCqlK|J0CWgreb>VuFEbzozAsJO-&={_A?HVDVwyVx(*AZPNd@ks*>tt3st$&p#E zBHvNh_)g;vwL2Y2GU9{%GsL@X48>0)&~m|fbqHpU#Nkgq7fls)R#YXtt136|5IQ`Y zPdFY^3RA;}k*Q=Ijz3ETMfNVNt!ckP2P*%=gn_ICyUq6~;}2wMLn0Nd^y_utg=PX; zj^8T)OIW5_;y)Pxdtg>6nKo4d5=VaP$!|P>;oG!A($6Bo?pDIBH|UA6 zn%2lODHPl{iwBrwN;|}E0RaBt=$|9{y^R-$#sAv6dW+)VWA{sAUh_^mdn3pFb4-c( zGm+R}`UTcFWRJm2sLfe{$_Lv2T9A^nt`w{{_6fGp4EOQhY8E?6~b@Qt{eJ z#QKWfQQp<7Afn$)e_}fK;5PI!l-h41P_i9y;%1MJ{8ouYf0M)wdMrN692vpjb~DKF!GBWGj2j4G=9qd#d6nO9x5IfdJ7$`O zq4~EyngP}N$IWjnOa%VrdCz!_WOQoHNEtz|zh75X2yF)?Sblvptt^f)s>K)>(DpxDwQ$!0bBGC%|9fx8 z7Yeju1;TP6i~7v>pR(&k525nLO_lSn`K36?x4uMJzSiyw7;ao6pUAS5t1frcG?e$% z&x>_jzy!Ju=vA6lXgUfO64Vq`tzJDIcHB4AJmu@Pb^>QHrJHt&eVlG?rFfsZ9DI%f zQn4<2Zg?j%Vl`hCBs_k+Y-r4NTlqhl&Vnn-{_DbYmvpCeNHcT^C`gwyN(`OS4FV$F z(j_6?-RRIQ9RpH>#DH}FZ=QF(A7R$LerwJ-d+%#sP7Yx!(z{;(RZEVt$FYq+l0wWC zn4M%(MOWa5&1VabkNbYqG`hGufeJ8x@6KJ>Es!O1o!{xv905tK|!s z%3lNpi37ei>mEP-ZGtwSlnV--(0CGXa-RJLJn)xd2}0$BwL8W@H=!S1xN>V1HklyV z{SSZPFE|DZck#aQH^ZVs*3C|}rRfjp^dl!L?JEZ70ZF=3EA5EoqkD%v)Mnd}$S!XT zBczh7hNR<|d0*n0gxv+AzEb-A78WIydT{4NcW&1BHW`| zjvmckRwx*xP(87FL3ye{8`X}gr&G+vsmQ;zW;ZQKxa15axCez%O#ZnBE+qTiH|z;F zuf!H1+-PaWc~7bbT}1ec*&7CXQJb6PitAy%>v`b9yP%_mK*!5x=OwEn%xD(mUF6~N z0q153fFD!~mc#EJh8{?yPun}f@@W*EGF){J3; zlM`d}?Sed`2G3JN)D_dXW@P5-Fask4(3}rGD`Tf}kQ4|>F16=76vTiDvhfdQKLz+; zeQrKqr$*m)gcK6;QYM~(b6vzBh#HvEt&4jjv{h&@>l|WZEdJfO6KZ6sLvsGIV=-4_ z`Q0~Ck-gFuO2XyBEyw0w0dLK32_D{3EzgO>g+F5z#G&(E`_9Mqr_A4PD|j}dQp}SP10SX4IFBS~dJE<6;?Ek0}CIMl0QMCr7| zWrW-py{6+!5Z(`UFf*u3gOw>ofzl?Kqc=bf@X}Q3mgP*kI)dpjC+xTfK`QSx?>PC6(#em~_2@Kd$v;b^S}N zITQ|>yCrDDctzE_C;fC|Qc~m=NB;nOWIy5bXD`grtX*!aMtvg)!rEjo;Npi;m?yD| zgZS<3c>N*YiqFBJy>0=j?RWnv*=`em=&U%qv_SlEGSoR1= zQfy30Y}$+)JBLj2G-mLnQxr04PTW^5dWrSd5vft&rJN{B8U3N6bfd=Fh$UiPFxs5Y z6Gu{hKDkONDR5>t7no#}KU2fO9CMB2Ta9nk${)8DMQW?tHY5*x7x=dx09~`t-E?Q^ zT;vJ=fx>V~M6?>T*)kryR$3>smFA0h`?whY@ZO6!yAJPRf$uo3!gq0iR?oU8`1lt5 zKthnwWRVkKioS;Di!SQ@44Mm=+bigbrn+W2D*L9y3RWtUsoB^8A*TN0*w=y~_n>h9 zM>Pq6UIjqW6v4hbEbIidTQZ*hmNE@7z;k3cLSUVmc?^rU2NqtIA&Yh{cwL^&ppA=07uRbxmk zsZ3B2XV@WDuERH2v2IGToHE%(B>Q~X2^5Cf6h^QMT_=W(omHZHxGCu3{kNIKBTbbv zxgSe5^Dy9lR?-2~(|>nhlU=`R{P^ij?g;c0r2BBQ>+mJ@hu`Ys9O=1A@*wSHg$c3p zLOI)|0rpODD8~86U|}%lzgvE$4-cA*jg6@$7t-` z;=Q-DHUsoR0omn%K+xeMp9y}>aFE(u%EZKl>J{_RwT|r@)L*J8!z7>rtT#x9oUu%U z-0k{rl|eRR)1%}+kot$Szq+8Wbwt^362VKb{~P2i+M+H=eZVdjaMDV%{j&BAmM@wv z6&7;tj)_ugSIvMGiezo;xio^TmdIzCw85{rS~+H`P%DSG6*&Bln_}jPr)gV}38%?$ zX3sp9NMB6GH>Gsy@cpEO8N%vqsY|X}3IOYZv;37-6#z7Y9t!I)HhBVbI)r@Oi=#-Be&!ua&~GG%btxkX-WzLFO)vpwm)h#6_df zo~xIE%jmKNfOlBG3fNZxKVOS4M>v~G4gx77CbHpA*K|)?$E#kDN@HkH(7}^@PxeC~ zAX|B{?fBZ?dp13sU@PGave8lsY_J9};ya6LHuGi>?eBfN6SiKJ59&+4J{;>WuGuSa z-zKFQyyeV?1wFx^BqxigdeEyj2VL2{lk4)6h}^eOY*rD%d@u5~Lws?3f27TRvt$*j z;g9=kpv#eNDRm>52ilOe?0q|={sdqND^Vx#QWbu+C3)NpMT)qD$<#iN;=n9TW%Kbw zzmT;QY0#9p6sa@iE?qK>$)?$sw)oXK(pas%wzW83 z{+QC_OmR*{Ny!cxRxzEi+WB56^VB{5QSfPH^JQya2K;G*?mp~a#!GJ^NeWb}Xe<_F z5?k>nwwOCLi;n`oFfHU0|5aGfCJ)I>P${`5B$|%}P>Q4n*oV;~*SgO-7!Nu%V&x4t zCRu&sYEkaoeS>+{UI-+CBmxK?y+gA%GTF=9aG_;?I0EdLlX%l?Vi_ z)P5KfX5vK+d~n44tmmYcO0E%Z9l2?ABL+_1I1HTMOE`P!za!vA*cI{fL-Llz@l;T` zu1cebgy$a^HYVy1;ab%*wt%Rxk1!8+`q zA*nHc8H?C60O!o>o2Qpq1z*UAIA)vHu}(`U8@1&M2s+<#)fu1wt zySVi6ruNmWVIM`Wi0^j2i)u<=Tx)~^Gt@sBQFQG7Ufj{Q&$P=6OLAwXD9@0V-`b_N zhv=xiuI1)Wx=$>)Y^n*UI?(65^^Cm=bp7|1MPry()_X>Kk4wWI3(F3J$hN`v$HO=a*^zjnsn~X^nqO zb_mKq7bY~E_U*<;hPuv-3MAlvb zgI4Cor;jlp-1TwY@pxj2)my7uArAc~{V}f0G8ULIdIH0Wn0bkMUlSt{+oED=W(%@XSQ~04ig&1F@Uca-E>kCT= zqM7JoimBrIU?O$flH0pb_ROxU{ek`x(WbAsw=axvxICMVV*YmcU6v)eP{F;)79kl6% zENF0gzp){tUlcPJ{wFJB6ry@MjxuS;)Jfs+QtDKpt}lW%%huM{o*C;M#Yw87g^V%( zFvSgMQ*_-E7qreU{&}4TiPrp!R^a;X+%AW|W(KXM&Bh~Z&_C9OXK%6i9@a!hqfL!_ zjGTy~=~pJydaR%CN2UuaE%>Po|3sP=WQcA>{$WGj(+|4P2fqqDjRrqG^gLZ9*RNkN zO*a0NbVPRs@s&v&7)&m^cLQFKfAp;ZhZb~MfLqYSq{WRpAX_T3SOzwE;{R+HjBBq$ zZz)zke|ekaPS08V8n<6u>-HNdhw z+{c}7%{J*?(j)WpyYANxCgm`1y%#yzawz8533|(dyP(xq!l3*61X|Quq>rZ^`CQi=@}E&W!_paxXHUJm-h^e zX^6&koK?L$vC!9zEev&>yIu^-6v>94+b`T+(<;s~RHNeyllN7XV_+VBGxsO{!qsa( zKcYv%wp&0QV3KbhE?VB7-lR$xd*Mgc+lk+k9dNm{R>xx@j^#}Tu}uyD(v(@>ou<9O zGen*v|BkeNYns7|Eh!3GDhPJs&mL^m{S3)`GVCq#F;OFtP4_8zSynlx$=29pIk3LJ z18=_uGFzzlR$@T+^t!(V5}EV~Rt?|D^7$jg`5tmu8u+)=y5}Ef&rA-HQew2|3nAOj|<@Rjlr8u!LGrAZw-o!ad zH(eusD7oTML_|YT1W9`S!T455hg?*j zJpMzO`J~kCQhcjU+3>mUxr5JcydgkQlOfV#K9H;t!hJSenm?1m4&Rb^kd#Jcj9%nh ze>nE?LL|%ftb#02Cp`T4NQM!3c2%~XGvX+aFxyli1JURG798nV6&e|II8M_-)|HQ{ zKKw?%N0dlk+r_tidlwDD?E8_^5Aw6XW{-}q26C(XI2SqKl2;uRi3H*6lbavWF}R+fIeHo~ z)^O}wU&_p|=dM$+!W#6mlxqA59%@Ey&bV^0*4`LTNO6YF6I;&(;$(iHCrpsj+Q}X+ zz>w|LcC)nSr9@od;Cd}TO@9Ov*eWl3crL5J9@?0SljpqBy&LnsY4q-Syr_XQHcU!r z{<91H#YSK_LO_@>uo3;r*cr1A(fMncuvyrFhIcrcl<4dof9Td)##GOhU(bRr?)CGe zr3DeE=2Uc#s6O%QMW*Gw`)|#OHa#zt4BMO%j2JA;#~7#9rHoJotraumne2s z-(#Nn88Jo<>J_G7MXP#r;7ep(0_P_FzcEM8s3b31u%(yF`aMQ&JF2Wa`>fwz@lxmb zLIe}zgkL7m>d%7b^o^?xnjn zfKq~QKjaW13Inb)iHsEmOzhb&KH(fNuPYA{?665{9W9RpKL5h%| zm@^IPQPdI`PpT%^>N7;cJt%4p^nQw4bK$>wclV!5h&!}fun;I-df+cp3kUU`DPyYk$J-6&rMh$0=cI#aj)1; z3@R&<7_Tu&H$a}AH$eO>u#qj+=8Y?kV|viaZSMDP&ubZ#SK}eP!6&gTQN64F=9wI| z_`v&yFYY^+NA8ct;e&XT`x$lSvd3RN*JKS~>ANLAaNJEbbm6f<_Yp6yUe=P?T}>z2 zu12nc;S>X!?FykVx$!Ybw1_y#aC2jKp4%(bu+f@|_`NT&Og@T~F8Koh4HuY4$X6gD8nay7I znC4dCyr^Q@HWg?0`_Yz!pqlNg*5{Zv98gDH)Vy!!|0d({$b)nlBj$Ss^gq$Y5iiB8 zAYJPw+yS*qdMpPb=V_9c*MrjC0_LTEW$l+5s$Ju=Po!jh9H<>=_V3!4Md$ox(%!uV z|Hf)WlAro!krgv0#n_sS1yv0qqs~TtWi)$>GsysR)q$R1OUj}a7a)V=$v{a#4pAhb z9|a<*=^}U_<4qg{?En?dcI`fMBCv*^e{_psXn?l5zACtzN2Atir*%xVc~<(iNc!)# z?e4(8-*kh?`>Z(%9{T)5pINqGzdrAjKkK~}S}vB8;7|b$ZJ2hNv*p@*tThZt!P@IX z-%GzQUwCjxhuMf!)ijG`K3li7EQ3#y1MYz)T@TOAaIy(dFbhD?v|$TdWXVIU=!all zQmk08DzBGM)V^yhX_LaY8J(0hce;+#3OH(7@N}DvP_s5f7;j9?Gp_lU(H%}(`1|R3 zzGn(W8BuMTdh`h<<{S2+q`7_v%=1frMPFwt- zNG1rce1wg!bi^>j^m3;U;BYPS>vHIr#vWT&F${X5qB#Etufuj&NextgxF>yHcH`WT z6jX04r^v=u-o>#=Oh~(~w-LTI0JXG1&(68C&<*PJja?PM=S7WLj2!Zi$wKbg@S<(h zSKhK#DWjVulxTY$?ur#MLDi42_(xc7Xwqpe8DRH8>4z()4c}_4XBX)=Up&8MwK_GH zOs~5T?OdbpRRzbmxEq*k{;zz7Rji-;WWj>(Q%dAF1Kx}C)lz1qq^Qv0`&qY3;h=*4 z@&E@z!X0$|qq=eWUNE(JIoCV?W)9tjv(9=)eN!K+Jp$87s+BHg31*cAN(V`nEykLa z50_PGs52NN#Eqz-@RshJK<6YRqz@FONlP8W&7=Wd*U4upB_EkfnP{6>c}qc?Apog- zy1V+|af80-_bG)gHOawWv*;^Ov;lx``e+n;3ZRGcc%n5umxM!ZicnfTejr)m-RS%^ z>}53>Z|!L2PUxP(1o_F{N?FnkmlZTXXT5~Qc6@>WYIBeH;}3-={KBROsk>mVYXK@c zKXOChYIP$bX!#Bn6)JdySb@-%Ko2P&v>Wl&mn^dqc1t~_T zh?=X6WB(<2@O8-H8~G_r@>b>~sLb%yr{UV4eG~61Rx5faI@xE17p2?-*(5^4uC`73 zYL>xf$LtF>rcd{iPySx_irEtT>O!md{pekroNRJ>aZL`uKQl(W=-|XM2e!jI2@isc ze(-kizq3@4-{_k?RSPlL@_8{Y6jAz8%n$Eb1eAp0L57@_oEZy2d+_@lHP-uX_+59E zyPHlnD`b6nEQIS#rfWHp^tmm`x=U=FwRCE5@nZn@qax?^n#Uh%!z!q-0t(irs=AQK@!`Tycexa%+oWH- zgHSnBcWPN}i<_vpq{^VjWfjP3kgFW;} zOTO>fSNAQvdB4N8HKlk$V1zid=$J`*)+xOe)??K(8j%Cg{c82fW7zK`EwU!(yZ)X@ z8mQ^JRtcStUg#IhmDtYEMZZkfT@rU}htJ-rmjEY5=?q%&Q@R0^U#C>iYlzypNY2X* zOzA931FFxa;R9eRfksCY+i?)%`u$$#)CF0C_0t zIg@FkSKs8};NO%dx1TJe;gNvi3q098t$~L;zB-<=8Es&E^w5wICPD8U;hdAn52J5Q zxvhzSHgC#9HavX4)c=sr+iS;=v~pfjzrgjavg*0q#|R}Gf}^N6rL85JP55;IMgwc= zFGO5QA!9GL9O8I=!wLpL7IvzPOuCpqbTB`P{taZ!AD8-jx#FDnUtqyB^^xap-2e7wv_Llcl zb5aeuP$*-`XvEm_RrEcB28@^Ehjl*$iY52zNX3^?ei~0FBaeok>an;UCt!M+ug^)# z97qKWwJO2bi^}gial9wW*j&WrBC3s3aKp<6FpuAcoJ5^sL=2*T!2m zrCfQ+r_nK=CVw0op$w|7cLY^`t@j6V&VoRqZB)_ZpP>6HTBN3O?N4%uMY4s-{#wi@ z>LPA_tNFL+|AOn{Pj>;o9xNt*&T>oaPQ2NR9qJEQM_ z_6G7G5!fh>aG#gMJ-@L3FfD!Wm{e>?59McRQ6-%*W%i4CiKI(icm7h8W}R=^yV^1J zmwL(*ZceJT)To8YDl8k`g?rd#(p)Z{ZF+Hm9Bwo3X{9xlbxMvG8Qh0hDJ+A?DYgw& zFuLGCF4M!EZKpcSU1fECtvO$vpKFe0=E|eRz5MD2Pwxk&GE&R+oWB_!KtO<`>?f&t zU~&OBYznTui;%%|vUgziCDn6s}xgs#j+!^Yh~J^JTF`-a!ust z#Z*L>?mkiI0Q zCnn9T7?OT|a!@2^cYNFn8M9)(d)&Z(G`|E&KRthZ@i{`5i}v63y_nn>b?MuG3?=K# zFS6=!p1X4)2<$U6FmPknY(QTVH=&9{x<2v)*07H8&y#iX*Y7?Fqf2D)%lZMhC~zqM z`y62Wrje_*>Rh&HB}ePa>f5by4zLHmG(N=5>Yy^Q%Ddp4ipiMID*EjUrKYTq@R(H< zNfq4PT-o>`mdF)H9zQU9P(1R-xdRAVh)1IAVFWv)CLA&He(USPs^XZkqM(kcl6mJx z&U;Qy)k7YKsyp;?OyYfs^jvPimCN7hFqz!|6$t^z2yB^3Z@764MYWLZJ$A(Rfa~Sk zn)YFQ;5U1&MUO#Wo2g%@DH+wFb{>1waK3nlx)2lDCi{r-+FpT9*d&CKd-sP_L&Xdt z?E_57Yhdv!UH~n>6cW+nb^KFn#n+{$>VBvV3QF3BjeKrLA;)Vl|i1|B<15*CzC+s~dSewT}UQ7hPYthHfOaM`i)lylHFh7gUi zW9bmRRvuQ|L#-t$;IMGp!}gGU@=mum z;U@QAXzDGei`*yk2_kVjoYNA&l-cwqL_9x87y83C#U#z8!_}YAo*B9_&BoLWulc)I zPyb$d;c-F%TmmiQ(&=G35XbuW&t~l06vgWva{bX=#IqN?`&F6a{QEPj#Uvdf6$Fvu zIU%EmkoyBknlW;#zQw`t>O!4n92N}*jB5GqpRXq5mf(PbPa0Y`+kWu+)U1HdB6n;M zCBy2WA~?|HgT!wBaA3KRQ{q~^2kO3S4Dc#fV{C088!R>-4s|~o&SfU++SYPn&dt#FGP{ggMf3zQf!v5XtgSX0ifL{FY6^`F&IIeZ#9bU_T( zF(Qsq>(V=mz9A@Rf;S-9is4e{tY^ZpfahnOUah~G=VKdJqciHa(6K(ZRJm?@YHP}I-$KIm($Dt{--PHvzQp%iKT4xecH*Rp!o;%hjXZCNsqip0AZ~Qkg6Pi-08Vb zZ!o+6WtL6DY18;Vycq|#qpYz;u0d5Y%g0Kd^?iw)t=9Xe?Dq!h2Im}aUNS;Z1` zD?xv-a8ryKVXHbh6+ioT4Ib#FpVld)wv0axT01HQHJ6;3>Qhm)OG%ypN$it#5cpo- z@62DFO8cmedFZi`$5CEG!DgsnNKdCu>(8@}bA(HyfE;?}&mG;bZ%_`UrUBYzXK>GJOC4gwiDUIb-nBNNE_N#clr0+#MdKrO58 zKvL%VUO#8hWLl44y;y%m1iUtMF>otjQlo@eAB9KH@VYl}*GlN!DQQ=7by7JW_ciyq zW>Z)Ib06OvtO?U!&RH=36=n1kz_n3@SE`5nKnZx@GsKQyd3q*7P1I_07y5A1NNh8| z@a^_ge4!8+TEuStK}LL}DMldiJ9SgFuBqULBD>b6tS3q*jkwI)%nfm34L4IyWXeSJ zRLES!Pres19rT^oG>7VsoUNmJx?f|nN1Kx2tJP2E)A79=dG+ggr!nBM$48*d$>eS4 z`6b)!PB)O^8)SpU04;`3`f2j1wrx(UaXA?OTJQgjj#|o9&q(`Q9l5;2XT|&G?MU*q z8gB67o`Zb)ZSUd^<$aE(YKd#(j8W3BMyYb{%aRi@V@nm@$`P5Y=ew3Pz|1kr?b=s$ z{yQ?6te`6!{M{m#;{<(q)8On43*n$cD4G8P;TXG2paJWV?50@XAL1cu%`~HMfqz7= zowy$%JGpQCE;%j-ks>Nk3b_`FlJgkDsL#H?b3n!D+GIqSZ2vuzSo=0}3NeL5O+&2cn|@pL3Jz1v(h4T1cpSC z^yzl1|0egM@4{|F;u!l?r^`ral`t>%pRg9f^Zn_uSX8ry#FemUbu}~D2JQ=DZ-hAy z9q-}Ju|35v8nEJ9_Iy>wvKxH4WH}qPGMv{TwqziJ0wW=desJh0?LA;{Sjf>t$}=JK zP4SAJ1o8mziR%}cjg;wsj|%Z0H5kLEjoA4Kz-CtWVN6W@O$(+gr}xz-x0+joVqYXI zui@Zmx{E`W9{ir0?Kjru!_?z@vPY%T*$u*l>^pu_T`Ql)A^OnM_BVe^r7nInnB6s* zWj*|hN;;b;LM|Bp0TW@#~eMXT3gLR`-rP6+IJ@NQXnNe|)NIuri{UQ&VU# z*{VAyQ%$k6JXAqtg2qx&J0{uCqVUO&pH}g2A9A~; zw;AyFlfqWT&s)dCpr0oFiRnw3`Pt>oNGh`&1mi|+Q8!&L#ob0vX{KY1HU)J$5>=MP zfvj&ckGVUWn3IvXw%tH;ER5m7wd6+(4-j(JGoz>eH>77WvE;{I+NPVc;sVY-IBD+;w$2W`jH@V_?+AS6x_tElFpkk*n9}&)r6=zGWIq6Iil9&R z+#~A}aPnD63eK$^XSB6FNw`l#-c3f{eoXLw^kbxOoA9nWB2zilhLMJ&f5oQ2@At_+ z>ghlv&4}4u`-fIkKV^#Rsy?g01b(v0(@BkrcEEV8ZxjQQtwv6!$2k#v3oG8S*w;2$ z0lB2!Z^y8gisg1wd-xR8gK9cbn2ov7%cY|%capabBtB7kNEzA_Z9-lAP8I+~oUHZd z#sh$ME|w31@!{1>`=FFK8|g~!d_t|mFt0&?P~!w{8{~hLOS1eJLdW}&QPDPEjs1>&InK8@Q9zH5`haQ zULL+;Sw}n}b+ye{4(h+Y7tCLp6rO#%6%*YU6g1@AP=<+emVMM)z*-aADMFD#EPV%% z-mY4^W{OMwZEoG-kqmehXp98Ot$^#$lg&5SozUKJdTpK{dTw!QrV_ z7u`60i;D3;em-CdQD|J<_6;qyTS@7C~#*#U~`r#+HiH zP1{NQM#dOqxsV8sN)L%RLnQIrwzyV$o2yix3qm_K2-RrJq3KQZ0qeiPyXleu>}c>R zdsx@T{~7-+o~CvYjl$~i6*w)3xDCDtB+LT+9R92-xBKHI$63m_g-w>z^=sbB{Q5<^ z@)OK;UfNU|e{X{X6_QNHByh{k+ICY@z1dqqw*rqI0rma7`SG#YH1E1Opc-AE*8bjk z@}&)XDu!p(6Sq&z#}%N4=}%eZ3s$1+sXKsS7LYVmQU9j0uHwpD_j6XImz+AOF7cw@ zs)PxM8I^pky7kZ3iIalu`2?x~ZAQ!g-6)LnLJ z&^d}PMw$T%d9{^PlpIue5$-+M13Pn*rJx}%~K2yFFwS8D?)nFGYSJO zQ5thqvP#swW7R&Gblv`~7`2kAltV_e76IzN*ZI(OA;}JnXnB6eZEH)V@)TD31v}-F ze}t@rMb}buy)NyyWu^2Ti{{RdB&pr$vl_5AJRp?5NkWPn9OU9v6;oGi5-8~p02o#l zJcPeiF+?XpU`&1nN_Iy((|lMyzd8w}8_4a|;x9t_Y#ryiQO4XeeEN(~3KJP53KIdl z+H~Gik@@i-Q7GXN-=#7%n34^6K6VI1reya3R5&n_>2wRy>*KPoX&fNP2dWSvecf!3 z?kw8YT^Ba9jiPgR)3E}^n7+)ZIl4V>De`>E zpRRufTETp<(EUt&3$f_y+W^nCEj4IkAE<8@{VgQC_bQdTl7`+wY_Dk6F`UhOy#gZt z3UOCPD9~Nv^Tq`=8A;YhZ2teuYoQTCRa5q44iw(~?Jm1f2;81HyyJIqIN}cg`qBCH zgvjADcNj{M8?yye!;*D7#WYI0=4%p2=V@UYk5a4k*|mBcS%B@Vt0R2-Fy62BqURJs z1x^PfpSM=+cUMk#P=iB?_m=Av@*1`{s6&e>FB>>Obi%?Jqsljc69K-aZ6hJ#`6Y>( zcGtUQQ`hcsUp>foaFFkwMxnHBR_=8N0%aDp^5GO(WEd>nhly&>>Xn~CIv-Mv<3?B5 zZI=Ch%Tp|B_q&VmRe|QoF}euBKC4tDA35hGv;Xw}XW*+i(+>m;{*PHV+yPfnlOJJJ zR6#VKU(t?I8q1c1&)bak{mnK>Xmiz|E3rQ)Kv+gLi09uJW^fm`fATdnfEt04Q$wm5 z{R%l<$M_G!(-#&1kv_%ML#owIp?PXR9zab&OE(IS~E4=sLwj(iIfs3I(0*%XSvnu67 zv(K7x&bvRxQI*Rt*A^R5inB`P<*r|!(D>13p^z6&o}ClXP6UzV>y9f@EZ;}~$S$?= zZ9a8qiJzTD{^Y@>D@Wzbl0Y{}Ee?-^0GY8%U+(NewhhCAygbxJ+> zICRCW(Wu(F{%C)#i5<}W?BgeA78N#p5Q}r`^&Au5x4XMbd>h9u&M6!MY`4SIHQ$_W zgi-4?hQ8eT1;ODS6Ka}47QtNqIAn(6UW7!Dd6HZ5ty9+Zvl@JCiKVjPeNZMEzyXJn9JWW9iS(<8_S|`r*{3IFE%(tmGo7m3`T7*iWep%nI~@FhQ26~B`_3$&5Ivww99}16nA@d%4x~$9h zFBn=c91gOfun+Q{%x5!F3c6|3QT#9Q4xCi-4#iQx6(dOk#j^_@EiGerC=zeJpR`q( z<8vZ#`t~K9s~aJ~Ers;4%3}kaR;wgGnP-%y%D5$$zu28Bv6KtS9SL;)000OvB*6Mj zaE~f!MQ#W)s4QY=y(;OoZg0<}d)#dl=68l&S8 zL32?-b(9|qXhl{Jnp8(T*G4-ouc*!(7Hi&j;f{W|ccy$)8zEF$uST;VXHDhJ?wXwm z_E;QRNdevEH2BbBKm!1L-62GG7mXbG)iFG;`@3}FG!A{J0!pV#XLRPf;e~Fwjwh3q z%N|z}bB16v9ZEAr4=j&tc&ZE4SNxMB;ozjaa;~95oJQKoA(V_{%c6f-!7+=Z?UD0J z0ZuAGsKl)V%QY4)7L9GxhUNf4I>5Ikj#^9J79M=JvbXiYBvklRmOl zUlH$dd6KMpRFd{v&CXiIiVs`%$gOvGqgZ2kABVJy^hnhDGg$o7Jh(P4TjvL-L4P-P zV4Jf;UgFuOLnEg)tD1YC@W9u2w9>9DKEHbNJf?@%SAEt)zhtxH0T;q1*F`@N(tgVM zyW+2+SmmJzIDN0OL6|x=QTC88TRB_$<_LSAc7Kbxj*5@ZKJuX+IBe;_zusF!=Cc~E z$e`YkEf3WS;mwtIMR>w?xSW(EP{0c;CT1SqAO8!_nRkN4=4Tl9D)7|&so0rU}%X~m0F0ceybSnXjj3j#bZFgoZwAlt9ta> zAuuD7Thbs1RMz989@fh?_rL-vDYE49?>@IG>j!N{K!c&DAu*U(L|ZNNNLa(wGBz7y zUK3-_&6&*Yv^ziFf59MS6Ht$kzhzpcprh2ra$VOiba$smG|QI`42Ipzs!Ml88|vE8 z)*Mgzj=QfR8{Lma$cid5ce_FKV86>(0%$D=bgD&bIk%D?oo4r*0|q>tZHCsklzGo` z{Kor^De*^>IyUwgq7b`2V<+df)xyN?AH&4LAhaOs`a|9j&?s ziY~RNKTs#+3k6v|=I4DS)D7j87eM6xWcUfYvBcnne5;gylH&&VD1TMG!h*H@v$6l_ zFF#ol3){!m!G!@y+IggKZ@P&k--(lKWo%QVD&?hq&H~Ny$;76dW>~CS`_0w zrxyE4c8VpMHuG>ycXsQl6V!5a7enI14b!eg|AJFC1>JB1u$?UpbEBd7aq?SaZN(;t z)`wgUryXdA%a=&^tPocJM*C0SZvFV5U+D1p;@79!GsiCHRPpK3{7j3yxo^rPoOzlQAGi6bmSa+0RW5F@U?F0O?);T-^*(es#tVrkkCV zJ*iOcDLTP=1cWY;_F&%w?NoE?Rzw5;%Sfc4P`Ks;<;oQ`-13{u*2ywKz!YhYRybat z*8|f=giX-2@FLd67qX$x${XYqx?JhtE8(pkI%vIMC|JVN>z0;ksj)90lTKeGw%NKo+FhYl9gb46O=e~P3qgx z91?&hp4-cC))@NGChri|b9>Ng$8i4brS^r7Z5Z3T8XmQfVMH_k;51qI6Bxc;b=zaLdN?d#^#;a?I7I0|FR6SPbsxwg8{ApXs)4NNgdi~-I!%^6vrTc*+q5>C&6v>vzI z_898BxL3;tt6GunhBD@oxKzd5vM4nQ!!kug`Ymz7-Uy$ zS@vn*)JyT0{&QgduFl>hn&%#aEEE&AWLW#Cy`&=AE$fLmv9!5)0fp^4xe)A+MTJwN zM5w_p%D^<{-(d3UWZh|mD|J|#kryY2XQsDnbA~Tf;w=a|a?w2%kwXx0dJAiknXSQ- zlV?WD>RwhgjJIoD)ysM9m#ZZr0<;!yuuRVyi>tuV-&c z{Gbohk|Yqyg`!@YV6%7*PM7$k*CVzgQQx-=LafJEQGR5z%jn&#)@DT2{Y1~gz6apd zok@BSMYgN-_qv=4FD7!rCSb$YZGWRbkosbg%2`aP9vC5D^(=n*;&cr_NQN_R#UGg0 zvc7}>#V0)(`(531SY7NOHcL^eFZsJ9`vcc%ZCy(Y5BB4Xwc0kpQ#I5R5^V z7~XeSpC^ajP6U*0)ZjX-zGjXFy(l2gwjXf+2^PjmNM=*NQ!Ai$Xh7TIR(AAPbfUfC zBDUM3%y@^AXCr)u|0bwW`v9WSv`*u*ok*lcNq_C!`E#e!_LyZ@3KcvkZ(tz9m-@Xd0@`ni>m{B9V!Buw@f!@#urZbUc3Ln?*?gawaj^v_)5 z%5@6r_juufKJuSTq0GmA&^yxl1$t~0^d+EycPN`|{WweHH=VKZu$ED?LJ#^LAjN6W4j3&`dS%a(+h0I`XFg(K6BN4+kaj$7*U6tTf{Q*afZ$_xM*V&imMCLN5ek?7ZWHxrC-Mt~BTci+<*R+Og3@?&aLFg?C-?NMwzx;$Fvs z#(;1mg%#=G_w1dL6vOS8?nRCH75vTN|T&ckoM* zg4q5AOYG`21GueJ>p9*hRCHf%$d%za>cNG$SW?rKgC+En^XFT3pf=1WbSz^mix=+K zg>%8Lwy(8Mnk>Z9y4O}+{bS)VB8*N++TIuU%p+Z}R?qRF)FGqbt^P&)#c$P+X#_K` zr?FTQG*Dj(V(zJ9gA6JC(atpIt>l%WEZE1GAoI9+#fh0eg7LJ7?!iVVbjX)mhzCKT z_4m$97hkA#XXVwz6uj6``169XyQ(N>7uqK4{QyxCIR8tMK}?2iyy*C<^+0M_2<5GE z2mfTgfWN{uQEy|S%vhN5`T29f8Kp)wR^)k&)DHKk`Oedc3hvOd%o}E_V}!mov{gmw z-BOv9JJIA0G*fImVv~A{Z}N&#Sv}77zF{8|iqPANB7|DsQbjOpMr?~F2vy#UT|c?8 z5iDnVO#r>vj00?<7J;HoT&Bt=FZNkxbtn8yI=6RH%%zB5IKEptK!fh2biZfEd9{r^ z-C>^HA)+`5npiPnNierycD%1*F%zAMGeyMdQV)|ZMT@Jx{5fB2?I)tv^lSYyl{vZf zn}i6dYaTtf0B3BJ+H|YdBP|}AOLrU;`M1%IfmR#41SK>=A%Q7N)&>C--FszyCtzC3 zDZ5KE{iFD7@8>gE`zc!m@$zqn;B?!s$)nHu(~Q;rO7*RCC3uT?NFr=-Yysk3RXa%Q zM?BbMIIlWkl|9DKY8_CM8aUd^dDc+$l^iPiDwbEvoEudU`QGqr<&amRZ~&HlK;rav z;IkOXD7Lbl9^UZEU$4t_ny>RCcYWc8y{T~no0yRy%0)pWZM)#BoyOzKltl>sH?H#=u&Y1?@$xBQF97M`dqcbDLySVu~XYlS`>yA zXaR$o48#}XCL7z;V3FN#0cn(BaRbM_SUELXdrWe*lU9O$^ z%PMGaap|?z&2^2VnW8#T@^J{^rpi9sx+;D%{Er%nkg0(J?KI1amyF`Y&WHA4J*Ig- ziIUvXUH&mi|6@9kY+mbX9Mpxna%iaOcb3r7ZBTw7lnVZsssEVfNTt(XuH1p&`|a+)~VoC>WG5Cfb>F}R~D9a z;rh^$<|pD|7c5)UiEgT@@f0S9ooR7WVA})#sITy7 z7o5b)e=VXNmpU6&_(MX&&;u?5?~X)p2{4ZZNE;dlwQ8DTlMPgF_lkww&{4x*9i~^- zSW?Iq>=)5=3a_t!CML%{;rzXyan40B^YsOaEX!vSyT9$Ke0>?PcfRUDx3l1{^{cQ||3}kVctzQLe_KFOQjiu99tkC+8Dc2zESO{^2hbsu!|x3jzriK z#(SP3LGA`y*-_5JrkZ>CR1D`J{UOj#u#Tx)A;aZx!p-4Av-sbmrqO?_GOE*Y*7#s8 zSAAy%io~$P;`lcUU&00r{*x&pUr6%VZG%=BN=5r8hsK^LyzS!7Q?LTeW7Iv{gwb!Xyz zc_XTk@sz=8n9n-m~am)@_C3ayafg4RTyI zV%u(3ye`H=z_p}nyqeJJm0|5H!F#o$@qIL65IWdfw7`_=h~GwyS@K+t#Lyk3Y;3^oRd&ZL+OBI&ZrMmg{ru;+gU#A)nnNSNuj;k=uh^ z;xgtYcsN=CD!?iek^y(rXnj+^1L2k=RF*E1NIeEQ2gyeWK$=q-h#z=hVO44n-|f); zcj5e%URhm6B5{ZU=^6;TlvdmvQ1b~4HyO}|TkXeT=<`6a&uY@1 z%40}R+GH)deph|LDm=3(9~y&O(JelqJwn&y3$u;$^y`@NI{*CMysaUgoQ+RET-fb9 zy;1aI5C|a{`ixJ$0uyh5?{o5n60eu`bOAo;=Hoyf%gtDpfNFZFnA$kQSg}-({NTc= zHEvyANhGCU=p$4+@vu)u>#=OaVphMpx~}wedLyEtc;)q_+w600Mg`NMWSrwVPBoOh zpHd~wFQwz=&Uf$kfQ}I_a9>%^ z?=Z3!&ua^Fed;)A8tr`ggDzw~)Uux0zVPcFge~1PVxHupPsjJ;l+dFja=I$^g6AIh z?&mw{%WGySRNLB&sP+&j@Y89rL~EwA!u*6b)xo{lI`1G$9VWj{MU02_P zcdzhG>ZD_oup{GiAKIwMhxykc8L8}OAd_G;iW2`yC(M8{zmC0 z3?kg8^!PTmQ8dVCYc0#oaIe#05##7O%X-D#Rl{D{(vjmP;XD8D;fq86nkC@}+BZ-^ zax>}Fj{0YM)=l`0qKNRHgt~~Ta1=6(jwP7!4Nx<-_T54;gvljZPD4zlNx>?KwdRxj z^p>wXyeoZp~RQP#+Ng+`m2uJnk+q1kEv4mi3glmOEtD z>S(#$FF~B34}eMZLkz8--j2vzF8#Id7TehLi}+*85wfZPCmeTn`F|*Pex|E)eyfW1 z;|13y9Pgw*eqHGfg!nySCM)FsJWDwo@0!4gj^a$T^e>H2uK!gxxYXBpSS+#=^uI=* ztjXN|R8LPI5xV0c{O2CUqYi@XNgQ%}CdVR3TPY^)x)XMJCwbNaSTAJ~v9?`svbb~3 zfj5SL5N@`WpzAliJsCR@24m(KEORDgUGjT^;LrYNNr$K;+KQC<#F&p3IWxB(E}HfL zrm`_Q=84TTNH+O^q2>L9WhTcG^DQfk%!YyYq-G3k5~b|A`cyS?9c9Auzq9L6dsLVE zwL8*wax4%-v5j_CX`7xe(4x-#j1!CJ3a z{<@=Agz+zjMBd&_%1!`6TD9#h+-HMNwV>pdFNE;DY$4hj`;o4fN6a@M?N8MYTyTa) zel7WwPmj#VfpZPtAn`Gj+F@m%M}A+>hp9Z1nvUp!~%&_;Va2(?@6gQSzOrBmGgex?3kVC@?zQQ#{-b=`m2%q{ZX>@L=O1> zJ~DBR38?2lJ9%>MaN|>c>$#WJCUDHVi=HfFf?JqiYICW+AQK(S&4gq+VbGwotG;88 zIL9H2ZYm>ko@8sZ7#{kw^;IdfiyOk&rh)}?vgpf(bw(45j3SCj;abj>mnlK(%#z#$ z1L{ceg3@m!*D>Z)Uu>>ucmB56yau8<6Bo#jUh?7?1BqR4HLNUS3Xk?sEk6zl<81TybWst?=X$-diwj3)(rLp78udvnt_OB5I3M^LhiCoYV zkJkX-O3R-|`$M3x>N#%lGLZjMkQO>|tczE=7R4-@BI$9gEjx6$W84@pT3#$o@ZCB% z7qusl+bbv7jI_uqJXb@qAo$dSYN6G?){Si2t~PQ_q-Z;hmT5Wg5IQu%$x}7v`wWx) zz@?;!ec{e5>29>bnPzukpgo{1f=`=v&xLbz*Um}Vy*g?ZpaxsHg+CHu*reaFen_4S zaFW1z!AnCYI-5v-M(zMCpsjPlQ0-iY%;c%L{W*~l#Bf0Lz~Jkc-&94vB&B_lCE^}M zxs|47rHV7I9XM)cT&MJTTz)JFJs$e|79sCs3|}m=@yO#CQrwv_e({QB(2Ld$bYK#5 z*Z_WamD(Z^uUs8dNs4NGat{!274P|+W)0s%Z(Q%=m-9ysr8SIewqcH*6fn`alT zd=BExK-iDrx=%;coKb)V{EngTU8Ag^>vuI3`nAZUoL<*!`OFT?i_U`eWv~O;;R*<~ zTPtHj1Tt@uB=^>gj^d~_$ku0+@4ed)d0b@n@^rfo%evieyA$Qm3U6aMeD+}JFZGTb zBwJWCX2xRu-!BtoeXdTnxD=uI8Es}Tkj6=jpW^wRYO}`K3Y*@-5%}^PWz($I%x4)WFnQXgy zM7{=uh`wG9GG+egvsN~@0gs4yyFNKt@|{DQ+kNA%wmnAxgcV~2vQ}O1)5k2+pd_hY z%4;lK4R(q=$?;8f88WY2^?67b(TJ!khBt5~N<{XBp?=@-y|H}ZIM#(utxc(G)oC`* z2ao}8z=$F&bg0}S^U4g-N5lO~NfnH>Zl=Lz<@j^((Li`OISt8&>Pt&2oeHuU&Ss6Q zt~7;l&L{W`GlOF`F%mVyk2Nsf=%QzR*ej#7(g>uEC`DdW(wMg%ObN{%E%TWWBU}<# zz}^jAG@qfNe1!>{l)RhwPdZ)HscG?s`uj3a1~u$=zqMPd7O?AZKsEf974^AeJsWJe zlKUd3Wx&rp;NFH4=MizY*~zWsrxq>CQSAYI@iZC{vNuRs3TdvYycN3p7jf+$Z2rx7 z)jd(lL%lN#R z>1}CO^wf*})xWa3r9o?5mH#38P9lf2GDGl(l6j3kw|e5$oGiM^KL~R9jOMd6p#%^Gi>#W zO5<9ZtJ(joPIyGIOlN!LNbyLx*>dEpBX*xTu{^;U{YL}!kBWYf9skbUIP1MS+AU=P z&qw)v2Bqce-s?UZBjZ^|Vh>gqp{IQXw$F0W`Xz6SyFZs0_ZAT-Jb(_v=y0V-(NK-G zngQGDaLBur#*xOtEYz;;y_^_Uv#2>P$-({Wj%(rMG0Q(1i+p==zISYJlX>(lcM``D z)9lZST)K4^QYepDmxcbm0Glj6szmO{6xk+eR`c>REOKR3Jdk`LMIyL+1Aw3z?i0Fi z`{3}E|3$Lxi~43zg1jIW_Wq)r{wFImAC9R0E!KDgQlE#+%uaWc({Nz)$YzW3O|F{w#Y413W5xLaBn6%kD+6wq($x?vFs_$S#@l#qoEs z7TK0gBPw%Ty0Sgvs{ds=!|}Y=P=@K6I=6fB-`8K%N3;V3klI7NcgYXXOVa|yh z@`;SIraixchmNI>=QIWJ?408&e#U&&2jE$>iHLqi;j0R5yrTV5wB7Tf1L)6xr)a9u z=S08q6#V5BY#cQpr5@WJ9^#nx{kuUG7hb5(*j=B6mEIG6%0iI+FAL9=-G+91io@d= z?UA1joc z^U(gX5;ta?3Y9dX0*KrVCYxn|Tuw&#>?&sOWTPM7|2VDcr_#!9jN5+SF{)=NU>BPI zj^V!<>(xta$oO#b4EqZUh>ums>k?JV``C?Zgi+V|bP^pWP&QIQtKMWr>pgz5DYk?2 z3&?omx20Rc6i)ikMi(%s5UBwzMfUCn5RZt)zG{c1pT=KIUgr_D$BBx{D*dATVN6cS zpDVYs8X|OLu)QZ8&B^Uw7f!IUgl2kd7fnw4g{<1I9(r%yj z#M#Z+Jk1h zY-kgeJ@T|wWyAMUg>IH=jBzG<+3z6|0-j-`0i63Wu*ip5; zali?AQu~1z%F2PVPY@MkonuyjiAf#6iuhO_4C;QAizGZlTqToN!S3^17Fc}IS@=vZ zC;Lq18e%V~9@o&5FiMu)Rv~m1F{h=Zs3S9e)$2naE7BBk`y$#-^GyY%nT9_N6`2Pa zsEB;S1RGJN-)Q&MYi8Wd&ktw+0(l1AEEGF2H$brXcKosewKKrB9kXxeUZ8uwZ~mEc zu(wxbq{*^L5)K2z*kzP%{?}P>jv-xBvUNFvSf~#}>faX~Bc8-eANhv%#OvQz%)Pv6 z8iRx@4O4=<`_x7Cn#;pr zrN@-rP5?GOB;26RN=S8&x#cwjx@3T=-x+2InZ}okw#0h&PEPT8uOqCK#>E^&w>r)a za;VkfX;aj(N!ZF1#R004Ov&%cBIyx$D=H^H)5X3Cb%Q=e=F>DLv2A7+Gybu&ZQjrS z6&CU}8_(*9jjRM9Uo^h9!0Di`xRO@%Y(+RoX*s3KYPEPElk#&rts0BEmh;C{!&+&G zL+3z#tsEfDi}DD|gnLUTcR!fd_|+2UXTxdDd|o%$c>3E=P6N0 z`zf>>scFvJ76UHz!oHsUv}e5a^!g5WqT@kN0 zI#KYLV{JB@IlOHj0f(DryrvR(Zk!fIv$C;eASQI7fzwt^ii;hTy=tYrV%(MhF+Hr0 zq>87bs})0<$U|grhPC6!Md98R>z3&?zJ{%Q2ZcG|-rp(of^0dY|10imE1iH$vNy(B zNyLZSqcp8D*oqYiKn9ft(*O{;PxYS_DR{p8eGm#R-=Eyf3YKiwSf6cY#xh&ZV%OM< z#5>mAH~YIFi@?jj9EW=?%u;4+cKprdH=R2k`We40I#)~+`~tZO0v0GuN!Rv`U#ANV zFZ`)MWT*zsz%XF=$#+eA{h!#EWO=(zC8TA`blyleBil&73g6i*26tfVi95FJ<#ex# zJ$uN{sllVZLNCZBDG0aid4uiQ&~eeGL5>r>u|dPHXL2gzy7u!(YHFT>rQNQ%UqxGp zX{SOn?XLRV>(6{BL$Y4I!QC1*CG|z>X13+_fJBhyBgr zPdC&#t5$pQsP>-Y8PlGWc?dGmNxa)`hL>%TUpDihv;enl={G?nHpxvD1)(}dnB`xUSLw-L%U!f_ z_9*5enY`qot7)XjcPy*@hviH(GMCvAtSQh`otp}9MC>otE~$kz;E3dK?>hlABIgP% zvBgVH26PMOIO4X$_R|2|Erp3wC$BPlAjj`jn3WB7a{?81?Zkn)pQUyhM&rXku>AJQh83%d zHW@{8^NnW=I#gG2U|iWjH-x?QoYe8Sz2BtE%|dMRYiujOOd4*sK@rekn#xsFWIYr? z{ZK`nnx9pX%!b)Vv>R0MjxB}ujtQO)`i>Hm7(dR_he*^$oM8aBKS~B2?y?Ha)7Wrk zzjeD=&w`Moa3Q<rK z7Syjx=`_L~hiIi83e6t2jVGMTY?VV!n2WqyMEDzp0H-cX-@*bdTg#uWY?nXpz{Y}A zb_7VPH&l`5`;|SoAEnrt7uv~J@G^t@vhS-&#_S;L$)M29tav!+p^eRZp;Ya%C4S?2 z@6X4D9EZMSBeS%97Cyrn5drFppCR##?tRb{5l`o65`l;SJmE%1t`?vHQy@2BH$}k$ z?>U2ZhA1?bd#&UuA3@H43)1yC#Ed)ZJx(Lktpe}hI#;HiY& zBB|UY3z4nR+H4H6EGn!}@1zuVrI!M*$771aQ=gj_2?Ks{^l0Xb_z&3>BE~LCpfL(B*jcw7=cSjZ|ILPL#5ra;6&3 zUt)=tEPZ?hCu^Fq&da?O4#J`H23%t%iCBEEcjnfahMkfIU5&?f+Fd z4SPesy3~<`B}9cjP&cyoFd@n3HOm;;pgU?)$BKZ>GAH`vTwzPhy_tpD&Zph>SA6UG z-zduEy!kk}-s+`vj83kcCtowIkp{kEZT!Qhm@0OubX)qg;HE2f`}YdCichv~H)ASn zsglx%`1>_@6kk0BC}^o&<3tC=8r2FwX1}K!HI;k@j-zm=&q#0L^!M}9nfOPZPSerI z>cObpxkMH%8LZA^JxXfpbYu;0_aF&&RXPPz|B*YTx;%=Mf*jIhFz_x>NKm&}6f|Lm z&6_?!|Hc~5gKU5)2?lCzLL0EcKXhl)GcRJ^ z0`5ZIwBuv0r_vITG-3ekX$bRzwtk8g%O#QCCwG7pW2c-dh*OjslY2JJwO{GhZDvu| z>^P`TcF=4V$v&zuK)U}IQo>!nCFSSp52wQdgrYGDG4^{cHbBD1OrYDJ3SF4X;e?`J z;!r`0blK#u1vx!2i`VQ;&tl|>Rs$#z_&mIlmm`R)sW&nk`vI+PG}mL9|03$eG-;CY zCFOE0m4~|{f`(hZMP3+>#Qi6pNshhE#v5&`7DsQy97y(s+~}<%tEOsy(t^8T&aF2P za!y0A>j-f#P4Pp?? z`pzZQ`XLWU@>J9snSLHNeD}kS8hMfVw(k5Zu@nX7(4myjfszT*CxYm4VbtpLKk?aV z)qMM@OQ+O5$L!`Gv@HKRctxJx5qnDzEVd%uSdzVR_haYWh0czk-zd5~RsaHcg+9q} zG@ndHFP(TlUAc1e_5rL8oU4ph1|1_C`7H@zRVt92rK^5_xRokrc%ZNXq z`@NjuvAPX%vDmv*0u+XHo0dkpp91EiW*VEUf(%bfhumO2mivG;Hw1;i1=se(1>{y#qkyk{4S33ousIHE2+=fe3a=>C z`?*nNsiaP#?J2%MFmJq)SYoeFbNWf^9{+6|yZDv{2cApJD4u1l+O~7k!%54{ zkM7As38PN-#dBlI9!tN-cg;xh242T?YIXbpQIj8GMCr%{f>4h?cw$+hXQRLTqf2`x zX!^;BvTq*I+0^@uy@v%!p8YiYn4~zJvc9s7K5WaP`|hc{RjD-5;=#gi9ZDK{e#tBU z>bb%LXLi>is3$A z2af9>(M1h{$R53wwBKPhAj?0|JMGNs3ApuA*j z{IC1njbj;s#H`An)jD`~Lhl_uC-3W3&^KxM+dg=fO^C=if zjlogNTVrB*Dx&e(FP|>7R@*pypVk==sRpM@`&ouU2`YvuMchzp%Z$$;U)g?MQU6QO zCh)UAg}05c&Btvu2x<+_EFtsa#v*o?WF><%?X~b?Mt<Y0S}?vm+DDx1%`UwOrexdyqM<$SD^Z{L$(OZmMj!qR*&LcqJ?Zsc$w zD>53rP#b=;k6l*La$K)fMHfV0@^7|EZnyvufCbA5Fiqq#93(K@nUY;vFuYSbs8%Za zhgo7%_*%5U89I-hcgpikJ%WvZ+*;m4PO8$bsyUD7tsoOUQvk$T)bfvmeO$Im5JMi@ zz*8Q+KC^Kp(Vs{|q9??T-N6D)zy_i$>~?06tUU{Txf+EZ{QpAzTw+cQ{ppSg1jFPunOhWK;0UfJ?ZNUB&&z^yQ5p* z2BOt7E&Lx#H{St|Dt%aqu<5ib2KACmr-^9@WZL#M8! zU7Di7dTLz|A$EH_!-Jn)t`yg~Su1!UvO32T^>x3PlF~n30s0o#1Jz{AtU4Jl)<)3& zdpY}7EVZ^{p*SD0nMG`A-$aZKoHvtQvOLt%8a!O!?17Cg58!?3B2uKIMv$c>iG(F% z;gLN(WY@xK;%%tPBqCB^j?aRX;^f&hF-5%z&M1Z7>%k8*DoIOp=uxeU**YFC27x|~ zPWZ8xEnbBR`F!PI^{4JLCjH~TW)6%8sbeWb%T9~z=-C2J*N2U0yhWUF_$*s&3!-8b zYTC<=$hSzhI)Is$aO^7L3ISc1^j3fbo|fUDBfvIrwDomKbH~m(@3!D&f{#x;VM-d$ zB3y|k4hhjtEi!PgZ&>t@397t=rG2n`+YhT1w9!ARVRaBGWfBWvH$Jk*(n^R#IlPM5 zyFpl!lSZ7^k@}&C2>stIcOgo(ZCxi8qe@!X%ao37-8nU-g=nl%&BXh4`VT8U>LRMN zTT{Ls<;Nvov(3>mNgEc+onkMh3iil1y-rw^Z7EJAugl+#@hs>XC6j4!pgSQGVbfx~ zf&*XOb12`|nzXGiVD!>ZEJhU`Fm46uZX;|7gVid8K0250C_+4@eDpc_8eKk5jehS3 z^33`%vWupZPNU*{ou(sE)tDJs;wemM;I$Rg?5-v8!+q2MZ@~>uQG})?cU(1U zKj)dCs}Tv!cPojwPrqbY_XQVfr1v9nq%bI5rj_2SXu)pY!KuLspn%d*=@v8$-L&Pm zVWJMpH=6TkI<-@e??oiPQ%cU9X;EC2v2eO1-=y!SZ`OW~owZs#Y1wnzpSJoG8niR} zY4elR=UxKLc1m8dH3>&I%yq)~#!|EEj?SkAq^I#~t=0SQ4rv?Hl$vwF0!x>^)zs6$ ztEN5ZzEgNF9 z@Z}FX7X!%}4Ni_gN~PlOtjR zQ5r!WJFN$IU1DqkQNd#?Kl)?FCq%AKeqR&ChYt5i#ZOsL^UOVPj6Vn4#LazboDWwGHCjq7MK*@hOJIHG8O$edz0WV zHO-Zb6bZX2_xcaQh&+93U~icF^3G;1kNFhSWs>?|4yE^5mx@mJ_(Qd{?4feDrIlrp z(Z2ZQF_3i`J{*^k!FtMjl=8LkctC~`NsZ;UmxrM*Oq6_K;F)y&T6s>(wTK6izaV$g%h9)_oGPIj} zaP%vI_K~XnAeFwWzfo}Kw~0r~toIAi0l@)BR{&A1{iT z#^Wn(@kUoiW?hy!=v;{4OqRL6E?ZPeo=+LX(L0Bx%q)B!rjV8qLh--li~?XhzGgAkM)1}t*sR0sOs!R#K}Nq-P*7~obPQELYJA)4 zRSMf!ytlSFLFtB5u0;!7|EpHmM~UG%G`<8NgjV53>gKDakec|n&4~A7<`K|MGZ{eG!XK;6g5CNlfB%M9M-({>WWhSiL{c!c`;vIw4~z^ zj}woZ7RJ{daOrS2IhDC4QpKO2sVC3C$Fq&F(S%QyA3AqaSRheV_Bs00sqsIa^+a5_ zeSt;QCT1gBsg$Z@bGAopyftve`prg0m)`JU!^wtd@8~_tb#7Jc(*OdHJNW!?sz=FW z87oac|M}M7vkD>-{xbH zVz!q2B+RfGaUH@68K?C=4W%`zCfkQ91!B*)&Y?zUg%Axz2M#FtH?5-LQk2p0HFH=~ z*pYcru+$viltRv4c6A){_g|a>k`@||3xxS~e>d*Oc}Btg6P{iW@6odE#jm8VHSSwJ z9i-2Hu6NWodx2Zr+h8g$Lkg43bRcGjMx^hpL2hR&5owdDZur%iH@#4538Kzoe6m!L zua!vL+2~meMGjI^hxur^#BAH9A;&XA7m6lcQ2R%&FPn1jg6&%CmRrd(I<^zFWQehu z_^tfb-hT-7-=B!_xpem4X#i3}4tt5nWM=Vr)%EHvi1u?!d%lkh2EhyAYa`+BiUUvO z=VXV#Tx6CU%cqt2z3=Li#WP)l!}aTgT9eek*LxHd0ll|oJwyX!Et4jJwLDg9-FP!~m+uO~Ub#&u6_LMv z=zu@ChH*>nXEEiaR&i7L@}QsB3t8$A@86xcb$B&X9~n{RL4jkLWBeo3zxuk09j^{V z14z>HrZ(LscRYsFuWOQ%(neW0hd+qAhkmBjaA>v#WUOY{HBN0c&*%;1=ldmKB>tWK zm)|dcNY&_SFr5J1nSG7Z*@lnhjfS+`kh!0rE(i6&Z@;~CHaYAdT~i60qQ)y8!}|vw zMln>=4ytsQVn`4gm2Sk~vkBoHWp7WWc<9<&soOAXWwsg|WXKduSnN5y@yS^g*uvJ( zrES_hLmqF0 z*DRqGY}fI0y}ywLPOJI-ow`AZut+FKg6mRDEh7YYmBB8VkH3d;iLG~yHd#Scx27wT zcM8b9)G|?H;)S9SB=l@{@Zd*@X(WJX_~wFlL&wUQL|B146s*b-D9#?&WHD#g@E{Ha zN99P_VEMUfb!g@>q=Z#3dt%@3)Qo6lujXZpkrkFm+_`Gy;E73@wR5%ufNZ!!Y)#}` z${V>e+~?VvIC*{x(JtKkoly_ZQK}?wib7O3D9MifW_awYAhm!)_vH*AHFL2F0N7M1 zKaQ6wG&`*Df+<0@mK@Z{3}mkfRa3$L+Rd7u(9p?1#>s}HsE~`!YAtGV&RT~Tb-Zq1 z)&aZWawi*)EQTBy;$?%$5I2hDE>|f}+tP9nm_Ctu)cFrugm()Emeo^x{hiECSz)~1 z0aOUJazt9=b|z&&l7L zaQZ#R#OPx)VzurxWrLz{?4^`nO_O82#hmCOnxgK)M}kttM~UPc1@omPnEG3h@vW+nDBk- zY#~5doUHBpbT!3gU8fj~f@}1~AcbamNq;(EGqnGR=qFi_a>OQyIaIDDsd|awJbtt` z)EGvGV$s*)9Qp(-F@-Qxcr72& zo25BOhE-2?a5EjvT1mSr23O7jNDGNDUU3v7sKRVttwr0lD)S z25A5y(l@xBq^IjldSXU(oFfF}(x`pC!(N}{Jo6l23k@z{;{|s4N;#Lj#+bPK zU`oCxs@9ebGRN$1a~z#T0(`Ej@a!w~nBzQ=x!P0`x8@%cio9$yi8rlupI7c@8;Ap| zl&yYkpQCz5iuEBfP1%%HT7V!yz8W*shvZ0+kR_>~{V*xsV}~HdU3~D8|DL$$i6vaIFbl&X2)QQv&a=Rrqe!P;1 zHGO)yU#8WN$V>`Z=R{1cQeZsu7!^uB2fI6V5i`|Q4Lw#omf0OVPTwZ`7}WuvAw#em znmdyO@D%@HJj^YYgS9%xFI=>{%JZBE4wX6*3GIabw|kQ=n?$&SYZy~>G8fZ z4((|EgTg_!r%ARq5NG=er2aa-&e4eipqLqQwmP?bXlcJ-cf&#+RpOuhm*QS*!ymcL zmV}t{H(hFbS|mrZ{D;UQ6iW-VT?U`zimXIOp5`EXF^Cr*F+^~py69!Bwa|t*QF$?E zC?jg1lTBmv?a^AEcu~Qyq71zQXr#RKoyti#l{88CRgqm50CXJ&;$x@gh*V#~Hn+nZb7BYjt?$mxPH*(!L*KMsw0{7g~ zpe;Vws;0f_XeM7Iy=2aE%KKzCxs)l1iQ#cIt#y~xT7)e(eX{2FrML^Qsqyso%R6(r z1C>-HFi=fSO>W?Hy~uRv^wJDsz&l7;3-Q?tsh4F_C$yzw1;)lkUlY;7(U84pI<~#$ z=BCH)B>(#c-8vzUscPR=63OKEQc3)wneD@27N;Yg8d(&PG`9*#+*)#5f!7&dV`hf! zZ6%x*qR0dgxXL3rp)L3W*^->JD^_L6dsC4rtzm6te9c{j`wW9aV4L80%VW%IWm+Vy zz6_?B&~QX3{*&L7O*sO9=l1!r~J&!G7@8UM8vgN$>*>Ik?YnRf9F2W-AKqwc4`r8PmqBZo*Qy~`*kFfU zA`0KI&KK~xPPe`-;1{ANhfq~~9Sb83s45*x#p>=gbuz*B)p{waIY<6y#{oZ{H{5B>I z;RsdbzpwkNM^<63FXs@yW~yhjn0;mX@g~!*9u2RkE%{R1?2AY8UU>?`0ngq?&q&@9 zSF;VPoQ$fGZN*N>!1E^r|2n}!kfktNBiE{A`4uV4d27|FHwa5cR1xQ=$H2cOpc2a8 zf3)hf>CF?RlXU?##ve|C){gVX_Po_igD7p5l%w_oVO=f5=KIUjAS9dhfZNCfx zZ32~gW-EZ`{AhO5Tu@>4Nx#cP3jas8lPyAMT$X0_lmjSD1Uy^sANr;Mxu)`c4v#T_ zG}qXd8*fCWShIMOOjg{ zC5^lc<2NBcUHmFDwF0jgnjPJ4ul`tjMn}#EA(L2>*Fw#Gcrp4Q#P0C*RcK^x>oSjgG5X zEq=kInIZ1M7}#h7?C~9da3pXO+nsuL<82$Arg5vR!M3(?-^kM9gn-Tx9?Q(%&i{s- zB3lmwE?njaR&w?6pPq{pAjRZHs*GlG>kh3Z!-H67J>{yLLugV2PDO@AQL`6+Da-E6GR2!)+{55@mgHSO}k zy9@7RoKzF;eyEI<=sg`Es&#EjncWY$)gkGrM_-pl*7tMB$Aexa`*#yf=Z=*5gS*~A zB-PH#-P%en&3q0w$-N`vQ1ArpyZ2vDgWGrWRMToqULafCv~DxQ?#9(~S@P-z7MHY4 zg&>Iu*Pn_JDE#+vGAAznYBiaoav@Znd&UFu>ciO@d!odSBkK46-6W}wwQj5P?%5l8 zXWl%S2(rD0k8k%5thnp^QOLYZ%Tq8ebw=~PwNG^GGutWfJC7n^1;BT|OacTk@2PG^ z6FX6<#}g2W`Ls0mjW9y!6gN!Lp7Uf6M(L#T@Y`zAdjdY?V^w;cH?b6 z;6r7iroyIS${uDgrB^I@>fpUUf%MQf6b88>jQU0+j6(zk!~=r8S+bV`d21Lwxgz(! zlx1s|cfmJJuOZ*!y#FI-+WnE`Xir7|mzQmJoe52=HIcEWoe7!kQ6VGNKbXJ=xb!-~ zGCc3h@?}-XKrVB3*YF@PZ_Fpw$8NUA?yYzg`Q$Icg2v-iGD5+nQQ>x++>W~XSj>Lp46RvZlJ{Tzjv1MXi2I1D^)?AYCe$dz^azT_ zJfKc8`AzNi7Bws4uvPmW9Enu}o&CV;GyyWS4Vr0mT$@Rcm1@rGeR*SD`z7_0QLXP3 zV`kp9O4pty#W86EVPAPBjFHGbWCr;-8%&OwQI^kLYo0hTTbm-6qE@JOqX_c_Z;t|n zlq=M??#yF{#&HW+3+Dyx=f=sHzK7svlvfD{XmQ{SeXG-;u}QKp%7k1q;p$v3)}2k8 z?uV$_Ai{2~W@Ma*c9UIFcfMmhi6q;r89)-gTJ52wI(GE~Q`G=Tx$<1_vgo~>)j+(& z6k2azWWWpK*iolC9kLZRK&oKj+0uSKd>a&kqXWIE8Kf|PDR#$;mwwhdlZ=_H+U&pNxAnGsN=t_k6QuAUv3*(372iR6lLT@hgCuA z&cD}RX}-Mgx0vZh=Uy`?+p?BRQhycX*4!-xxs~8y-DG#%Q0zB4+tGg)XCen_(ap>T z{^-r<$(yF~n@4v$S73L`_)Fi-D*S0R)BAoZlND7x@Z#aQ6(?iv#-lzOE0*<^0j+OD z!Fz+y1U&w^4#P&USR$!3JHTY!zY_Bm#+Wje&z=}{il_IDT=))UZ*qh|{JWJWf?xz! zWhC88rix(C%NDyLta-lK|?IL-4x0|VleHJfGv+2TETWuSb;Q`liFK6fe!b^yJ$Zy6 zs&FseyZ@%{6H?<; z9>J7k?OI*=(Q*gB5$#i>fH7r&xPk;n;4;5WobHJk*qj^xw>>W)`b2~=CR5j|&+H^7 zhyQM1N356h#}z&{9n_~|BmAR`Csgz0(B%~(?EcGeKA85#@&HDVWXtsPycelIcn^pg z9ufPKS=OANOY={X>5@?wMIz7FI?5A4#G7L8+U&jsrtYz2oIsgI+S(l`4l@CS@f0a9 zDaf!ky&~Q<1Gi(d0=le9akj4R)y z@lrP+3Y*!whRVjsRM^s+RnpuJe>x>8_(b6A&gmIfexO&8F|OwdiZ-BB;~=THdBi`> z6Ux@idroSjJ3c8EN{*m>qAvzXb)q(upB1)I1-mscP#?9`T*ZfRCt>Y5P5cmlzI~zanb{)87{;+R(0{(>mR}#+tzjxytzT4L=mz z-;xG1G93gJwv70n-)4pfRnK#wPrnr0Nl*A4`JtEHNw4f8QBOC_fW#YC(l2;}Y zpAA?H?eAmkgk|QSgIamEQKayc-Vnv^)QsY0eclqfh;v#Q0|?E>l#CZ%$Cp?c z*quasw5wMj^@OX##ntEQOY@MFd%D&wtbR>OeXA^`+nA{<>gRQ8Vkv{hA7&4|aiwlY zRsHgGl@GmnWzG8`M>z0nJ9zy!0w0@_cAbQh)hL1opWVFYX@#fha$T~^Q=pe-7Op*B zr$oCsJmb@MpUJ3yBYE3JNu(Q}4M)t0B67rSye8`(J~#zbF$UD^l*ZId(h9OBw8xLL zo11BmXv!yz&F45y$L>qLP+S;)k6WUwwuO64aOALHQ6R?(v>meTilfj2V!papc#K-f zTz_!qU0m}j!v`lI;g)T-)+8IRFS{<5{mb5K{cNsml^NxZ6M{Hp)$Nw@BiR@>)n8qc z2R@3q?eFEL$ImGPo(nxs2_t{mJU^eDKc?O!bvhK{`jupH!LPXbHEnLQcM)>oL4=Hsm^&WE zZ8Xe%(NEURY}nstB2MUjyP)Ac(>-(7RPp6^rxjR{csZKOi86xa-HBI|H9B{nEbkGN z)Q>c%9cMkgR=A^9;B88)5?`sL$mS%5f4EBgYE7qbY-k;=m!ZPBH)EoxE0JoGukeVt zO)IC(_w%oURlZeSTi(k&lYOowPMNbfW^e1zt5MyNA>ZdT{t?J{;P1<$(gvP%KwLg8&cWaN1^8~CgML)4IuIr&j^Ab-=zX|uC(_*~l zCAk7W8n@dZKbSS<0p)tm1+;c(`YZ_K<%HT%H^08SntK>E5A?^(Q$&rf>6xDEh{n#n zN)df5gcHE)Cx6C~GIS7I?l4=bYg;RqlQn!q0VPQ;vclr8lU{^K$@4JU;=CxkGy11aO)k^ab2rQ?&IVWrzUIZ|Zm4%D4$)t+v9 z$IV-9u-LTZ76H?gkM|`c?VJi($~L1ZT_|g?P6*|kbEa8gS&M&&jjj0OsC?Q@gs}}1 zUiBVwfiCGA;EI-=uTv&EJWa%oqmEOj z8u!1>v+rjDY$WILES%-+8x4T9%E2Bm{Ne7rv6Kk>Sj~SYg)a3|TDLUn3Fuzs)RIKc zd5xwjAf z^RTR(VE|9c)~j|YXV@o6*Li6hBF~k`SV*6sa4X6{u*a~|uD0sZF5ZLI4kHMY|h8D#is4s)_>WzG4_Ubl2gv7E9-}b%}?l}hb?>3I|kSs*)-F2e3jXRyL zfASjJ0QK-3rvJQ|%MhsV+JyVfB`}zhs=|pV#duj}#rXyt?K9~*2e)L*(=zMiPfeOg zxRn(HjjRlDRExAV>KPAZe|z1;>(nYWQ$(g+FZk!VLV z&g7C~4XYwg_V}~JJGeP8>7HAs2@!tEl47Qu&2Ry?Oj9HR{@kTwp0%i4;o;V>Xwyw7a;kP0l_a#qm;{XC33Gxw^R0l+h zF7rMEZ=`k3i7JXuwB$e41cvszd4PcHo`;goBkmt45UnV=lGXjwwSS&NqhZ%#Ih#%0YP!Gv z-JgXIZp@B{N6SQ8%s?O*jTDL7c74@jPszCZ1?7vf0&u?i-GuZkHw-gd&Ey@`8b7uI zQODGeIY$rp3Q)aWY5-_59Q8aD<+%DRIwz$_Mkx(59Bzi7~7*E2YM-8t?n3AMBfs3D!BGxXaFVEvsv4WrLj&Isk}1Gw$;(1 zz!B!3RO-j+GfvQ&j=62#l%-KVjyq6k!7X?+Mfp&1aer?^g)_y?mCKdiwv9!nvGVyP zI#?iI9JA$Ds#|DL&JaJiwz~n>9g7+W=V?&2Wq1qs;o^kNk7);N5@mqzWC`S99VTny zY5Er*;C^k}5QP8{YNgaXq@k}cZIFMkTf9`me?4UAA5JygSD%XB?4TRGtZp%*4Tvu* z*1Hk+A5sk8ecp>ohiY4_;7LNh+1tt5>xum{qOs+6E5V}`ZY{^fhmt)Mi@mR^?+VsT z=}gTg7mwyUCKDoL`|KvVV_=EK%4hzeFCx9?rvPS+G7&RRO99|*m;4gJCEfVIKe zPIi;lAW}=+Hj%S{XOLy;j;IKIYDGQYF1KGJ=GAQC;iJ81()A?ImiTdUUOB4mkWHEN zmBcd6A0C3xF`V{(3gh|LN-n~v?LJ7!%93J|4*zN0W|Ft@N9@(CEhZDM&Z<40o00Tx z1Dt$fuMIVa_W6b(Vj_-7h!3dh?XfB;oIgi*%|buU`w>fM*L0!|INv)3%(hy+;=jWW zjzB+Er0%cgztp}hBmoaHosP`0uHIwGk!W;<7j^*QK4Z4%N*~ozFI9*v&V4V$@mr2F z7C}K6){>zZ>OayPMI^2G>nPY%Rca(Zo$B#L1J}69aZ?16tu|B%uov(RFAj`{MR%+} z*mHZUdY$W}zZ!;fg(8|ERqK{rxJ5Ij6psO%wEDmC4+41%3*_>AU*kc2|M2q^ zPzm$O{kbf52QY(_{L*Vi&ov08Tj@r7=nL;xF)P?7h6#mG&USx6_;q`rQ`tJnO#NcmAfh)co6yaw$*HDzH zI~{Bxhr+Cu7=JAMhw^BHY9FE^^4T6;msRy;W#p_4hCw3bayX5Yh0k~OF&i15_kqxz zyFTsc$b(oCB3J6kU@o9BibsxtaYq3Dzpy{Gzq7Xo5q@uZQ8U(Ca$mGM7Uq4M^u^c&Rw;GRtaUT$8awyzeiQI=@LQ z?_7&Ux?-n%T6Rq!d)}rWC2h$*`tCmk()D>P^7&(=W8ZOy03_4x2MHIv6e5qPQ1JUW zG_&c8RF^hkB&E=*3fUD!e|=qxk!7(#W`Ni(McOy>dmixnwNqM- z`Fa$j{5AZ~w8C^b!|(@N#(!(fK&n;t*U_Ml_e?sw5MP^gXNx!B4Prd1Zpr7(atxbp zx4`!E=z@ZmXLoLMEx!9NwrI=ePo{&*9F1d+tq|b% zqJBFNekrp5*i#8Exw*TBdN$(Se3#?ZFx_VL_@DPuD$({F1Z<trDa}CCx-o_f2*@<4bn`(|ZM-y7Xs(~>;zgD~54$u@(&hSJzTC0mbgo%? zC4>k_iJr@n!+V6l7j&|fI%c3YTH>thd>VD7L0)Y)PfsWy%u8nSXP!76Y!5RsPHVlU z1QjVje^MoUvHhyEVVK})#QOhP0HPTx@07G{UKidT^|AM|pzj`o&iV5A3yO~gv*G?d z7LH>aDRur&BM91Noav^Aq;yzmt{MjQ*WH-q3 zY?FSq(f8Lh3k!e44QVs5{n3vkH=2s8Y>RqLBR+}{EKzXe1i=5yDmEk3#JTU2Rw<`O zykyMtC>y*WYtZ`5G;k&8Q|L66*FWT+h>ZO608!C~=QQND5EddCU~NYPZx ztiknP|Hth;S}bh!`ULA6FK9>2 zr8OsnSaH&Fq5lbu;qliuFGyf94<_rc0-tZ1h&*1pfR}3JVE4~YeKVkOI`iB^^9H>= z^ZZ9{0qmo6Rk~q$5V&KVR0y+OX3tolk}Y4q0v5$Y_ySv4rS{_-*u*u?ZEVLh!%4#q zWeM0ej#TOMAK`J-Bz_cpYX#p_=E6HMzqHlewZ5V%8c~UoND({z-TqMJ+W%$YZ+y<5 z0gLI!8FaR4`{w*O#jkjuT5s=e2-l~?Y=&5ayC}o@KMWt ztZ}(1cLoZ!z{jP@H4quNaVD<`~74l4N zz6;b(yHO30`&zF5Gp->cZUl-|@$}I=!B+@vm3Pq8CUWi1ufJ3jQ7|O!0|-~ZQRE+g zyiNPmt1c^+)q<%`a#Jjo(9YaGZt_k$U`&*)D3&;_zPRQEG+E0M@@vf@Ew2xeCS95B zsC@Y!FT=~*2I*_gehiVR?Aj}!V>h(veAO@XxhDVGe=$fZ%=@q%7Y2h6z&<&)e43hx zd|J!wAYxmS#kCAJ6WA8$In7DhKN$TL}r|nd* zDL)r(igQ$gRZTF??dC)&C1sE(*;T+cK4s_%TMCmN>g`tm%GlF>7U zPb|M+cps3&dHh7N3B}@k1xb&?myr-Vp~GsN2@`%ExPwIW7BE|Eu*aK(benD`or4c= zx_&m%zEBh3MX@?6Bg}P~<~$2OnZA|dr@fAMK5*}7*iM{@wf%$s0=9n{mT~=Y2$87X*D9 zhKz<2^ST9=W(u!y?te2ENR%Wol-1T)SY9B?rxAdHrX}O0H8%~zd&OroBEDzg9(fo4 zVnuqNulS77qEkpW{R5K5a(N~DP0YjL?;s!<{_v%tbmYZNbwX6$I39Kt=oz3D!K(+% zWUK^0V)>Rj^wTON24{Awx~I47??8S3;=B0`!6r~m+TJSnph9NV0m1XWqIma;6dw~a z6cgvhG8~ga$H%?5hpacZhbf;_8&R{rk}qNLh%PZ_|Jeq&`N1&bT%T0E*B~3hwG5J` zc-DDtMXQA@=RbUkQj!rO-lKq;ulijZazKyfZbR?juLpEnRJNEDw6VEJ#P0SDDC>k7 z5bEKe&#bd_J*`RoZ9-jS1|mTc5fat4ZC&P+%xRcCO*=Y)zRZ%D`%2K*eOhwHn|wtZY;fG*g?#wS6VW*7}aVnDI&~V3JD25-(9|0(uRRl zZdoW5DWFkub-S|lG@(Dp~>&tbrz?!51Xmuf-U&j2*#De_y{KkOvg>>VfWJ#J1bv+bx()>H-`mvKI_$AmKcz)Lup%Vpt zD|&!oY2!bfS!T$SIlU9?{3N@wuB18pFDlla4X!UPmXwhs0 z3CIj2Rj_w1$lgV47U+t+O_hvh-UB5lP5aBkqz~f`ZjJxS*P{fHQ`8G#*@nvWZc5FA z`qdAam*9*&hVe@)NSA-Wp43l_q?bf#mME>{E@_?qfIQ!@X`7s;G??^-2RwjJVC!c7 zvfrgA2@{G!w-eh_UPYZRi~t=zmiw*)m`9cHCqcPzzUy|3R3gH$@l@^+TYYbGUzV?7HvlnkJj4k<#Mz^jd4POg&#-G3jJCa& zM)kOOFZ!@Y?`5*Ce}7GLD)KV2jPWDFu<$*H1=ld8Ec8fmt~a3&O_8j%jD+^mV%kOUMxFe{vy_|+a^QE!kf0TsFB(h2N zE{9r(*o@{vDBK80IVM|fKb*4MG9&!s%QO_4*ZTPf@aj?mhVu@1@)s#I*zxneqtgLm!`?|L1)W;N+;kP^r3 zGqu*PNn~I2UoVqrAep^=p4u=*W9w=q1bO8@Ck#2)c{f=IVP|Y2{bE2vAFc(NgC@(_ zXDUZfYD16SEZaGri+W6FyX`6S|$W!3!vN`dN#vg1SUu*i~Gv*Qp3P{fgG&CYAN&M%Jm zx5?9TRbDoN_b#miV;(~&cDA6lE$XTH zC`OgJzf)u{h(FlFd<$cR1ASsyX5Mo|4SEedKwEW`u)7c!L{`!dIrk3U8Wri^ zmpWVRb&HJexJc9rSse7@pq(Setg_erZ1mxhn4tP#ncxP|rZ zeMCZYdeg53^p`304|!(uDKV3YVrw0;Ij+%YtQNLLEgk2GStVJTLcJ$Ir8gxvVU-a= zm%*sepbA#*szetD`Bst5A8xzl{kP>YGlW~gv`N($ah+qn5D&n_gA;VWr zJ{JYGn@;bdWx#t9H^|nbCd1zLR!IQGcO6qkre&Crj(q{ zndrT0@Vv>K5CD`5M{q?GScf1*=(MiCTa-Y~RA%1V^&k#Nz9AOE_On3UJ%*}%o%0pm z!WQ~3zF-P*f^!|0Xg%Ie6fVp!DmDVx$pvpJ)bsb7k=Z+5y*zXES=i%TVAD{OrnhpTwXobRPj-p^9;D(cv*?^dlvdeSs6}NkxU%vhP_rYK#l9?oa*x znl8Y^&|E~!SxJ0=+^oIjeZ}qLU=J`1x8CyBXZ>41e9op2WGn3amY-U60 zzkM3`pIgQr2WlmeI3Qctw7>fsK4FaHZ$P@=e$K6T8OJncz>_TAzjPx|yf?7xb!n~Z za2C(l^}sEAyIp%-+1^dF$!r6{5K;<1j`eWH%Ls&0-h+Vwp+D>zf-JGt7qpwtM()oH zzA$EUsY7DzX{y}k$_mX;{BCEox$5-u1!pC%&y^mv`A7`eN#?||P0_cPzo>_bQ|E`_ zRv7CICFdx|9Nu-Kd)pqw#pnkQryexrmnvSX>=tzBd=T1VYzDwN1s+qnz|6akou8Ss*y>af<#S z6;3QBhsa)n)%X*^&(`HGey=mx7RCgpuJ&a&Xz%VgOp!;=0DiqJiQIAOX;K zeS6%A*T0jNW32T8U0KPdPfaY+?w$=c^V4l`L7m|A=Q2CMN7{g zZCcDmVIuHw_hafHxg3Rx1?rAnVm6M|_0E^3w??B}c^ylyN}s1w!~38{voo0Wy+zf* z!gQcI+Zff*b+Yc@+VMq47i^?AXMOuUUnNeOa@2~q!%0C5n3d<*+*@$--0<`)C+NNKy z$XVosIRX(g4SjA+eKZ7K6qgg}&j7T6ey^X*=u^*3Bp>H5Jic--Hzl{uUPDDXro6spoM0E=cO%5dd@$`c7GJ_Dr3GFsuM5TRwv!jv?2Zff?S z$V}3+1v$U+ZZ>6=`4|tAgqLM&_4)79Hj|#a?4xaoEsP6|cFNxO?GK%gSBPi>huj?( z5u4)jiHSclufp?WQxE#vlh_;-Kw|BM!b1;0&9&F43Y4lbsq>-%6_R)H$sx2&{Z;^R ze=T2d58in|=a7*iHO?5$Zg}j(I%?Hk%ef4pqq@|-q=wDNQFw6fRQegyTw92eV!Ypa z%9)q`My6n$W7U65k7V9djm`5PV5jwgSDJhRs;1EU5!e}Q*dPDe8rDGl?6H-KIp#`^ zcMWyR0l8g|w$u+u*dD<0r$b1R^XCx2AlJ8jB4)0mt>^Qvoi|^pkVORy z_6~yMC8lX3=z8Ph)811bL~n8?u~7z$`B^hWnb<{O?1Yo-5o7|*v=ZbBB}hMaN7n}| zP9RgPw#Zj<4Y@!pjRL%Kx=SXGgxf?BtXHew?+t5UvPE`zig$_hucTZHCaK>CL+~i^ zY`d;u!3E493BPj!si<96cx~aQp9-#_G2=13Z3Tc%1jfr~E#>y4rut)uhCeB?<~iRd z`jHd%xtHX&`qv8ETlvDouHZ8`T{!KH9U%r?7t&9S*OEk;Q;c_w(*kSUnVUj zc8rA9D!!!K)}{SM>jR7+YTR)aSRpT=f`N%4~2Z$hys)SC;%2t}vM|w3E+x2jCj-icnO106UCD z5!zgLiw#jL@MptWG{U8h+=bl9qBFvA0}-up|0V_n-_Qq@jII1bHJRf&NrQ$V0~d`3t*S@h9~-2 zWNBQ0%M~t-QjjZORQ-y_J^7VpUHUHj_>;vOx-jS2US&amOU<-__u>Q@el5w&V!ZWDbQ==pENQ$V z$3QuNX(IBzoEm6!^BjCxPG5A@TmG(X#EqPMjlTv3v!?Xir{s8Q72khbm}Z^&JaP7{ zC=(;5Wu`cEbuC40rHM4`0)!e<^{)YdxF&@`KBg7@(bcnn6XVv>d8V_ZsI)e{`V`=! z<%FcaP($eJdLJ&?DC6}%Wo*AzUI2UaFpyRIym{VbQNz4^inR6&?o)E7BkfT_^(|CM zmlHgbCmN~7ltjvYCsB7Z($Ya@SnYZ6fWFfUgi?IvDo}AW?KlE8(3dT^aDG0|wGH8R z#<}v^B-AUy`C#?=0Jg`%Yg5Bv^(Cif-_l%}k;c#1Xu+Y5Kfp1zHyN$M&P?mGo8dzyWU3R}0UAQg(lkqyM zcR}<-riIi!Jwx=xmdDjw!`ex~$;OyI(T`(uUR9slx~xy3c0uBu+-nx|YTm`$N&|8A zSN|DYZ^zR<;B$(9PxCnG&Sfw0BSA?bQov``L<|oLS5Zla-1M3Mw1g`?E5W@RkhJ|u zm3lXgd(Bx$0^}Ir4f2X&i1`nZZKDsk*l(IF%9)%%KVvmsHq*Y{AEicaqJac)%hxsz*tFX1yN$$5vk8uy5w>uyGTd4VumqNVo zir0fgubu+fL#^YFmtPe$UE!oA6xm8T0AOC%ZLlaD&&vSaQW%ey^18SrEAh9St6BvE)0u;T&RrYHch)3%5>keh)5!J$foZs)%-l>V$UVb-R^ zfKNWzxQznNP$s&xwDkrRf!gnM!M+q3g7C;7v`C@HeXI<+aYTb*cE4SUWRKA{IA-HQ zz09!pY9pq4HfACrx!=bfz!n`}A;0UREWLmtT5cplR(CrVhf63N)I6x9&Cw#q;k-Hp zduPd!V9DHYfK)RT8e|jyXP5Je2BGtM$(2W0U|l;@l!d`z8rDuYD3-SU@!ypmdfQw0t*y~v8HFPW$)9+_9fm^Uc=TR53zlh51&GV-6sKU)ZGRQu9Q?{sKT;< zqKMkL_>D+v8)Zo+csySp_B2_2tm|#q?kosFzJ`gDkTm$^!ZltZ9<;u>XL&qXmn3vh zFG-ISZ;qO6X!>+KCy^R9Z*PJF`9sg3URS1Xx+qx7BR|4^gZQ7CQiNu`FOAO9N|yZy z9hH9!ufZI-rwG{gn{P*Wrs3R5rPqd%yVz2)v4<10;}Qx7{>maVdVz1I39Lsdtwv&S6$!d7j9GOdoj%Sr=521?m&FJJ?{1vf;6ua?47v(%J@u%+be8sCYjKPNL=La;}?MN1G21+I`P4 zFw=#JtlPx+CupNY^=m#jE{fwR{3k$PX|2>M=;f?)a^@(PPL%BpB5`ha!;u2ZtGmL@b@&h z32#j=(<%4VSWsFjL0z)HWYbz*nVU7fsP#T1a*|#7-hhL*GO`ga1ET~>!#@+TzxRyc z-MkK7I~m@5XT~ftaZYZ!eduGHN9gA7xnY>KjXij;%*^vZB5?KfMDu<`xgI#Q5{6t- zV5jJgL{ILSVT31$=39BvIiDOrI}6|7Quw7bl9hr7Iyq;Z`>Ylq-?G-iY1OQuZR*;9 zp6=YH6f$HIn>umE#?{x|AF|)wR#w1VEkM3s*i?>iqToSc+chWoKrq|xW*Bp(^w~@L zo;8BD(QmyM*?6h|f=O@X)A=8v(Ee=uzuB!(YnT37TgUF-yZovqg}v5czM%24oZ+lGrEI2p3_u*OmMZ^P24E)33sk=HRD5p9 zNhoGac@L;K=;*{f4CYy9Dv4E9>Z*n9oMXV<~`Hiv7h} zSAx)e9+L0cf8qFHnUn+--2%I1bub-v3=}?McSxBR%aBSsad!)Q3w`8;zcCE*{)(P@ z17CELS$3gk8_aDQXc);`I7G7hFT6rPjq-K0Zy2nELA&z4i~s&do$qVX@01P?V9?iv z4Eiq8cGw-iSE<8TDNWN&X3j7Y^h!r^C84_CMUP9Y4CU*Q?3}p{@>82;c{!^X)hpZ~ zP?rR&&PlC>g?>M-AFh38E1msMj{bA~!`qSvx^HVJ+nY}S4g!WObPhK3dk&lu!~hc` z*aNaM2Bt;+;-k>@OP4ueYbd<_Ag0@22)N&2^8=#;M4%DL$r1BK+?9N7+7sCRly^;L!ec_vcsFG-p4~Jv#dOhC?qkZ4|Wo;?>XI$8a!2>bX|Wq0H=N^L4Pm z+d^(+YLtv?t#@Ukjp%AM9RVwN9hsD zY<7w?2s>^*^M(_P;%gDj!|E9SkIXIME-mZJCk} zRM_@xM<}q^N3;dQ(`Hf!-oPbi5EM2Z%RSS_QdWE3(etfN{zq)oY^-p!7<+&1NM|Y_ zCZjr;EyFDqGZk&6+~L(l&3vii&uU=CW2?rPwNBPlj8hXb! zm)TbGBpWrls((r}xlzyTqNF!LrTqgdUD4WPINNp5{exn#a86sD5Cb{!8m1tlnFQrT+RB&MCjzSO&?o(J1m%bKH1@kWx(yJAjv*kUJB;c<5U zX1m>7SflMX_6@^PyWubrvOLekQD*&MfzBoC22`1RU&fuh&NAU#sJ_MPRH5j@1er2F zw%L2&;S-7wJ4lVuj;RGshDAgnnuxapwTF?^_lKB1J;vF+Ha<@)X6_v^lS)7%nQXy8nT+)qM3K_2f#|9lpc)35uu%G=Bnsay{ zg;2dqrdh<=9DesUSTRv;fZ4p5K2L3J+l@wX>i$Pi65N1e4})+jCN#ZAa&J1DvFst? z-mrTEyNrkzW#=;AyweFkwsni?1zu7-%|qIj(c-XS<*;2Qy27F2IQVaavcI#aAiB^?Q$NtNSl%eKu#0^f7jK}UX8y3(s6i9Ag%Jd>36@MEgEeJJ{a<6_cC(Wpk{l*Q5sYwka1KHzcIQ z_MjkXU@0V-z5jQ`$lg57OB3b$zGy)hXGLH^aSoIZ;~vq}oQABKdlgpjG&l)XT$GA4 zb%?4r;-~jx{eaArL?}ZKZ49y1I>8SPbkO~!Sd9&Tq#iETd%h#igexAE^D(|xeUnDa zX5l4({~g}Egx2LQ#M)))(dF-%!c0J;t29}1Bo6wQE|<-Jqqfgxo@9M!&UUmG8kpPl zPD$F?U4y51heUcfcoPBRiYbAF{^p5PxxsL{tfbA z`gJ}UucdX_9n82HL3BB5-B}yA^0mIsrHq+S*YlbSKmn#o*H*?DMe@bxP!lk}UjxEH zru*!dw+ls)Osi-T8{^H(1!%=npt1@%TL|Uy-Na0{xnb64>RY&)-r-}ICh0?OYjRz}-C+1*KzIN%>&)je?T``ve-@gA z&|}+%L7)6dwFo}1u{9u$cg)B6#-Dj!v4^BCnHC%|EvRL31WC}`FV-05Q3>F5xhEBh zYQW8?aHYUTsil2Ft##6X>koY8VVpG(tp+Z?EbcY|mXY7)&C_@G8Gyn-O&@7DS$&^sorqKofpk-mFx;_&I*$BLj5Pd#}{3SWGD&u0|8|zyZN=2 zAe!&6p2S$mp%JoCAa3CMYd#8(w|DvFfEY+ahP<5HKmABDRXf%kCIvSDH|Fm#L^A zSIl7dZLg!gevS`+^{;TDyT21}3BPjJ*7q~E)W>ZL6_El`m_udU8qY;YowOC>xvf%W z#-&hbXO37fF1#r>-x|Y7@l$mwhwXaT55eSqjx=5elkHBE@zl*GrS>bTmmk+IEEp(B z5)(HjIx?;(7@MmOfP-&9lqEqx?aX5cnIL(L?C16gps=_1oBcWe5_Qt~_PUV;FlAe+ z?kJ8u2RgG7BhXEje-r7+v6)nqek=VeywRKGI9Sa?m=KzW$4d)vPQ!9|7#KUG8 zZoug;NM+pK%Zm~s0D`q%87g1$94gJqWd3k@({)af@w`1>^#V#0DgO?FLPYR#z&C#V z-`MPD_y$V7G@lg9wP7M+?mVZa&76WAbX1F3?Tb5%x)A*P6B)I!U{$=$!@r<4w7-wn z8*?RPGm@?snAH>h=_Y)`>x7z-sU&#wg{+gjZoATW@wWBxy|f(PA**H@b&;neJoUpd zP+dsdNcE3eUQKpR5BIVd=WHy1w~mD!Zs!U3C;V|t3cn9$4NAdA2!HQ*ObVuUeSn?_ zwYqOws*0qViXuDVG_EwB7h(D+K!A})9{l(tv8LNGL#LWs){>cH&{pg*{ap!{OKukr zK-`Db)mmW7Zg~cBo+!=t7`Ff)#0IAtG||@K!kD8B=&w%+`)NkMnd0lrt+7vA&pI}M zrt6#Kvy&m}Qo{oeNyJI4tcYsIX|xzG3wXr+RhiT{FeyEwph#++rT-&W0x2K9_^hzx zOXK(MUyDtHMl7yf)JKXpk3a?y&XIe0=FpCyQi%WE4He`ib3O8pTjB0R&gZ$(o-K)AxmOTF!U`ib&x`e+j>>D@-dJ^mR<-yJzfQKRjmL9oR1%q^3F8_fKJWgkJ6xm=mRu$Yih z9`S&rDN!A%R_qp#v>(B~wx07RSW%$$5!;s^Ml_}78W7%?Ef=f1{c8@hP%@BMwdbXk zMiwA`30o6`Y~)C`#KybNIOR}2eZNGPu8}|K)YHo5xEDiF^pnYu4hMZshQdM77%4Rr z0aqLJ5)cR`8gKLelk1uj1`VAhQxu{HfMOUl%BAN#q;_H+uL1os6kFA-cd;Srog5OY z>qbAGK8njfJE_B&7O$F%RT=1b)P}Qzy=Fi@$i2*P7TO86_!nndV`2hH-M)3l z!|plIIy9J6Nx%9{5yB&>c zOba8p|2|>NJa)RaUP0s_Xp!`VNnY? z-Ug%Gk7YI$%>~Y5_^$yrVt-Qn_w<^RHV%OW zJKWzVc$2UTBv0~`1y6V2bA>DKE&LMhs8dL;3bKzniFDt!szGnV$viSy7&2H9K zZ?1T+J&Wfd7H59xq?g0@T8AMGv66m_wTnM%V_1tj=(Fd(ZuuU=%j~BA@E&cD$U&ZH zDBr~fP563A0JbaC>)=7znaIf9d) z%XD5g_uC1Y*~OO*Ft>m+TQ*Me1?&H1w&aXRieBCM?N-1mw zC&7oFgL)n(AI5Xp8SrURju<5s{I>u$6rR*_i0vyq_t+32hncdc(i}(aZJRpu%hO$y ze_)|P+Wetc#Gj${cjY0fOmtz+CzRQbVcr8kPj{SMHf$m@mks!sOY%vX>)EzB~;SG`{_8TcAa-&kxP9`Dd3{MNeHP zF=cj?(k1-v2@Cuqz|8Zddp{odsjO?;^+nyh3`CKV=83=BIQB{%v$jg+C2+2v$osa* zg1^IcQAP=1X|eTJwji=>W@%^rE&0pe;Qgi{8|K+)w>7{+i|JtIJg(r|KnEHMgr-(_ zKq(y(drEWC+bKRet`!rpA}C~|l`m_FzL%Wy@1g*?=^Q8q zq~b)fHp=rhu6@6QIZELS{3w^>BveHI!`NE@Ro%8^S#gW&1`3!VU)dp`@XMO*IMiHI!5>H;LD%= zN*_y#)~@u&-xm^HIrf$%YXxwAm1;3Xi9b%y)Xdy_9gz(3MMJBeWIO9jUB5Yff~%sb z-Ye&7BKRkj(p$Z4`c*oMW4HA?N#53no81Oix;S-r4zicqI##`V4Dtv1!05D!olpX& zX7}Wzx|kFC=}KuaD_c(ce0Q2z*RK8PawqwN-5z7N4`*NrwtDV;+sRY6@5uu792`f{ zepq}$cga8df_xH^xcP=tIX(Z(ILR6&L|-Nhe5&c8i*jHXu6%t0JmeVYGa!9_>#l*A zq(tWAG8-HNmdOLS$|XRoKv_j_`eQc!<5*bw4(Ihe1% z;D_>_JIh)vYM_5NO-4$_zw{_xEUw}dm_^ncc=fhGF+C;JIcEoTF$l)PZp6+_N?9}4eQut_jf;dHCS;*EW>$SL@IFDnN?2CW&MOtr2R}i3xRqWZA?fKNm z^n!6u)ZB?=^mY?-?}UL4CHHG$=mk*DGDor~VWg3u##oCO!Uy`{z)B!v%1=N$5hfnz zt<@&xpCQUUWG5X)g_IA+-d@QiLOC^psCLj-gH9+@@=~Z!4b%e(L(*b!qc?%|wL{(- z=oYw^3O4DdE!W5_j$5RMu2S~SinBsHFSm}t#%)Q}*s*-iznnC1>u>X4dCXoldt62i zygqsLg$zoZ^y!ZS^6$*ebty-jzF(7h7?#~+-FULDc=1WwW*3p==Be-8jcFe8u=i2S zOxSM2O*=JpVik`}wB&5%iD;5fxCe94$%ObR>W3?kz zMT4VRV4TIOXdflKa}_k>I_XHe65U?Tir7a`i?7^ry3l1XEU7fBlF{`8?*&fMc8Nfa zm$3z>OVNsK<1s7&LZ1Te{ADhV{yBn2qtkO`_h{<40KkXj_;qaQ$g-uQUKZ!fM7%zM z5ypHbHArN&$g|&kxmZOOU!E;MmC5OMgAFR|z|!I+mKs^(NNi`GX8BKq)}NZF%W0Rc z+x~v8TiAJ>cvWrgWktfA0i&Jx{j9hDP4jS+nS%S=mPk7Mq@X5l2FIG#*HUeH()UM$ z$QRTn?81~%k?6Ef>{gTumvk_YR29&}4JWzDQ!G_Se$qEFtEWG4!>xlAlyu#Fb|>Pw z{I7nHjirQ8lpzb^pBViC(@lW8B>SI7s+1d{LYK6dhab|$!)*V zwL;Vw(->XNyLW-B{5!gwXExeXbr0|$sxaKZto0ev0;K=l?fb|}g3*FkO0v|4Iy70e z)DbV0+xg+CsH`;T1>>=_FZ(r0Bt$IXT^6T@L~=hL!}4pIRuWwqTcLZM_OjVH`5y@& z2zb9oVB{Ne#l_YV1J^xiS(yG}_k&r4X@7{|d4H^u(96Up7AAKrA%h0bW_OwRBjiKM z49Rr~mAkT#6Ds7xjO~zWe%`()egF4EknaxPzyejGE)Gp!mhXw>kRg(wQe@&Q^s9P<&OarPiLg|`TuHF7mAUsz59q0(n@prc z>6%>Ccs0#z{;Pg_G0@s%zQ5;%6In1kPXc8 zdc_57WmTUHCi5?%N+qf zQHl^+e&~_{`pGirw9Dr5LNJ48v!%K+Tsps@;I4=mN7{#a1PRz|iv5^VreJkd3C(kM zU!5zF_T_lRY;f!+ij97t)8grdFJ_UcF+eFGF_$8$h%T*4W|L{#(ntcw+VgH65D8l> z=nh~F3?aX>b;F!jIVPesF3ELT#0CGp*G+^<(?p?PH|Ij9{%BY1!^CSi#sd~?>_h^y zP@3yt(Ev@QKGi#8lY5$w1@`hN3mYwiRt=s8_TDU{Gs1tOJDxNGtizs|ZwjJ+{ zV*GL{Sy4Mm$9ulYjc2m1LQcTT8;Y2K*tVTPgqZ2U+?~KdK|UsW16+70xSX`i(Rw*` z1y3c=D=~eNmP2AJPuBWbIgX3O#@-^mT6{(z>2@kw7-;?Cx(>OWU;u~0b|{&hM&N8r ztXouefZ3G%sDBbi1Y6?S52Ti3CdJs-p(Ex<)T{{To1O`?rIR@Zc`w~UM?{B=3+#~y z4h<4`mIN6$o2YTN=SoO(I3O7_XhXi)3l6})v#ULogxszTuF6r7H9Mmd>leo#mzdGp z3v6X?10;&{3Qwtdur*EvrNU}}a{qM3rA{I%j(NSp))0wWeK3hNc%7}R;m1WlhUMU) zz{enLw+o=A3`6JyU;}|Y2Oqf{)8Z=3-~M=xMb0w+uDBg5DXW+6;5?MUa+n_@;E9*2 zLyS^ua|JWpaiN0TZx+Jl@%0!XISjT^<_OPk1=XW%XbGKp6-16_j9OHKZ@ybN3H7;u zD%zvsorn_U%^N-jG%SA1JJm-0&yl5$+tznWbiX{&tSXz98SaQcEJzY-;bf1?45c;aU_&m5~(f3`l%#2dlI$Hcwh`-8ZQdHM#zjPE4 zUsFCpTFK@o<*jbC2T%Wbo!dt-nK;_M54RDWN zXQRzLW?Ig@2&RlIx?AR3>oqcI4lO+l3bhyx zbrv{JP2-C`l1q2|z2W7I{2fb3E$K_d>m*Ev#aBFu+dBnS112Zw=(oUK&Lp0%T#sSEaf+JJ2x9Q7ZHJU?OlFH1)&t|#pg^G`@c00v|W;PcdF=VkuEW)iu z-JxsSze!43I@tbXiTWG)#0}``A=*)V#xXF0mvx~j8U7Cp96V2c;bl@g4y&o+?S3GK z60p0AtW&Sk$Lkkc_PI;(Ust#5(zDYg@j9OV{5rPuKVAUC+`TH1vIHLnZuD$XtQa<_eBkXV*|>UYjWAfM*BQm%2XXU{W^^h|5ZVqD_kf|(AD`?lY$u$>mVj~d%^ zTlH_&v-Sm@w#M2R#ro$hu1`o>W+jcXZe&}3_R3S76S##L@35P(6b<(|{+M=B9Cgps zgTL`MPB;KGKEn8;{*%S`-UCe0J6-bYINmaW=m`J@4(o(91d+lIS6Nh@d9JpTZ8tkz zzaLs?D{@@TwK^oiUgYOs`jeNMJ(u+Z zADd0>T<36<`OexM-Peygcc9s}|868Z`{-078C zQQs)^#YUKw53vi^2HO`(cDbwPYkCG$p2m>uT(BhLyIF1SRguE(-sPVXjo!$M2FFcj zjvwCTxQJ)^(F9FO+U|v8#evnN6TP(xgta9Kqcxig-&!Y$4}#@l`g>WgpXIZ#=Mvs^ zGIHW2y9d#Z{)`(v5iLH^{MA(gka7DX4r(_Q&`A?)Djp5YBs8ivee^u;wy=~%=p0zk z8FlQFNNz_zg$e$7mgua`Oa9hiG7SU+MEVb|J-Qs*k-rQ|ZDw^(!+~-M3B?zZ{ji7o z+g>hYb)21RAr-AR+CWx-{t^f3_93#MnL&)AKjUxXqEC6LwJmG5GhbmgKtrd^~LnAg(e zYsPUd&<<&hss8)>LmzS&)>*$1r7s^E=Iz2W7A}~y7GolY5HgYy#`K#O21|Bhcg=Su zBqHJ2cZYK$*jiK;wnv+BG;!o;7ZguWq?%d?!129gQ3`OFEO$du9#IK4^42#q`tXsP zO?@(?uN{Jvq~Fbl9C_+IEaU6<#R-#b3@0(rGa=4@=8&=2P(ufP9g^;_pgtp1Um3`s z{<^kx+m2Frd^sFPnrRzrSySs3+x2|xvp!tfWaY#mn{B_q$b! z2^z-`T=aw>27kjVp@Q(0E9bWLbz&yTUjse{7#;~?%X2Jm*~h=jY!5EcKu80E*UH!e z16>R24ZJVGW}^`3CQe>0`j%%IASEubG0Ivz_bltEZr!KJx{3aUybc#;7on_OB|zc! zO_azXMginZAvjibpPB#Er_q8}Oa9AIK6l1@6NTs{iqVMY7?z+tV=xBF$|2Biq!d+xE8++p!&R0EZ@JSJk2NUdxunIo#a)0VHtTbc)K z8VU`xQKknJ#n+va#u0d=}=o*0z@ly6Js;Kl_*R%al@@kwJ>aH8b5h{y#r&2 z+$~D>7YG!4oby+lb@^Q-Rxc4jZO{u=ZZRBtORvj48ldS**iwZLZ7T^75l*|)Dff@xe>tPv5Ft~R3>7yZNVb0#^2BT@;S zr%zvaX`S2sz*3g17WYG{chJACCv#X6L~lO*ds-%@gI|Jw3H9;00<3eAXVDC02Kluz z8G{U+Z^GV_9liOOsZ|*xdmHf}t@U@jS%CS$%~UhOwG&$p><^k)X=A@LdCtE7osoZC z_~I;Ny!=C^OTy}YJtsMgCzE8>B%*eetRmeVw3?>R^Z}jei+-^~;qid9J1^__A;%sS zu7}x@C)ffUhViwR%!3hDi5`nZy!4ReUWnS`OAK_Klnwl~62=DXBS#}hxoEvuX7bP7 z)i6)~2B!epgDarBbVBmwtl~NLPZFH~14yeUoLv&0n!jmoJ=u1I1WulloiZqrj%as) zIXTQCkTQ=WcLE7FNBe?OL1jaa&#>bg4-Rn{TD?G%)I=Oodc4GS_yuXr3nX;HF)ikj z8-bH$07hd!b04!0jh#Q1QhW?n$RF33nQVb&lFzlDer zqawWg`GF|oaF830&lU>IY$Z%lpf*mCxohHvF+&>4kVApzs%|tR>njoXjEwQ)y#1HN zvPfFP*bIr?J8O7XoiXh*YvaJ|x!4A^`Ik{vlOGkz;N=7lb$;=ZMyROm_~;K2^s-Yshrz~zhha#7*teV7C(d`Vssy4 z+A`gvWwg;L^2ztXWE2J1Dt=rM=eH;#lSwd7ALJ{8@Fe!6?$)v@3g4euR0SVOBGvWH z#vdr%#C|dR8A&d`-0wU2jLelnu{@ipcv>V!ySD=8(NLr@5RQDrIR5#m;5jwOyZ9ly zPv1RbM~wgi?Vq77g>wumA zm9-Nyrq9jh5_<}Dn>59qo_-tR$rkMnRvu1}?$Pk38a2J%etcA-6q0jR=F(}=9QbiBRMtSHPF!& zf}1NnVjQ8Ed=XT^v6>#Wf)o$|sk?9aaEa32-iXOwQ#;3z75x2;#}_e$Alp#>+XP*! zX>Ip{pQm|(15UH}dn(@`mcx?#(WlP{%rNZr5!iK3mgm64S7~5JKvFd$ zQh-`BYsklE73D3r1>5mCCcTnP$tjItC>z2bg`EBt{_N2orrS3kGta@ZJ!u4(k1zgY zt>g-By9#ZapJ-1-;U9vUsAqf%y&O3-V6Z3uke|Sm|Myu53Dcgy}OO%@|8DMe{k|T zFiChtYBZ$Xu}+owfgxj(xOQP1(abmjm3`_w)#|RG#gL2q+81M;EL0hf=SoGfI8i>T z_Ky;4&6ScWE=5!Z@KyJNTXJe*T?!kKGV2=Lk!8bjDD~7VJ5go!?Msj2bxVu$tCo@C z+4qS%pmn}VFqX_*8Mzn8yh}gp>`kW>(F=x;`l1;-nBz1uJtABt(AlQINMk8!lyKs= z((%ODtj+3u_{ySNX#DMyk2i6ZWWll4@wZF-yP_i7?-u$QuK<6b_b|bI{bgJ{I%Esd zy>NOieRvTl`XEvq9$)Bl7332PCH98JRK_EXs05^PpV#}3V)sT@?*KI6dzGCijp}d z<+NM$c-x8?rYZcu6b3ODUaUsE_p!JvW*k!fN&G`Xy;BZb?)Fm05VD!vgquGKfe=Jx zv3kfi?T!LHAlJ%m&X~$@Yb@J73n~4rrGrysY~swSbX`-SMhc`*rTQq+9SFoaR=UG- zk?BVxVtSXI!=IQYN9hT16}8%1(n5cjbKL65oj5Tjc++DbTFe>BvM3-3#*J>77*xJV zH_-YLXNAYtUv@_FnS-y1kZ~OBaa+k)bs%Pc`nR5ZozF6LUYVqll?T6@)EhU@#GahNo|= z_1`8~_ws-Z-DF&7S6-e;>51w1%joon@Cc-u6*eWrv4ew|4i^=N!6?B(NFc!<>uF(o zMBGgSMV6s)PE*zF-xWF#fU}oS64f_(rzvOo_j(Mnj$lty9;bkm8pCb+nOZMKn+O-V z9Tes3@E_c1ISE;LLGQCwL;sEbX{@$w(b-ky($k@>VF=d!B&z;vO}1tpNo*yFk!SQZ zv=*Aj?~QZCg3io-;gh>rI(=?--L4#$rzTRi9*Psm?xd9@(#oe6UoSlIBwE$8O(qN% zHAmVNXGNyMh&(eic#>|f`9(U`feFL&gb4vbg7y~VO3OQC2REA*5>cWYyw&uO6~wY+9qr^{tOiE0u?mz2_KnFXh83A5xk zy_9Yvy{w2o>mGD|ydG{8X=QL@E>b8G$TqK?b~{waNUW`4PC`)%I{Nw)EmTgm z33ScJsS(Md=t67hab|@)@dMJlhoGIi1G1qAIJVOL$ebviiEHVG&6d1a9~Ose`IzE| zs;d*5cga#W$`u9e(TDx)iMkFlj0}Bm+$JbKnwu)?UU6;S_i(eUzuV;B^~oPqUHpsu zu?EWEHFe1u_+(o`D4TpQl%YKM-kXs@v!qGPHBJgi!7w5xt@xnlyIoN}V%)PhDGQeg zC0QN+mr%JxrU>5EUixrBtkHJ=?bynW*bb=5q z9NfRMOK$^EtJjI9<7Op%Umju!9EsF}P0JnOFWFZHsj*zIv+^7^^F6_Cjvge2rC<;C zR=arTe0z#?x8m{ooapYMD_+Nqu4uC)9^6Bu!!dOMgU6I{$qFgs zT^ZQnLk^o_2u~x4RGgNm)9=ay=KJkZ&Xa04#4@95BiC*t1rSPpWr#8HElE$Cbk=L} z>KyD~FZui2-<%(JW;zT{seAv>-}=0{@G0=a+twfLg;c`Ye9)9VcS&}g2}na~Tryv< zr{qF#Ne{pH@s!X@eUC`~TThRUPdy&pL4u0cj1h-8CTpbfxAG_IlogzO3voy?ym-v} z4F$#*{SkcGXD*Nm*{JATwHEf^V)F2w0elM^yDtyXkCYy#xAa=xBY z#Iy>L0_~02^Ar&r{WDrxw3oGLSBtMk&Y2MCJ%+k+b*K36MotD#Pj8%yzbvYdW$P&j z(UEe*Z*oX`%*Q+TJCr?$l(w?sW;i1a-e(hth(;y5--I+QrpVpQ%zEuNQzs7!O5OU2 zHdt2&cN<6|on>2_`;sGs#3|`~vRZN}TVtlF8@+Enb^5&Hg^oF5rH)b=6VU_yhy}d%fy* zwy!xg853H(el>(zh-YMcDNFPn)o3^iBt0@U@uN3yB%krx%bL|>z4jqjp{DC6CR}X2 z)+fyF+WEqHaHK4=78I~=+^UO3 zl>Q^=fWwUh@42SR{5M(TEs8St31&6BpEgcb#Jkj>;c^G~+S8cN%@CSD7moauap69N z@xCXFCv>*C^I0G&-z6xxx)qe(#h!W@bi!>TJeWU5F4XI!U|6Yu1xuR+gPK-RxPo%nViBi*5Z- zjvS@{wcY!o=wX&}d>^c(alyC24gGR6vU(d~+w3p`wOudDTTMIB=gXT>M_r%zJZiS9 zL3Fk0=lBamkH3@bhu@{_W^Lo!d{P5^ArS(Uy}TH0?`9NQ_rOe0y>`H_Bb^Hg;qmVl za}WLKe1oHLs{gORo4{C)bL?G`<)ZX#E+h|9#|RG#xv>I~hQ+!u;UNQYq|0olUiu%i zM6;)!#g00;anh?kv72#^fJoYJu^z&qw9(xor{#e%<^}klywOkXgTP22FB*U;AEfc| z?EnHVo=PvUU-Dd>zFFBc%FYRog*Z(yV(nTDbx|fe=9n^KhNm~sAr&L2!Nn|PLfI(S zQL2{~s->DS9z}FqlzfsxH7dyS<#!Rb)HC$naLqU9al2`h>OQdbvqjIcc@i z7x#2-$>h9Ua4_wy_Pr0PtVsM!7Om3ot}$lrPE#+^BN|Q>%~3WE-A?2o+g`)GixWG8 z>)iKs==H|;uCqk>#O%v6lgvZf35D zqU8uO4)JF-?&-R)V1sf7osq`IoJi{04|z)+u3XslYTgw8XEYvLN_U3mEm>~poiTpw z=H&kjE0S{i?CU6+KcvU)B%sjhedxrN>~A5jn>Ri$h`*<8WP1FA{{^y<)i= z14hkV&+dB><=g(3S4z0Z2|x1qwWRF9d0F?Rb%U+;(7?ddtl;gS<;kP;`07j69;@VUUf>Ym#xovbw@S_sl zXz#knrOXivreA&$mlBJSYkDQ+BYg?*T_VF-4Ky8dlOGA_7yrLc0(mC_wVth$V)6n! z?naE4Yc3vZ$Ia9R@c;K~n=*?l@Pag68Ml$|#&g@z#wrfSvIVO7$MmI1f|!}Fr1_~P z`*Vs&hy(^93&lMODYRv*MBo_F{V5>+R_qs9VV?7OqKTCddFZ`ItRJ+)ww z-hL9>?A*?+=u9=4_Qk;eJfZ*fIsbo;ogx;ovP-~u?=KHFhpjtdf|dxJ&)K+CZ|(4@ z_?W!e0lMS>>XjljeSjwb`vGwsP!=p% ziH9tgsIQ7KXRH73WBiA|yr%G)nEh$hxiy~K3F=ZOI)dM{uLn<*K-q)l zkAx9wFU1_>Jph1oc>tti7DS?6ffaHcz=VNBy+S{|1ir!#NzFCs&iC0!y#6!xIC6G4 zT$X=+`60q>>zV<{7K{S*3n+q%f})Lt?5#F1^Mj0hz{f>O5TjnCUE7zZ*hfs0<4=0` z;|$P^hzjJBakxIu;&~0oZFssd zOnOw@K<>7y10{mZxyEvV8B1hvKKDO=TJTdpJnZi{?g#S?%P`VdlwkFn0-y+#FH^n3=wua~Ayjs=A=(rJ+jBp3-Mo%Mh;iPyiWDjS z;=@H<5I9n-2&|5H|Ng-#1QC<&c)`)Ff8dvU1zNl7mQkVGZ>mayoFrf^PXm$X_e7@= z2Z2TtUWcEd#IhFj&9M4u#FvJIuD}Z4Z1!#eJf05V3;g`%d^eG6oG}U|$@gDg9D;t+ z`W|n?$%Ta~N!T#;@Z=E9XMjt!$CQ^8LHC}ER^f=lJZatD^CR>t=Yo96h0>3$LNMV` zN~Ucgfr1x5KABbPA6bbKG0cY?ZmcUFTLXArnG*tqz!t=G7Zu3}f*IHH=l>)^d;_N$kiU@u zdD7xKsHR(U^K!7ggBLIL|z|8e%r=-Hn zy9{Ib)q%Fg8W`~hTeZ6E&;E(ec;fbd@SM}%Q0U>g7g$%Rc9Jgn<8qmA z1Mj_dwyX(F5qrW&GQ_!AvhYJd~=;k{5t`yeS9kzKwZ+Ky1}y3^u$ObTj(Cw!FFln;r8{*j%5iS3x@OJm0e}DB7l+ zT-Yr94ggW6Co~FKd3ilTRFyg{fa~AFh6O4-fX6UY3*}c6v z+=@OURTKEEr-mJXmGVs_kvh6&*(k@_`~G}7ciT;VY_ngbs_KD1Hxjn4+xOay|KFlA zEKcn_A7eZOTCYHCO380vWWU~nQ-!w&bW~m<#{dj1lq$+V)=qCS1?tB(_9X@r#bs!% zr7BoCOw*CZ>ln)3`r(!OmV)YH0S|0^3cI;}$wxdNf)!rUp?&`M`%CxQchPibve^FK zg)TEcS0*}%6Fa~)$5$A3ph4`wHoxDbI^cx+q(m$WLNw}8iEk_Lepm*?uHpEVCclJ-v0N z9t%gb{@U_YEf>;>$X~FzIg(Cn-f^lq#UQluwhr9=KsW>>#HmHQ02=zm)PFxqo5<4S z?BLw9fB{k)-;tYrm)^~<+(!^D$A6y0ZL6==#*WRQq{vt3k0r#Th$um0Y7RfF*halICoPKlu=A&+6Da2h zKyrWIq=WzNSR4t< zwQ@Qv;RNw!pG5^_U5w076SVVr-1pHYDG0tuGqxl-$j+^s?pg z;Wh|YNq|*yAzpvc!FSc_-_ETv8a$+-RHu#!os^GbD221+MSI?&l38;mFfN8;KZ6YC z>sz2%z?Z=?o%)hp2sRgxt)b&m%hN{w>K9v9iYzB$F%aPayG?Yre@1I~&is4ypOsqz zYDLt}AS|p@uFs*ri<-8wm&A3oF7KH|5PwPt)>Z8G~8ztZF~Q4JSbuzvUtWP6^jbbXn>Zqf-*88$@LvDJO?ImP#v>})xW^1OV(7c74UX;Y<4{WA{ zR2(-73!E@!DTip(OjJ_?liu%dFjlj=ceseGda#@E@>R;eUsX=zte|(B)rOscFm+Q< zG^JD^kOK5NLR3Cbrg7Yg3XBV8d-md-0xg?b8cB*-!`oC8wRa#esnf!gT;ZhrTrlQ# zd}FnXO#CfV;~vh<#Xd3M*i{h9q>t){lT!YBOfJHLQ*iYJw(y zg=Y8j(1AP0-!S2XYtwe-(HaQ4_U4Zz&eAmR-ea8 zK#4G;wuuZzNfL2KhLOv)|NaH%0X}snBXY0muV^}KXTxv8_NK|1ZsgnlR!hTL6iZ}- zfMl7hD8UQOxMU$n)0rjYS=0T+ToCxfj84QsJMyjfPG}QU@o3;kFw02LbEER0x(<0W zYF1{gMeaSmd9eHIaoC)T;%=0dC@Xwhyi1rOVvJ&ekqZWQfeaP(Y%CqBUlh&Xcz~pa z@E=;Q_f;pkWW~JZF1m{FKU_@Mm8{G10rfy*$Bz#sKOj=tXj)dwg*W~xZJACG8N)VT zI99^T&8e@y?yd1)PmUtW!2%?C`+E!wR!OrhmTRV56@Ao=++f1HQN{Lyr)P~{i3P2$ZT*of>) ztP;XjQ$t4vh6``fcFR9~|M^dE@DBwA)$Q5#L?^7vGjG-Cgqj`uKWMY^fVng*4=)SN zo5=_a&M<*On#N8>rN(Z#;|TC90=mNpq+)wQbQ~Q2Ho6>E!a^xnAn8^TQ<@bAoCQFI zG4lK`&aWv-(0^D1KBSrMC277JCn@{l3>ny%v{klq{wdI~^`BartY$lAnnwU?`9Na+S+UR{J0UsIoo=4ow!TcEafP z3Cth$H$Dj|s$7$T-)RWs^%2<4>xofLE{w1-japPV$+fsD)y%(K* zI;%em4E7uTd&Ck6LLL(y`1XdH_WpX*2Xmr8VfVZ6@XhmMjgOx})awAP9|>$5u~|gq zI+ve1EK+?nhn2G(-%z?knYCWU&8IYEzK>*`Edt5Ua8&SeNSB246?kSnxJfL_4Jjz1 z2$6i&>p$WHetTN+{K+u=$iBQ^^$%~T0L8%V8b}(@V8K6p6PSW)sL7i1t%USdogKp% zOTH-XX>lhZI-VBr3QFLpamRdzAGrX%K_G~AJzf)qHqR(U0-%LTnf1#746T@7&y2yb zmVlq6L_cX4xGSBh3EeF5%KGgI76Aq1i&Kjqs`NFIm2g?!n*aBtsf+@5u4VJL@)ayT z&V&1JxbXXneTd?X-09jDWzWe;n;$}__w9aSVG(~hFC{3bU$@g;l{OsTosRa_+>N9{ z0d(_?2pI$D5HL5(jA>u!=bp-N4u!UW;;!$_jf& z+EeYiOs|m(R2$SVKwCYK^tdEBbKDoftnILRkVysmFtj3Bb+Vc}^vRb{BBi)&*}>?H ziJFL*kIS+bvg^~1>ldLDrCRKrC+mX@X75KTevQzT0^=5fPEZeN=tN!p{-<}Wmj1*m zeoJ>u*w8&gMhsQ~+~@A}mbz!roqZQFTbTo~-VW5{Ju+Etp+2awU9bPZIzW^*)j~y# zSQ;hFB)IG)4YBRGBcb!4AA3NuS*VG!5ihobI{;sh@MPnwg}jXYTT$MRz__JWNvOop zaz^ggNMC2T%RH>S0s(b}Z~uoQ->R+LC=OY~ES;)8a$&mjr?k(^FSXr!HWmIUYiJ|J zxKmGgJ_287LU6OR)0#e%*`>6TpFbss$uGX)DkAgmRf-)r*$sbJOsH~4bg1yvcp5x& zzCBSG1O|QIKnmXcy_D+v$>@H~%haPkIVd5%#`8}&K8%BkK%}5VCdL>H&!s5iW(8ct+pAzH3~iYX|N}>GhX*; z0*a?LNHd`J#dhZ4ZMH|%m4KRSO+hM4j|E82$r@mlyP8knHhpQ#k5| zCNJiJN)TsC3Fl@Qrqs2Yx7VR53X!^hV<%0m{1LuEy)D-@y91_wA_@2wLwATty*pJF zhFS->I%}jstG4iOalWryT+G=e%Uf=KR08i1o&l^; zR*k3$$E(x?3@R>!xbM$YWiqda%ySyvtVu*G+-vpsH1iz9%eWqg5qvt5La$;unkf+? zRs=9Jmq0t)Ga+D}Y&nfti@4IM(uy+TsypQ}1H`ARlOYuL3bVdQxiBdk9 zjf(zo7yDyCyFpHJjad&y7{cvAqb&?WyaGF-NRhCFs0MO*)Nu%)|9FVkk+YPvK)!_e z&5_cu+ox$XtG=(}Flh5_lN4rWaw{W|%4GL5qxr7$vE zm(Ih~D&IM!1CtI|!3gx$FvA>_+k+b0Ey&OK=|1AA4K&A_)zU|;8nf=?GnVfTO;gpl zkx25i3q@c~Fb53;pOm@ju=x;;+vj$p5}0F3Fd(AmRsPe^!A};Ys3P`3%1JRP{Sg?j z6***!))S&)783I7FgZrs@<&!rSKve7yYih%u_%`Y8=P}1A75HOE*aFb9a1ncZ$1OYL{Muh_fDk zTlKrjd4KjZUT3@jbj#!W$C_o8qB`?ctzVM-CRrJjWO|Lx7)nCdCa#;`T>`I9HwlKv zxwR#O{sBGyZUcYDE<4`jd40ljdfy1Ww>gMEl;ymFfnH7LWwscwW?mrOq~NxR+m8{a zFCRVwKGOJtGL&H0d(;Ttg+q}Alz8tA_D#}~zDx=WgKCUIgm;XH6V!O&W`Fqk?4&Si z%+@<7zFyc^mPmbnCLvI>O!ERs6=;AGyG60{>^+b^a99y(+x+*o+bJ|S_v5R0Cxn@?bP2(#p+}v7@M-A_3^ef2<%E{ zZvr64xX!i)ACaVbhChB941)%S09S^+;uQa8bL;)r*$yT|{ zcBK*QmID=@&)2>8{pR(V3K;ngqhNBHZ6QnRrf295^+b$2fWblB3r?z7le70COu*mZO993Ybh0LH)9)91yqgw9#cX*8)eGOCKsLc*&2!a5V zB`(Bw*o?B~Cvt#yM1Pm`G#MtQY}?f(!)c1)$+7#2f(oI@aD8n+CxU=a@3wf!Aq+=^ zP1H^EH1FhTm1=VKc=j$|@6F5_X?mjY-j~SD+62B$w*)KelOVhL1hq(-dx+NwyPLx1 zBy9(?E=0KeSckavOY5E4XrND*4BO)ccPpss6+)+7dzgq3rHxNI|JoaO_R&c8Nb`Et zME{Bm_^)-E(>LFnz-{g>7+mEE!Yd~9s)xJdXt}c!%y>h+`gRfzqb%Wg$t@{5ev~asIxJ^9%@hB$L81Xd7CY#O0|}O5kpkXQhwYJU8t_a zEx;-<0lFS)PrdNb$7^@bLGt4MtWX%O4*JmO8X*~U(oOzw)N6PCCld`Y%%eg-rMF%# zdUQ$&-&C=Lg!cY^iJ=V6nqgYN9vNhGV6G zX_*~BB9^12$U^MLv%(6Zk|_U&xbVNhf5w>LQ_^yUI)DXIkk4(MPuL3%8u_H>x5?W| zaUBhM8RmBzz+8bpB~us}V}=3bTVNnE1I1b{OPO`82cLeBa2i-;*fgw!GF0-C*S&kH zB{0Q%*!jYKd!g|%p~CyT1lTob<6nmu+psB)yuP`r?5)F-@3fxDzQxo5yDb^!x`6FT z1#?2YRYkM2oM=C31+2h>LO{Fd`Wdttb8JRkeXcE8^NZC0IO{nDz4>Uuauin7W?>7@ zmqEjz^Qg_nFT1~Q{?G@o5m-eBhGtdZml{~l)Ldp9&teCWR|P=pmAqGC zb-;Y<*0sfe$b8Vn_S)uEM(VHdm#1e50K4JMV5Z#(TkrhRJ>|~9K=RvZ8)xDQICAtR zbcjSG(dceUp#MYR-asMZV_=x0&RP!hlS=@Lg?e=q!Dd|}VUGK3NVZA7ZEel*bF7=u zXP6{}w`XC`y$s-aDJ$=%(aM#J9F|c7gj15pfOP)~*o9;Nr+1A`MSDUT{AP$H`@OO@ zk|ZOk*V%ZqR(4vF-ZR&N<>+^-uOj;>G0+}!W4HIgSS?_XsgZHS2rD388#Z|U#jxhb zJ|e(gnK1l8Ll6Iu=3}Km`(*J%4xRJRex07$+X(~HQ9~azBYPu=32ycpTxikJL)alz)+)+FLGO%dQyyNrLfVdEGw(R=E-s+o z%Jmw1RGfh(2z{bZ0qYs5lU@BzO(uqFp+dyNTm2>;bYiYjgZ*VcyGubAf(|H-c^`Y2 z_uth{qj}qg^#m5qow-?Hl@$`)-_(W)NoEy{~n z8VZ433GDxyOY@)m1Z;G+q}KpyPzW zCH&|jBs4(aSBFO*to!FVF_$$m6zmf2z~G*LjvrY5W^UxjNG<7}_+eG}fievsA9G2B zwsX&*(J$a>aad~>V}MJ8_j94g>kJ$Ne2c_fkKCsv&@HgER+(46i3VUW*YU$L={#0nAOU{&bs0Rz}#Zc7pK&wg8?@SgvYLEVxN;gW>DBm}%Z})1H2suBg z8CLd)7KhMWYb!d?N9}mBAJEy7Mm&=@fe){D>8UU?F;D()Sj!JF-v`6An<8MPMt;zA zI?~}#T1SwenvR!L8jwpKCPdrFjr%+m0h5Qq6Zm>yZD#sBaCy1ddUu%1Q#2wv6#8ZY zi^{7Gf|lnGH`A?1amPFw3Gt?O^rP$}Je2=wT!56;UBzuirCY`{`=3=wGl%4vjz`A&qQj+zgT7%+ z@6U7e>3jj`P1lrUOx$|k2l~{wv;Nahn#I{vt$%w(pZYMM)BmmhiJj22vATz?ym-B5 zE=2sWf3w@E$bXK!J5`QW@wk78YS+#8>nA^Ci7AesY_7u~)}p&r*$g$~$9Bttv(Di2 zYJ(X=Fs)GjZaYgOweX&7c9j-k&5f?=IE;mV1#9`&C2xoNj8)Y9e2IA--oOTkl0?ov z{@C_E)G!Y|2!8jZcAM94@ceJ6hsf!3WuMBMB48m#0xKMjK!wYMm%tYh+Y}se6E>MR zDZpA9l_w(vb0^Iu+a5c)$!Pl@F90#Dw-@|VQm8>j@Y}D(s04E+6=O`y@7DTbI{^_? z%)vI2%A9Rn z8YV`)YK=W}n}pXd7rJpIrsdc9_@_w~N6b2-lAJWlN=zyMbqJ3jz688jj+n;pE7Nk=cDKp4)-|5Ost&Nk?TYYOq1jp((VoPq*o&~ft8UWjy zEP!a?3QGCio9=1Z2=vGsq_`k+NuT%L=)Y7@(>$qr4ue#;)zhC?4M}!>BzF7dD!r#u zhN*mGnI2It_7yuL{CWXkkk8}n29f$dv-r$-h#)bpD`b-XS+eiRH||fou^4?U;t7j{ zi}j7FQqbG*geY7hQjXnVKyN9hLSNir>6-;fzgiP~Z+`l&rm0VsilxYnm^kGJS-8t3 z6}al8L;IcHzUuTcB-eL-ISyobhAgbv>uDazE-49TOD70y>hF{Vf;rUH{&)@ z2%w3t{atXAMo#dwx|qxs1|{2JtlSb;y(n{~S!%1NC0OpLT{96oC+aY?JU2fbGd7#l zp(lP>f;+@0^^ibMv7?G`LYnwdSVXrJ)CEn=p!5sS5~I{kI?ZHJVTakylzsuqSXrmh7Z7%-L^Yo%+F3KJ&X94026Zk zWLmfKzWmZ5CmS)D_B+^2&6u45(8=d^v84EVd6;=pnO$Z}>Uv2lol_6KoSRZ&hq>U}lw?j`<>uOKgTt$pgtE7HR_hAX zAS#7fs`0^1IY}o?y>_y4U0PndCgN2ROn6*CugBNL(SfAdm22)L&i4sjGfP2j?jJz4Mvk3~e!9+{7ZXV2AB~5( zCZ4({CQMXn41TxfZJ+TBDUEl>rD9IV?yg4ywnP5~)xGZ@&1^{GxalOsi4D=Tjx1}H zr)TeU%vk(Oo*S@rQp4}`$$a&&X@DDqYGx<~gHZ1#QSaJ(cWd#p>qN~xc4JkTyKxmk zlJw)PMdI|=U|&QylC&u)l-IP|YZkMm95SE)wIY7U0=gt*VqgUq@yZJ6y@r zc=}cWR8GrUa%8Z1S3TAyA8_y}Yn)lE|3Se%5bGbKQ#G-h0Yng?FKMm`G-&9_u>!Uo zWRN;HLgz^QMg+0e`{OY_=!68%7TW#JcQBoEx|L#X70UY+pCW<%+$>tOrYS72<}eUS zdGJ9~X|G>)U#l9_oh_sz8j{pcka4gcEhA2te%|5kt9qh&uNNRD?#cUt_n2cR^CQJ@ z-yD6f`(O*8$B)h3mrruPR_e1E;usKti{!{ow{#~>(Cgyb-KAy;Q@@G1AeC;r1|p|Y$mf98a<)hMUztFe(IoqF zBLh_zm6-($8QDB%UK2&^zsw$wRz2+;xm)ehfU`eEfBlNf0Z5R-Pd$4BbFv40n|C?9 z$(=I%b1V+Q^wOVM+WQ&m`c`uD+5y^TPxG zPee7WSRJ5#7*_+k8Q^s)P4G)zN-USD(-RY1?!6(ACC9E_j<|lpdIx{;F;)y0;_kg55u5)T!LEfttt-JBM)!xbvEp&%Jnt~ha~!( z7O!(%IbFZQjygtEEW+M-sy-$NpKM-hgtB`?Za7QAUoViJv@6^ zErBt1QObzVeZy0DranxlR&4J_e8Uy})8A_yVn>+T39!fiQrOUtCEMz@zM#FEyU?v% z3xI42#uY#?iX3_kV#A&4q$$7!dsFq<$_ULpGWY1v;}mIie=8F7<%n^H&I)&CwI;G{ zQJ-oPBW@}$UYmqi;!k>d(`(C`f6^{0FIwdcBl}y-c|gz;1Ea;8feu>NlgR+edR}eb z8PC%>`-u))FHR5zyIU6a(x_)r{Lgj!15Ubn^@;Q!{s=Be-W7EAAoBz)3bB7ox4Pqx~ZS7%QF zx*0RKg_)D-zG1EG@L{I&L{%yY>!2(il>h5L99tF9y!pvf*rB1sx0$?DE=^VRy{61* zMjwgMr-g*BD<0nt)O3%{rfv^!(`#I!)nh~xq2^H{%jbj)elqu!Rk#Nu@7Fh|^fY>2 znDXUV@%%IsFqY#S`>cCk;Veyux3PB&W-OBR@zpcJPPfHyaOV~)nf-SkT!#Cu z0wBDRX9<*Uf`#_c@y!N@SDz^@|63_V;tphAp}n3ROZib~o~SNy)qbQr*IH>RVgm+X zAamdb6-=U1qS===dp$9diV!K!UBEV`B1%yajk*Q9>4sse4^HcH&mQ@;B7eONjp2Jq zV#01ev}*3-b>5-bFa`1uW1XgAQ-p-sNbm|MBGC~}Ka$%9csDD65q{Q-!8iaaVwwIs z@Y^ptk~1&DAJ67=bvkZK42fWVOOW!F{7H4hmPYz&tBW*p8$9m?6?w?)kPeP)j&+9g z8Do9CQ{qu?0#H&Y2FfEdZ-GEa_0m$od#QF0R-Ly~LKITwcP1j9&076>BRImy2ZUN= zJntGWs+wmUQsmTU;-|x9w?1E8jBG#0^WET4)a*&|o1l1*`$WjfP-Zc-SuJJr={!|q zOnV$rj841JLZLrNvUNb+Diq?txb{`o;wan%MwRz>Z~~L zx>0?>EWfgXd#8JaZ&pSrH%#FKjC|u@0Z5_jh1={Qb@veVQ|_v75+Z+@S(e`B7;b$d zrOVoxoKbR{1*g;JUJ6uy{fF@sw5LA}ukehBD^~e8CoB8u+g&+cGJxqrzv(9|ofNA! zBlT>H;xq?Cc}t30x4)4mBtf#7*0ie&1Jo?P)s~5M)%+-e7ORyC!bnS3JC=vbrbU53 zS?<^GmhmRd0KGbgq!tIR$Rg{T*9MGMWrvu$^3B$Ch4_s~oXp51_Ji^5kFy=BP7kM8 zNjf=NopHG+CHu1g=6O*=;tYhwQwF~=<00!dcm5r>ZbZQ^?)yL@#16GwJ9Pihb#Z6N zH{P`1M#|j*BBvzjO8c{R%g#83tlhnx%_Hcl4C{DmPsWuiq`8*C(dP6ru3B;PD?1VrQGUBvbX{{eBl<@7NE zAf8i-eob>h57{Sn$e#7xs6LZR_xlM=J5DyM$6+^}e!2cDp%3%*@;ykH!!Inq4hIA( z1Ay7fW}Nissu7KZ{?Uz!xRM2zQ>h7O@_XX3LrJ%}m zqilBg4253TN5NwkCNu`h(p`|IG#XWXMyiK>r_OZDhk%(a`J@X4- zyc91RE4Rr;LKk;;)9sxY*rJGZ^w=r7uh`$&`vZ~wOFM!lRiatB4LYG06sEZScKU5c zFBo*UCQ7d5j1g`uh8L?oT8ZAcjB#Ai_Z6l|rnCE1!KICl6egQtJ>#v9`ZV~;(dNvC z+1hVgyTuCghyNYiKG&OBemA4T@DqMMctB$J)nkAJT1Lcf)Uk@9?7EI>N$ zP3i+Q2#pu=G_;^R^7MIN{GQFq@EfE3G9dWLKl>37W3MIw7UJj9UPFl?xf_(`WO-as zo)l8&Kk?XWCXHmJLhOY4AI=t8jDs=Fiv1Pb+4xHo&$pBMzlbLzQ|#`;og*xa`$}d$ z&cr~KcV?_Mk|H|COYBCVM3HJRW~4{`ceA&2$KUPfJ89gc&>q$!9PM-UI^TKcC(H3*lttuD+LzL=M27Ym zgu}0BT?UuaFbjrXH1|id%zO0G?0gCeF#e97HJLuO@pfa~jxZeh+`Ow=w{0psPD)pQ zWmr`=MS0s~(y=f0;GXH`(^{&F{Vr>hO;ccm!tuNGcT?2kKgE3^Kc~b|M&rTc5rg5e zJc|gPhVyTIv8?WTmUOpj=4qdLmNzhQ)mdnK&X+qIzUQkLQ;Kgo`F#~`y>ZPW^k=I< z&BaE^BRJ5){ER62mh@zgy7%D-I(T!`9v9cVKGJ;dk#h^t%+x;eS>Ay0o|imQ1(Khi zd1!U!%L#Qal(qVq_DF4C=aSX=DpvdCOJVWPc!lor@^r=@JxW<MT-~aLGk_*gf639k$`M zA?6twnHWi8)85xOpX7Xx$OnIqotz5`z9bqja;mM6%yePF*cV*13D{4^ z9ph;b>F_D=`TE2v<|cbdx$>>=uE>NS|K@oxtZCqOUuy7;d(X30u>V?uNv$-xHsWc`|Gn9}FrXb<(DI(^G9s0eAT) z7xjs{6Jh9(dA_Xk!zWJlsAjdW4d9zpW(vOMJ`Ja+z!9fhI|7!j zi%)H~JlQVoAKGE=O=j|7_971qaZeG?Q~)8a107AP=YczvpQa9@{O!TqoGsCak_oN%wxc zcregeTAY*OmEtZkD2*+c&lA_{{zzc2MhPNj?)Td%7*;vhv%JUU6+s&&CVUC@-hdNg zzsRDxGS0JbFl5C47%N#&#Aj;`t;pglx7I7bBF+wUm^8%u^hB?G{``3gEA3Una)gYE z!=L2uf1LzevQ)Kq*FGPmV`GKv9h{M%;?U6Ia6Gcc7NgxM*uZOAwseJ4LyXLFmPymD za|t)Ve6J{v7ko^VH|gPpmY~)33y=C->jK9|aDY2g*`J+y`oLqcC*6Xo-toC$gZ3~$d}#aa4~ zZ;_Ca(?kCHm-R)`2H7lvZYoHs?ZG8a7gqiuqjMoPsD-0vjC@0sq~uh(puwPPz99WE zs+j_R%15>ZDBs=|o?}0^TurZ${q!qnKQ{E0B3<9jtiDq|jw)6`rN4L`H4GX)YPf6( zmjyFmb!tIRvcKZln)J&^Fwz@M0Gw?fbo zXXC0D7OJr%%0&TQP!Bn|6g`UkK4KM(QoX!Ie7yZrcKu~C3Cx=Iq6*=QPtTP;Yk z12YZmEAkBq5~z-jB~5dI$XS7kYr9fWxzyC43kVeGmanqN`ohD{2sZ<8M*STb#;cp= z*Qe|E6Bk8G>bO(PLFACE0C4mJ2s}>NbyA3W&SgPNDJNaOxV*-%wa~S+_e%xf;d`v} zYFS&B*=dAAgR;jRF}$8yLVSV$IJtkEW;G}Wo2ukeZpJd0m{vt>v%n`*3Ul~F#>qU- z`|EY$N=r+7XzCqk?sI%_q!?)42Zx~?jQCj|4SeGA+soz(z_T0q|Kf#E%``xy8hzKA zC`W-(8~F} z-+ghi?R}rMLoj?$@J`|9sp2StXllN;N7YNOxQYJq8{_@S*1Ry@fb_i#d|HK&&lGKX z;F5_1TT*w4p%x2ysZh@~f`MoIJub*% zlNS^0ZmZwTM=R}Vp-F1J{?|B(mkhMlCQG`DB5rAN{o|;En^Q>3Q(bJD#pHtl+4F)M zQ95Qyj2uzciNb_;C!ukM6Zb1V zrF)LFiK5?BR8%}L-epo5 zjJ3Q}Gp@{AWDcX1MOX|9mEt*fwje*>mS!%7G~ihy@qkP=2E3RJ`HJtin&=FQ1Ikqv zjt$sK0bk3H<6!jNHjsnmU8fj{viLwp{A$P)jR!~l6N+5%e=Z~{m26_|*6}^i9cK@^ zY%76svY~x+sA&1 zp1nggz)5TkEa&Z`K8>9|umyS)kBYo73!KF-f8_%ZsP zMDJx*SUK!*-TO%b_Y`lr_iBCXqa^VQ3@%9DBEt$AQg`IioLYX0;AMc*CzyaJXuC(AE;z?) z13n_YTODOzNv@)*DsSgnp`k8vWw(<{5=@gDp76AC4gV&a>X)h}GuvrCzHL9cf`8FE zN@NZjHW$oF{%-V!PxfHAeT6JlqkaBqX#-&VlSLD*4LQ#9U2epN$!Y^olsSp>caeQg zN=m+Mnl?LO)hp$6M#|$u^3yM8-FoCGgI8;6p5KVvj4R11EG#@Gx9NgSyorgR_d(X_ zZe``_@h)7KJgg@yN9UqF3HVc^70&VY6UMQEv_lvE%SHvz@>HgY1sPTWtB(}zPL{m+ ziX26|*CK~?z8r-k#e1afx8<_z@@i%41D=}v)$8>FR?QDzL1;)}d4r;R_U))<<{w?j zk}dJ?4Xe;$nivmcd}hUCb4w=6?HcOViSG( zZErANdIg}N6@a}gOU_>rP8#rqaRD^t6orn0hEx2lyC-~?Ul}6M{rN&bjMH!=si35^ zqd3;BALmed6XkQgQ11)dbEK7byy4gDzq)L{{|+KYX9ZGRU4nJcH(e+KZe3!qz8wH}B*nz}GAxc(IZ`zP4yeTAA7sI`R(sO2@Jz8e|k8`angOo z2Y-t{e*S39M)oquMq3JkbG-rJtM2;saL4bUJL;?cQAP)SsR=>k4z9xXuaDL^TY*Lp z!!z+hP=^NCM%8w!>_M+7468#Rpbi~$jPje~i#N=EwXolR7qCbFoU%{)k%obR4XA`Y z`}S72B?3&~?XfGiEl~7cl8Xn&-HBf#iWCoMM#Dgd!g6J#qKw56-bv9<0JQ+BLM>GXTGCh>hy7dw+QLQ;uH&l8tWxZCbc~ik z??MpR-}&LhLyu?ogH%=evFvdL{*gyb!AK-S0 zC0?_930rker7d|hPuRHn+>X9mp06g{){gJ zYRR{x-?U>mVU&xJVn_dJZ%G2iXg*DoNxWGd&uulS@HqTxC1b&z>f^+CN=y*mHB`la zJODSA!tA8PVUT8aWG%2qA;+l7!3_)s`~FtgVi_QvRUm{M0d#^SYlEcr@cD<@aSUzm zAV*84!zrh+Y#vzr)yE4TuIl(-?DtpcT7rD}c{{(UaO`+r7<4Mjrw{(kd;cXCPIwK; zPpf+gH8ivZGtsI*gWnjj6PVuL5d;~z?;aSPt8kQh)blD|O_RZTh>YUAKQdUUDA@IN z0-)YnGsyZK_z(aq&PFxv3UvS;KYZy7JJQS-N_89h?nTRPywwARmuC+j*!Ny5(|yHT zYR2@_X9v)Dq)G4K)Sbd`GqPl~F=4j9uyY0scU((8Pm|iVPk^&53G^A{nK!)}2!L2> zOFoRK^Ngf>n5FR$1d`a3JPRc7Oc&A(TD?>m7$E2iQa9m&xNswAB9t?Ndpz}!h37mS zpGoYkPvIr2Va#>BDSRM4PLwL>`xeAobLE2P&jrkcLh@y|wXaLV&#=FVpB{bt)1CkA zj^r9~jKj{X7#JHrJ}=?&K`!VhQHlN$P!+2BXwhmIFKBY|EdS}rr-i#;7*4*s8bm^7 zaEb>{0>8N5JjcxKIxOtH{h08Bxxt!FcJBib!rcZ92j2k1e`NHdg;FC9ws+Sftv~)9 z*9Sc^P0X>pqlnHdPI(<29WD~}v`o&xW$=kqHzNFfq1Icn3Fqc>z1b8jd;0sl!e5wL zon(LGx4CwJt3I*$KeqXgs{&aeYhS;VwT+L-wiPopHFZdqaHTQ%%D+(TFbC!yGEHFF zy2qiC1+e?GEb5(7;9F8iNbzqgph=8r1D|a{VCL4Vz&s3kzLo*UvjWuK2SAgY8E7Z} z0Qx|9#-bun&$jn13_`*l`MmQa-wuXQMJ%r(e4&g17F{Nbv;!jBQP2QoTkfLgJ8|X~F2Z^x<;vGwB zrP^s$#i-o+we;rK>)Rk89|k>lE#Zqm6+V<@rdGL_HXAAy3#+9qs@w_I-8@D$aH*$9 zs2`y2N{*4Jaf;)qb+wtp5yY2}0@-s{vb5CW=jSi0nlNPW)mymvEYg(guxP5&TN1lB zy_0{}t8bThsYaKF+5h%b;Kw$}fRP_E`Gu$;J&9DDe~lOGz&^W(Pi|ph`2@myG>TLH zR-?)I*Mj&*4bYhDrgZrGboLiC_n&qUyt-Y z02Iv}>vysdpgV#%11;2kY1^ByyXKM~w9yFKip|?!JejWy%GN zD#=YztwsCpD%lMT+V{fO_kEzS?%YmKY2_l6_-`rmUk)Rrp7x=WdMhTmT4AHKM31!{ zgW%~gH8eb@IF9L+92MDK8ATFNWO{4%t7iVLK!quDUCL+a>807lbw1P=MpE*GN^mfb zbwxt2>?>Bd^?trr^m35m`4`XH!+AcRX%P?Cnh}$h#-EK+~#LjeijO2mC_PF1( zMUx8xx7c1_!o~~kA04YG30zI!Yb>Fp6v^aw{N8r>i`eoJUsSc#Nq)b4*N@*s9=UdZ zYJLBnD=d}WCw#c7Mi1{<|T2REb#CrRDAp*XuUVBMa?gVE}_ z8J2=M*>Gm*R&H2sXCml-Zw-o0|;p$5O=d976QpH3}cnQ9>+A6=TgRBYn+WTn1q z)e|u&D!s$%dh0Yz+Sbzj$3WIf6lhC*=-S-$dHK?CIIStD8K2e89ZFJT_^DxUqqCCO z9d4KeGOlhAIK*0WHYly+)5Yr-!9|w5B09VP22a9C4w!B`klaeFRHGt)>RmfU)K+a&lo!Y9fS?v z6#Yr|Oq2Lb=wWMNnc3&|xr$c25|k}KaxUfhAfL7{FoOneXWZZmqZ+cyZ`=o&hdtuy?h ze6HW^D|Y>U-Qm<5$GrSi-|8Wl*5d(rwsTuGR~V-mj};Q3snW}7D3aX?_-ZZsF=+he zyt;~XgIQ$PzCxcH%h%B?uh^B;@q2b2$kU}$)G}WgbfmTKuRmAb-IFfg;z3M5Fg_Hh zcmb2s6tjo60GzGRDbNc>(F0Af@^BVW!@;=xD8Yw~zdCEjDE54BhqR-UuZa5z5G2Mg zyy&(YM(${nIzcg{&H{wpnY?p~9unX9-xe274UT>cL{FH&C%RXU3o?za>!Rzs`X3pZ zk4Gb67mu4Nsp;r~s zbfR8?quP-*DaH^SrcuzH5EBjRo!U@Dcy6Zs0K=Hw99p z*I8g0(?W%9S@xVudA$$;bFrZ%1@^L)KVhkdmnXq=fat+@E3pXe+TxtugKaxlzWuPI zg!s?@|LYYGzc7iD_@Sg~8V_exM{nk>#$GJxFO38kGtnN)kX0yQmZDGDIP*m<(Q@Qa zzPi>u)5(XlY@6SU?zXXa9jwtnD+yS%c|g{6Ye*>U{4e(fw>3XA0|i!0)Zc!L~-Zr{RJi2QV=h22g;5p1u&qHMwSOl zdUPX4=*HOj4ZlC>gULDFd!5;Dyypnf;7JGFOxpz1mMd$Fwb#g%!KU-vz*!#7h( z;^j%*k9X+FD=XV*17Pq9HOuCf-@*CloWTskzj)L?V!TFET6%w!-K}d74g%SPll0QU zm-iYvKS-oe8WPNsPL zxXdM*#$#kuj7k2*=g%>H+9q+yree#Dz~rD~6zN{(bawXJpzv7!Xjp7c-zDhgkDW|O zhYc^3(ku}|Jnz2zbf`*QwqRM;Cf1}DWH6z@CVS~QGy4XLe~<3|XSaE-1f1?YzBb;A zXwH|cP)KX1N%HuiybXZl;Iw`aqTqOPD4#K!jrbkz+k+-{;1Rh;YhA;DzJAw)&!!p? zPp}69RpwCj1|`KpVi4I!wNRUGcUQ`z*mZX}s$HFuB9n;(dE^%K-L?*B7)sq=kM#SH zdiZ1hg}-mDj|=PZtgI}e`oZwC3~v3wIKI01Rqy3>ZnhGJD0Lm5hPm@VZXe95bQ!I; zuiKxDWB;D7Q?N94GbMFt5-SVaU3B}*q^EyKx<9Sl3}52p|5XQ-Zk`2E2F;k; z=!#pH_;vZTI{}$4a`>4^eK_C99BKNDZrZxb*9-oT18RK3z637HBA zn#1WAPE-Ir#cv1n6g?FtJ410*Uh6$TyNm8B_WSyxdZZew6Cm7d0|fvM)|Q~r7va6H zBJwO^Vq+CbM)2m0{;6!J$5zR%mERI^sWv!V+76HrGjmrWC4eT+ko{E8-Lr`v&7aoH z?wic&oxOoSLQZU(xWyq``05~Mf4_EV7Hbaz(SLcBG)5rmHc$1lMct-gjHv|_+LcgQ z_$6{LMvcBn(o~Ph&Z*@qZp1i^2hWCOa ztpj&9!C@Zm)ePHK2s?Ol2Tct>A#nhR^DD%fa-x(*3EE_Ejt`I;lMq`Qs@}_(CS14Q zfj%Mia3{FJVSEI{FXjpg3Y@9L-a|0t&icULpB({9T}G>;Vw4KBlZK zShWFr`!l`yt3mtyg3>c{kbsn!E#UIyC*9JA_Ne_;_xEu*evyH9M&%cO6bVRI&yi+a z*7bP_@;Y&PjVKA<^BNlZ8FZH}WoaT;E~TTlfTrTk63FXEg0|X`bWq(%StQZ2-4N1V+VK8fhF);Qop0eT*2VJ9Sj1qkxkV-#xChpdaOSnprOp8Z!YBmFZj9-x+#C=tXj>#++z#X)-kk2iw~H zr!sqM@`O(4>0vN6z!4okxZZMPAShpJ$l+C{q$gfCq++wd?I9! zhm1_k6aqxS$Kr!^Nc4j`-p7F{$uJ;;HHBEV&6D2!(DHa~QjYU^N^w%HV4-16hpErv zC8O#m0sGVJ{O>0>eTS+XWhI}inXxd2+pd7UPrQA)9m#ILW(J=-oR)PsK3*ndRC%LN zh?n=p1ol8(v*bLgA}C|hySCBwo7BMt6+d|o4M-CqD3tv{)r40;_&MqOp?AbRYGMv| z=2IPsjQCr%KxK9aG%E>$0NgIzDZ69FO12K!C2o~%KVBK66yM{yH-IBQX{ocd#W3xq^`+(%3CRx7%p+mOrFMrsan@c-~C#is||wWKLCJZ z%kHygey5L*W}ql?q5^K#RMuM;#~iGi-O&={`NHR|97%vQxzt**nWKD2UoMLWMW)eh zfwKM^By_|188p&oG9Vwo+Ua(2BbD|>0E|pN4s_LMO6e+JhenjAw}cp?WMDj)yAJBSIKAR+ETlD4Zq{_msO(WVHmqY@ z)+;R?bnr&KZ1kqNqWg>R>$h!tcm+ZtW5IWl3)EE^KlG*9z{qS>{4j`3`>v#dyQE&O zHHDleW}1up`kb7H@@b9aQuwhvEpAY4rgqx}`d|$&cK7OX8T{2}aejzWbgFAD3H+ca zzoL$8bcs!7e6q<}D3uZ=jVM8u_I(NJAIWw#ou7e8N6zGJciynysIP}td1j8t4ob+H zUhYSX%SKy7{RXf8PmJ&?%`j2+rRf#wt~EcUu{Ux$9~DxNZHqxPwgQYZF-EW_s7aKZDiZ zW7o7rfCV_#&WF6=jM5=RAivk8=r%6x<4`vd{1R;V{yk#(tHE~{x{biVy7Tk%o3}mA zF{v~a&$t>0JQiqOgBayc^WVwCwvXD5Dvg$>`uvc$Cw%G`PKA=~Udh#Q2W7A2Zkk5i z5|fPjfoEIE$bFW-$IX8VquVMW*v_=FWd0pae@OHxjkWQhewu5#y1Ll{(;`S?3%~)7 z7zavSQ_1Tz19od>6?4);bZ-29_BiZ>NoB-rlPadzkkE>>8$L3*Zefks*o5zqk`*B* z2_B{@Ir}2JGWBXZ))SqRi{%sS_txy!r!yt$hj#Q$P9CHRkx7*7CRx|Q2-_M0-_V=Q z|6WxCehJ`xrN!irl}G~i7&Pd6gTiP;bI!Rhe`nzH0q~~*Q6U6Q);FSV0g~)xt$i6L zvNwi9qD5Ntb=B2kSNP5HT-n-&N+YtS?GTCrcHW+zp5KxE{OYrV6?S@n>p|0sgO%5V z>LF2r@srF*_MQk(>SzO|f@NbH=92VsgN8-S%q(1D;rmE7mMG~-@ZNVx0+N*jZJnJu z_wS$Vz_cEd+-QKGT> zLn&w4K)UW#{oWNl1di8fzE;n!2Isrse>#hQvLeJg+ZIcL@$ZhkvcuK$ih|K!yGOHV zil~iI2MSa2s@Q^(dI<&(JuDE~I0|%tMwdZGLWg;U0T4_s_Pv4-k&%3^g2T~D&%`zM zyw4L?+D$-y9wE-OiF!mt!)yoIt~R)$brYq%E2V)bfvEGGxF(6OqJGIC0`LH~_c18e z*{4smwZnP2aF1vfzM8=Yx~sw{7Uv~~n^mpuR#wlK0oy%B&6pBzmA z=~3cMN1$$1mRjN5_3oX1$-A8QW_w-9b<1xpEZonA(^OQ_;++w`X&IgmvBLg4NJ z)eS9Dy5<5rEwhXj(EoY$#WY=%MvPzMom8$!t>Fix)tz`fdoz4tK4xb(()e-!lc|oqZ4qaTT|jUdf}9K zm|qbx7fX|AH$j<-^okwDy29S0XXHl2quVKsdLFNm9v3-&$*}0 zogGvYRdS8@lIHd7^N#;}a7HzAE6rC;$61qX<2FgaW&TMyYr0!r8pagc_H2dQBvqB4 z`z^0w2z)}RdNSvH4rj3S#-lRp`|^(}W^#5xo|U4jRgyF3dY58NCmW0bvDOKqoMoX~ zy(xCV?dwbbuF$Rmw#K(2c5%e<7E&`)sbz(%&+h(dgLpVd4~;(h=ik-&OL`W-0ipGf zp#soTj(=d(BH8P864&IjgRj##Jw+a-O1ymCI|pF*P=A{& zx1`(hhiLBP$IK=!=4}>GSmlxlsoS3p14v`nylbgb7+*dE*7HA>D4YvIQm^?|oa$$W zhq`@E>`7W|Y-}*Zt2Xr1{XqkpSS4lU7ZqbAo~D61zJu}sc52Z|ML6MWH|A}rsu^}3EPXE{?qpipZpH!0+pp)?0@k-X$I6cx);>T zxUxS0ZlgLGTg-V-KF2QfmYE6+GriI^tMbOJy6qn(+EE^7VY4o;uQ`_Ap!nxy;Ov)c zm#Pmq{K;0=H!vgEkKt#p53zYK3)f9Ycn)Q&C3?wf_kPDtdHnIrR5vICKv%#p0DltY zHF+=mX_;UVI;0b%sXF(r$&&>5Fl(&o7G-sIc7m8+Xc!Qim;>u*ZXiWGsy3bHjP0#b zVR80j<-Ml9J6T>7>u3o@!74%!8OTZ9h}1RzgCm9T_fq9<$fry$RqF2#x!A)?^Gy#w z7VVoAA@DzFE1hOLK1>MQ3S>R#?xdHM1*}n!!7;PQ?4>QR`VME`d-uR{)-s(@Wgh$0 z{A1g>KcblcIP(HX5IyYmCiG%x{+ib+lL@@%xWg!D{`2j(X+r`YRb-l$K2)}rMm(Jk zkqBC&F+`uAw#rT1%+0C@0sXflASJX1T)OacF;>n=1Z{BOrW#8|f;lK8k|fLB*r zyTPiO&2NvI(4m(-3=k>#p_+r;_0UT|%i{se3-=KSPK@-J%c~)nU&;Ewu?xc&G!9k%Hsh}Ij224xHlm>08oN4TaQG^IJ>wF0wl9JF9KoHq2QD(pVX#6T@cziDnoSAq@ zNy8{?E4R1z2%Y>i!d1rB=%K{`5PJ9yobIcUWj* zJb#t~Nq`jdn&7vR{VKba9){2mlDfdUw+92fBqYZUlyA#v)9l%-;V+{$vQB=v1Vj(>$wWbn5r&*d8HnnW5QLJINkqr zpJ>z}ep-K{v<34tLgj-!hVrfhJhZ~!;WF=YVg=h9H1Yj(kpd%3xkI9K68ztuQMZ04 zD(%+FAieByiJCwQx2#`XR+_wekjpe^TeBAb*4D(QZf@Gf$5bm7ccVTDE>LeWdLviH z%YU+Zy50;4WP`i@(+Acm{S(J2Hpx>~5br>5LXIL_UXi0Zl8H@F}!?B7wGdp?a z&-?thKo8*VB7`3TNnTn+RN1VAg0W5KYOOj-hNwh2Oq8n{HE|!eBnqTA0lE8DC5(@3 z3s9ne0PYWG5#@W=Clqidcs(%)Xgm`7Jy}z45%9R%F(W$X_qNFtm2XKvi&OGl;U&sn z@h`6)x3frm-;zZYrx(vTav!121q;g+@#|dkZ=yb>{()Agwug_8?^c4xd0W=2-vXBw zSbte4J2KH~y8O+@%IZ2C2(y{;% zO{u@(3vc8m06D9GJqn&}3ZhIjG`CwLaoI?hrYga*7ag_@`IXCvE9>7oUtTO7l`PX` z;@pt1m|wLcQK1iz6FST1@gkO-b_R=zk+KKXYRO*t_TSeutxZa#oP`%S1N!=|fsqb` z$3H$z_wUaC_&)REzpafQLW^&f#tpE7iq}Qpn<;aEjbX1%z!f4?cU_9UFzMqsT1XO&1!;2zgGt*7eD;lpT>^1s=3^|Fa%ANJynHq+MQBwZyPi^SIvFfo1Y)%R0>edxcUvAH>*M(!2(8f-ZzpqP^_B|uxiVE3Z( z%yRR04?o1aPy2mhP`Y^ETSMk!;MxDO76=C=G1~K2iTk-4ZyFDJpHI&jh6>z;HgS!Z zccoKyaKa(r!HmA(PG-Ee(dgIWY^nZVKkz!Jp1c!(O+#FonGl6xW#K``;#f_Y8tC<$ znUmW=e3kfEXV}0$=?gLv!xB^J7B5)`E{5xWJMw?|5fVXec)RJPx>L-};osbrhCHO{ zDa9wK_lgLC$hHD7(sha{qMi39xDEj9KB}9DSgQN&M#sj)JTsFb#h=UZ{{>g}KO5VY zP9iYr{9JYD=QoQ`FdoxOgMlnW)NbeszaU@bc}cftY4FNC+MO9qv>l5yB0^hR`yo{J z5Y34%7F?}h|BGk%PpI_!bM)ww6`eyvSSf$L)`03pCOu>G*;yt)`H}*H3?h{Po9}rb zopRYzX2KHY6t)9~UyTsavYdN~r`$NpghqxeZ{(|#s8#NTByX{?O} zEdplI_Ac5H)H3*2DlU}_C-9tU00{4B_0tSMZdNfucV&*HAe46>-J21L zT^%0gX+m9(k!z;;^)Y%*y}< zzkUDjSB2(&nx))Og32!lXaCr^C`)2F`{*UfUoYZMAuvfmGb0BH^zD4I;s3`6KLk}) zpE$X8P;mXFx&UmhKWzq#Kcz6r{VP1q4(;x}>C2x?uy--O}B$=?(l3=jeIQ zd;a(Hx?peSnVB`QX3f3swI0J&mE|!|Nl{^7U@%@hf2Ixt0|x=VLqJH6zhx>VfDZ&q zDJ3Zwn2H!Qs0kwQH?`SwbtM=WF9sNx_rWkQH$c(*Z5S9=E*O|yV;C6WL>L$%htvi& zQQ!fJ!*e}n7#K9X#~)aj8)mY6t`0AB#C@ssF0t@>YyiS4ovx#@@-Cnva8*gNs%im71Db z)ai|du=+E(-_3!)#AvNtTpWZsIo;jeIox?T?42w*xrKy;IJus3K7Gm#)L?h^eCJ}~ z!T!#f?(a_i^z+Qz+04n>!NuDC9ra_sCZ_hTE@HH_kAnXB_j{an4*v*x=lpwC!2CEp zOdL45Ik-6guSRdo{%Paj>SX)Z(B7DFn%kP&nZI*!2HJ7|pY2?%E&gX(|4Z8AlmDkU za}VqPN3+K#e>eMU5&xc>D6kX4O7?H8Ej*u@xR{GS<>D4#=X%P{#iz-|CCv3ySdd$k z^FMX|XJ!6sE#qWv;$rWlX>V^Uu4?UJZfp1WCp8ZT|KBbD{rq1|--yNe);nt#@u$C={HOR|tsWOz_^*}FeP#`8@?Vmk@^Ep9a{ix> z{@YZ>-qzkp!@u5F9zOOf|$o1_vTwa%5j&04fuA$$GZixyznrm;Y7#SV<6$ zW<*}X#`IQSO=3E&-n}YvOSJ>Ox=>OC4-(p@82Vid}IBX*B{im`d2%?7c&nSM6 ziT)!{{8I2N@cehdKOYD;O#c+0V*O|S(wQ+&|0|Bo z1mRspuqW2P*3TFTq{tAv@qKaMLO}meh!R*AV@DcbysLdN%M5=y&{aji-YkOi`PGPM z{*?An)CM*vHf3Y^L)f438*j)`6D)8x9e1pLi{xEdlM6sG}Yx@TZyJv~rVO4`_3G zoGLl_$)CocVjmFo0NwXB@w231{1|`eT4c%+bQ8={RIF~>a=i(*&QIH1!d@?Hy}wx< z_P?(}J0F|7?YTk&hL0$-Y{B1Q=(o`ySb>X!{Es%YHQ_uDxsLJOHv_xP+vzN<64o*u zy5x)0Ck?tz8*H&r_#C%`e;vBju6E(6u+_|%My{H3dh0pP&o55hS#fdMFVeLDD{{s9 zsK77&*+6Y|geL=!>rsZb%jvU%7AdlL5?z{>K<$4!zqB}7zYV=6^Jdaa>txA0t)`0~W$eVD{fZt+@WDIx#*qom^kNKUZD|B)nO0m2uO~u( zucz^boMZ>|$p7JX^|%wueFeIB*-|^-v*fWBl@@8p{Zp^TR_~;f>b`u&eaSbn`Es%G zs>dJNgT1SBXi89EB#B_EwhtFbrS{KSp2@+K2=gDc-W}MZ4Oom+m(_13gMUmL>aT;& ztPUSe6I$_gjC@@yre3`zK&JFCKDnrEZ8mm*F+f6FdDOxdP;jVUi-)}JpYzlfNJBjc zE%U$ITUE06(s10)3M0I1KJtw$DsAWsfh-&_ZD1*hCEroqZObbk#h3Y*JEqJpbk|nL z{TvFU=cmO)(56L@&NK>tD)NQ&@2x36rKL8x-;#LnJV~Bz$`f1(#)|-k*jTq3!I}pr zD=qfQbKB?Pc8N1u{ASy9E6trl$xZru2I?FL9|V!3R($6rLkRni^5llR55CTTt}}w) zH6HNmCNiG0Mavq8@o)0imHBM2wtSzWTIB>*doxsW--$=yJKeW`4$nP^+9O-m5_T_I zghDrMT3N1`N2 ziDmFjYU@jaNBe0rgt+u)10<2)_Me>%KR}23uO|YhHViR6K2Z;2 z3Ur0_+WOV3WR1!wbP+pH-{db}Bgc@t7m%vmlTAs~(Aq8|GpJZ%dAQxBvq5 zfSDR6#Qu@z%708DHNulF+6hCKQCTn@)%Ej->N%Stf_;xp?`yS0>(Y^Hf8g8^2rM|r zR7@Ls6X@GFyE-<`|0?~=A89qady45^C!_5$vzg&{vjYwxGMw4TfIR>304Tbu=o-l| zQ~Gf*qlcjH-V0K32DONwn}II_Hk{~+J=M}`R*d>YCX zk)DJ4t#oja;W-Nx$l;Vt+GBT_>@01sthL2s*n3ff955w2TZWezw+mYl?6nJHojD#5 zTHJK_0NKa8C{oZl@W&r9#^?yxrjDS&0@WD1I~D(%akUVy>W8kNoxwqSzq5^*1TNnq z{a=N37jthT!^HMYz`}dQ8c<-TRI(-gPryV(35H(Qj)0_|LHm(Iosb7!TyTIy&FDJ5 zJ`y@$ao$zTTBO8RA=&Y&dpS~p*bpDj32HsQ=s)))JYW~S6m|H2xoG5jlzIOux_ZG2}YF6cnXl(YBtHy;54vvXE{dq0;7=8(*r9;nQZ^DOjqlb-*R=^4okl9tM{geTn zD}4vw=Ki&m>^*@?|IASV;fXO_Z@T~eb!kQDL~-y92mg^L&%MU!nZDVzsdmo-BV!m6x~~1q*GZt})9bTIMGc48C|TmuU31QI0mCt#7Bba9-+uZeXtatl^u`*o$Pn*WMfPI)wA~t-dDqG-g{*5G92AvhPydQzw^dIN*Q79{OO(l;i1Kdga(~ zn4ijAbEIE7^UI6mzb6cO?fT0$P*wKUV1}(liBP=M?oKjx1q;gDXo$ zDunYix4D8k=xKi>V6LyhCHB5=shDuE{AL=?1X{0^9A`|z2pH2nOdVsq7do*fZh%gY zL@<1>`;9m#?~lVosQQ*XQ7^0;wn*$bhE_PS0!Bu*R`6T?G2V!>k{y(rT#Ok$P8FS) zB5Xaw0mziwjMbHwUeksL2+uyrybn4IlYp+6w%%Q^YEOhz^uxxB_NE)?KFO$j*Pi5x z44t{D>i>1Sc*#-PcqlC46M0MZaMeS({^cbHGyjZn(9^op1|RNIj2(JRKLrtZtl4us z1JEU1khqx>V5Nzdsj2>0X>?ghILeM`?jCZD^6zKBz35x9TUgXUArQuh;c8X%a2zIq zPG2<^YPmN|_O7n|$B7p9Um#`E@OFicEjFS5-JGZ8-T5@<;`NX_;nkWkN9nrfE{Nw@ z?^o>M_t^9PNOVnRUqh29{BXC!x?)esFVAEEKznT>rlqJ^ORdZgdU5t%Ph~8;NDAnr;2Y*HSfEkp}<(RZe^_*}c(CW)IPWpL#*Pko{TygC#7d4hm$1FG_j$JliH27>=bY;c>s#$DiN)VAjg12VyHXHjy;oA})}1q&%Nfq^ z=eRM6{SLc&G?{0dcAR|Mu4dLE_qe%-(wnW86>~`=DX^U+*+bF4eA2T0(BA)6pJ*Lt z24~gYy>b2;3y;+g1o4TL#2Vxe`>8xh{(bO4+V`bn!RaTzwREa;+gFVBiq(0!JWpN& z$O54`wA{wHp2fL7FS(YxnUH%CK_|8X3Lcm!W|kB!H09Z|D(;dtEFxZd&!fM!0ez#lVV$k!jpb`k=*_% zV>Ja4)0I~yvrNXk&wWx+Q_8e2QtfH{N2+;q1Lr|Lu!`R)oolelHdB3v%bs_>>3k@_I^vpv(UGhr4Tk@0qc+7G*OavE; zXAX+E?-ol|%g_;ESO!<$!()EGtyXWI_cW z_Pul_73_-UC!KEY2=nQHmz>SjbyusAkyXRujaLAYo+c(6H=GfFD*fiocN{8M&3b$l zuM*t2rQKT(%)f6H-DJ>6shRl6p3%cxNRZW5f`$0SMzRmX@b!!NNV(J`ZwqVeRsX9_ zsvA87bQo32ZzdK2yr&4KAN=gh^KO)!K3;8zoZtymqQ7fCpJMILhO%G0D^%*N# zbf!NTQ0DS5%|oBXgti`sa2|UKJsRY)8NkUwvryw-^jL#ip=?P0r8{(nPT3LaNB!Me z?(+)yspF_D5kbcFfMtY=&njNOnq}O86d`E;&C?J#JW^DYadS}(lmF$}QzMiYS>iwz zy^jtU1orh~zFO{aaP0C0j# zv#lD;5~}m;wZBKvi>6An&J3!@gXgSQ;-AeiZtf)1w_OP;V#zxd*yQ7dXncFIxy);` z*;PBPEK)LKnc=Gtp-GG?hG~*kz>S%%Ix}WAsPrnyM*OyjTv*9u&G*k$iw$DKKzJhh z2k>q8$so8ZI$}@IM}8vxi6rENaDS3;qjZ#&YgvrpkeKw^byCZ(b>bnerp5)Nf}}hU zcw8imB+sTT-)jlewbKd}luBfOF7%8ITuJKpaSMApUs5ewE3mQ|KM)GI0ov-X9sxmU z6b@OoB|+$zO!pwK$x{8e7*CMD4!JwYD+BtZ4IwET-vGA3Co3xclUKjc?IS z2&Kq|4|I*{Qib&ky%ZIBVO`E}K_-?V=yHABv4=v3tIDwhh4CuDqyU;2O10*9Bj(lp z!53vr)xtsSa=|&$67Y_5xrV>T|{@$_r$l_$(o zYa1-FU(?vz-pdRo>7RGi`d-_YS9LlgiftPLMj&Jg43 zkxJwiHx<+86c}i~-FTc*{JKP9K@@`(csmi!37QC1-OJhTGb}aDs z$MNr{`Lx=($yj%`FA9qp)~TsL%t>UmECYg&z$hfv#L#t<@17N}*fJ+u;C9Z<+l9!rjDtv#n7WrtTz;kcQ z4!j56&M{Mj4~7+O>W)R!C0^NV3by=)tS&Uz(waoltc&iv^@0elH7~nNBw7JXaYHzR z<W9n73b2QkNMzj}Rmkg86$sg#*U_qdJ=EsYah+>WUo&NfS`S(?cL)}Ig z;$Gix;6t`9+ooL3Wrl#g$i1UP;RI5K%UZ{JgR{sDv%K5~xOn1z%XoPdP-1jiWX+J{ zU1w6F%U+^7PT!rEezF#Io5^pXeundBd-0(l(nc|1AfToutfQQ0!-2w+}Is{RD`APIUhU5$A6N3 zi9JtNdqTieB5K^`otxm1yR&7Wll_UH!V{O>Dn^z^;P9B}Q9;{GW#99kRQ7W?x1-PN z53qEU2!ckIMjuS*hTAYU$n}k~pLHo4_6e$gl5s9f!Z+l^WlNvo%ZF& z5!CjkyDJ?MXxxy6(MJFR&QfXo1%RB(PIMP9ayYNTW_jJ|80j*qke3qMMddrm z-FlZCcjD`QU#r882~7UtPjo>DR<{6UNJWH9;i7u7qvGG73)qgWQf7k>E}US&oz^Gn zG^u+@tiA$p7P}A%8c4%lDXWCoDo%`p6lqfS5Dv0Qk+Ng{(kJr~V>(_u3F)UtOghOc z@|~PQut|~-p_j-lkmxIXdA`HaE#BAX>01zF|K*UjGOFPm_(|Hz)sSY=1~m~dc-M4FXno7wAHAMufDCf5D-;n@rjROeES z8I>sAW=z13&W!?t8-=wK$jYt*&NubuX>g50Ck}Qx1Pof8>`d5BIC3rXu4j(I4}Co= zgw$#^Wpp!^K-hXSSr)Mcm|EqaBQ1GRB~SfJN#+RY^9BilEEu%-v!FTQIJ5(`HF)I{?V$Lu z#te=2ND*_m-vadI5jXNjRg73)|H z>k<-I-D=vzE~-Q7`A0jAp1*2yn-9pKYU!V|b>Z9g2?Or|epsOA@@B6rBSm#sGU_Je zwjIk)wS1%gjiSHMs*%+;tFiisR^}rRyPDQhc2sAY9ATlf6ZtVLev1#-`1qLJ-Mq!I z2yLCZy~W(02RG?AQMbSGLWm5^N~GWNfxUkt8XXmM8QFkX-g{VpH)h9{BQ?+W8O2s2 z$8je+&vv6urH=YYEII${@uE?TJ&yOB5GDcErbc}LtR^ZVw z)-zT^WQjtqEXOT*vj~y(PcpARwI2B%H7-P0@eQ${H+W_K#m@t?)y=|DedsckRdl#m za2{@b$+?wFO|Bh6c}|3DJn>LYe+HndO_#4psxcRdok7}f@~e5yqNlV24|uH^RJh#Z z9foMf09vi`EB;Kn+nn_~bvi7oPZdcze(foz3Vy3|SgRrR$j`ev#ChS%-3kY54LM#bupLzdzBIy`8YZb32j}i%3+GWVZ;qSZHYz<0USSD zN64tWx2m1*2WzGkJxEBB?ZFmCQ1yd-)b8*Q^FFs@hEY!B%*=4Y+-ch7iw&r8Q`38ow z;MeG|DGP1HY4jnohS!e1v-Zf1w>&}GH*b${s?ui_!?(RbRc6USbbr)z94JbckL|4t&aPKS+@~A5CO8 zF+JOsmzay?(EAo4lWf0J%kWT;QAZUj8F7a!ejKoh$m!8O;Qn#!kYD7m&g_xHzxf(p zHLwS)@Kf4My;SLqE{14Cjv0pN173`?@-VT1AGBn)KPI*Zo#-DDSMq0J@$iqZN0njFH7TKXE zApdZ$d{qQf!oY8@qo;8P=acGi;5t#uaR@kH{JbDgwr^6VDKBQlp5~{K8_3i$Eyib^ z?%hfIwBF|RX@Al~cfW!=b@P#s?!W`^h)X%vPRb%APdyP!$`895NAUO7K0(-y*)F?!QNHB= zaOZ2Xgdd_35;%wsGoT)E+#!1HYHpHlyn` z4(O!Q1x2RxK>A^7=&b%Y&dZ?hF$)fz7?Ii0!iA!o8;^kKRweiwKaF?@u5)lg(>i^N zEJLJ&qgjer&dKDjm!EYm*%wRdK+nskNDx3vpi48sKKxC-Z-X46b)NBnE5i=oYa9)` zS!Y2W2J>;lDrbVYQV@hYtElI^pKT(x_Uc5SR#9=KLWwnVHTL?f^cQawJuR;)AHxrVmPzTEzoH~rbl)BznX5LAx@c@)1i%q_ ziR_mLak3p@a;L|{8)oX{wm`;S-gPxs5bcauW&QBD8P7N*^{V7x*IY98~H5c({JrSn|;wl%)e zEt0+F*!9UolxKbXtIP!BJAk^L4e^xJ*`(dZ8U)Q!N+-ym>mnd1nF}6u{uAJR2@883 zSya~2kWo3?#dG&s=K-Z;8!ZL<<7JiP`=>AJJ6rCGtALu2H$!85fQD@x?(Nikiyz}+z(2X-PO9E(|?x8jBX)jBQdw58HnMe%`cuYAF=+B zEE~9cbfFW=Nj*RQvU`?L!s4jnyQ^`x3`g29@?7xA$!|kb{tPEGrs#IGwctVj+Y7WB z9aRM0ktd$Ol~|FF3!4gFFpJY07^tqh_#EStlae;*?c1xsI>PE~-*nQw`sjySavb%( z&%zW|Y%Z@F1XNCcZU`%vY~`OI=wCPO4bA)4p|@a8KQ*7z*bK-mkOm;&H!0wscpCGA zeq{4?2nImFE3kA1mQ28jjoblFEgNtdVbyKEP7*;MYYny7;KiK3p48SqDC1m{__I2H zUo=wKk5PwTe)r1m4H}M}7y{^YWvQ^);rGwe6qZ;Oa6XddZn7VmRHc618rt zR`go31*F3ZRAb`~9_odGFCe;}R_0YpN6D!mg8{DYK!_nXKH z_vLy<8k!TUd|GFIB#uO9wf>pL{g>;s>A{a_%Aj>kUhO_RRz91XA@>#yC=k65vu||; zh&M${2MG6s(EE>z+V_PMb zcBUj&?59eM3enGTo_lSDr8AhZ>+HP^K6iaiLQ++HA!|jMOx+$(DvCQB9~Og{=9IwdsL zh4V4eJu06vE}Ml~M#GhXHR8=9egFe08<;&?)2;WeM9OJP%v^71!{nu6%=~{4yFI73 zj?l$G3t`BODZtXVucUl0cCH6$pZLN;200$i(wX_BM!b?F+e99kDb4iY^={Q{+j})2 zgBL@_x+X-YVcjy_k#%U-b&*(eZP=4AM#wJ(`k}k&v>`mkv&m@N=?8JYC+4A99L{KO zxILRhgfmV51+nOdZsNKR+Ej}879X!HrOc-8R@giUm42ezq>TBr*N`W{%5EL`U5ZQ_ z(!t<`Qe6kq-9gJqdIo~e18>4P?m@BQXYaC7`|!1ikG!TEQ=vh-G?*%R$0foDRyZ%>A)j{%O$wIy8g$d!U` zjIzsWJ1KpFxo6xo$3<1U3<$UlV>OTeK-LdxVrhY(N+B)pzbw9#p*8s$jrqli$*aL_ zG+v@qkZYQgeaR|`Y2Mm%I`j4YcwHvr-1w%Mg8jbtNvJwp2wG27tl`QCe#V%zUh|&8 z(XImOs0}L5rZ-rY!onnVZd14g%zDzvc^+{CECe#XAjC2brCwMZg5ih%^;E^X!16k2 zlZBKizAY!53Owu{OcP8OlE$#87n+q}T!m(vyFw&h^iKO)KZ@~^gfb+*kKP1l>L-z( zj)AMzNjBBp_bVV)qwfQ7nocCN3HR_+SCR=7ls6+`4g2K)?!GZmig-(Nt34(;2kr;l zQ!N$3DLh-|(#nLCDPjNWTJ-l07jYXEJmzJM&@N@BDsDeCeC)ea^F0Vx@9r_ zW#pJtT z8W;;%^j+ObsB?egf=hv+bHg?rMQceSQPmEbJuc9)y!e`+>Q2(IPaaw=5G+jZr)<(mTJ}p< zKA}DHUB$~d{=O6D(9uhk2hGNi3-#*LNLTOk(fC5jm`HDv>p0cs`AP|tSFKXqraZ9U zb>vBTqhDj2H0}Z5Ree*Nkk+P*&Cui3lw!GbCtcO3BKhze?4x--;1iXgeQ^BArV z4?8X9#8=4QHBg40on}9E2jUiSKa=^>P%Xp03c8uqu*)2mw_mSk24N}G)$`OE1uAS^AMZaWK+666s(V3g%Z?Sg1 zZu#XlucX-P#2Vz#>0cy)%n&7tTz?U~JOIjTJ+lb@Y1a zI8;D!z$SBDXrstSXpIbf4J4|8J%hG5>Y0>e#+&{R4}c^t{Nn!5Te`@FR|>^6jTr-CyU79!AboR!C@^zp=l%>co<0k}L{ZqlV|T zS5)G;u^=rN!f6@OGjXqiQH19TY0ElULsnAOSPPr7ex&Gsy+4KzRpPx)UwgLZy>x5n z2xJL*33JiF^kh|!vTTPHMDZ!pzclW{C!upABfgG_;q)4fd|mavAZ~!eLn4mIYCimOh?T?*Yowu_CIJ5QP{J|6-pg+!0J1Hbn3IGZb=okZth z%r1H1LY!~{cESYFmASJL)vptY0+xuQD|@lLUNcWaA%>1^Xs#*z@P2|Q`uQenJ;HOQ z{p_`DBbiwto#Foey6+`UgIq=^sXUeg(mBRn=ud=FEhYZQyfd?iON#K!l{F`;Yk}l) z3faWjDQ+cuFc>L1oX$>KO5Dz-i|6{1&S+33mV}7UZ@L~V!^%M@AbO7}p9%AAS>c!D z_v(HeKpLyEB3GFy5&=6SH2YWXodKKjPL_YQRBM%NBkYo^U_cRu>H^gD_MrAs&lFCr z#&Ty_qY0OY)P;8|?-MJg&^op~8oor;L^YXJA@`^a3#*-Itg@ol^&OD+=rRT&tM*K+ zF?FhYxWBzU0@Bt#ye;2Z+R_p*dG6s5KOmnpO(NA{mdwh_oIl{PoLJvJ$m2_Caz0F~ z&z#EVlCJ#LmJ!RdSH?$Ei$aZ*|K2aTX!gNVmq#Zl(KorrJV}J0CK#`EA$!*6&^bf@ zwEF|;K|C)kbE`JWOaGnQ(pwurUQzz#B(_HDDHDKC%}89?* zCd9)-P22O#mGRLC10n`*w}SZT!F67oes)}Z6;cPre&8S!^H@fS>z|ahyo-}{MZ>#Q z(Soa>tssd}RwGCg&c(o!`I^BY6&;Bp60|F`Ja|T7v23bejmK9VL@mqKSy6fKv??Ze zNZ%bEWcG!Zz&rX`BoSV{+)25fK(MP$5@PS6yCXNpD^^N0IwXT?-}>iT&aqa-T2t_^ z_(_w1bD=kpBzMe|JQ&G|C>i-eweQw*QbfBm4#Xt5!QuJR8|HE^cAxY!S>!svC0koQ zl}h>?>uBJ&ElH1%tC27cgO;&6xxdb6F|DgDj&f=M{dngKpm=uAa^KftdWCG`Icg?J z_tSA@q#YHW!&&n1N}kWjGS7x3S{0K|vwgTbqPSG$rDVpZ)Yfy%*dz+c67^T|l>A?P z9W)&89|7Xg8`BjcpIWR14isj?lYafk*YI4$61xw^8lSiKStAqkO^!I|pDw=RNemR2 z6j{xVsvs%Ch0o1LMhI#`bq{VEd4A_d z8rXOyKkQqI`nIZONXB(!s_P}ovw5}x`2wG9JpakEtBP~Fp_tu()1q)H6M^c%f>WM# zJ!@`u2!bqDQ<2@iLXQYQMyopzq|r1@goKn@#kyCUJzUs7Z2ELiq2llMNVBLCw-uuA zmv>@1ley&2Jl`~vG^+y9iz|61o>3;Lpk@I%0fSYHQB@qRng>*;;X059sw*&CrtjG;@6tIP;ru*vq>P6LocOB|MpqlPxai`B#IMD z3xtj4MLq+t#`vXPle~8fHdvT;dwfI1#RQ+I58ERPeaafANH8Z5^DP;Q`EPYR~ zsMyTlrqEzEHdz`@g#tnUKtjCsOa-##tF>6(9U+>NAy9?Ws*|3lW6=q*MN>&L7$r`* zj600CpnG`C(f*jyPI{eEx`H#bYEP*Heqqo8+@4#|W+2?39+_uoj+`{C7%KKIzJhzK z)Nf$m4Woq{&v?_JhMP8OfMJNlfrADHxSFIGju z;26huzPmq`|H+`1Z7}R6W|vHmfSf1(uuLf~NLlQB;>&^cc&UqmjPzEzNGAE0(A4r| zwJM6QNE`MM7?CUC-W3DVyepr+y7r~bz7|=k;nAu8z^TJnxy@G;o>i#>(8=5Pd4hYTOm1(GoPo?4&xYa+~`J>GDz$z%mrgG(F z6HW0q3PLROv7JVBjaCLjg1o&(sFJtRPEnfB@_Tp&i?yQ{8m|g>$Zv`(6FCMbt777% zKZLZ(4DyBL@nN)<7abMH4Rj`9uZ-%h?dcSGv@qFQEg|`n` zr(F781rm)Z`OSPxqjF<}&TZ>%?VsaIuo4Z4_vCSDS9 z8k(bJuQ<}A_0fCYUQlVT6An40&@At|(l z)z!60yH(>sclibBC!jJSZW|5@>kazEUj*6I)M0&Uqnw9g;CO z;bvKUn0gUclZQ9`%nxG?9|w>PtrrzvxTP9@y&{;i&IyhBUSlUMVz(W2ipM^0ViGtg zqU^W{^A<4+;s)gQ#EV*2+as3yOn3A6SdoJK8NMspN|-KvJu#!YodKd<$5hE~HRaxb zYoa@5j`aSj823s9j6pHK*0Nrv($FXQGit1l_lGTRW8Rx_-Yu&P;t(@sFz8jDDsxn- zw@Im5-2wJ$0rta-FJ4QiGI=k+FNL*=++C+rA=4pyw?oRR9OHa;$??jB>Ua_s`a6mm zWGXwFjw!8KOEdQb@}&7n`5ixB=M1wwqpC{fEr}!Q6O+!?>x_7JTa^B(iQ_Ej3(w>WL$tSgAbWUiOXq!F z#gvP9X&HNxt@+>zUtBu18XMAn0Mx6KcfASubyRYE!7w}(ViCByQf%Ukf$G9$_SSlb zjLzn*t-|2PS@nj{_jpF5_et1S?kgq71T~k9Z!;x;SEjD`9j%Bm&7>3T>t!>~_EkS- z&0pt!jwQU3BXeK>EZ@K%eX!X;Zt|S*6&x3>ffZ|3*u{Gi+-(QxQr_rqC|_;ELpPr% z?&yzeCF*xB8`?^0mZ#CblFH3uww?FxP;kz)I~>u?{Jgsw<@4n3N+7H4{#^kSm>Kjn8BjcOdjJrJf`r}1UNFWlf{m^_-f0deMzvKC z?2JHNr3LN+N{-D_Ud$J@E-%ZIzp~^#oj^PZSenQ^+L`~XW~H%>R}`J3H)nkVKx8Le z^N4$9cKw0H0F0+W+d9@-87pY5wx0`T?a9~(ybpdP45M#*^DXcWe*=G=u~ixzP*H9i zBS;vtL1nz`Gf~$STE41bN~QM;an*(uv-_BC%c)IOkR-XT1Mh##M^R!^B(vJ*dKy_X zh2XFi_L-|m57v5JWocx_#$^y4_M+|8a>6ML<__nbh4eS7;;yNe%nVcCzb*!wU7JRb zjdIbH)$(JqKWQ>C>;GmZw@5zIzMaUvRvdJC`SD7xEw~1MoA$-aVSBRcZks;4o12*zy z8_#unha*>fcPWaIZ>`iW)5S`mQO>WSa0ki>IsTK(%dx1#>736qnbhDS((G8!Ym;ye79CbW_VaRX@dAMSEC}n)7}Wk zA`J_dZ)JNw6@^aUw&-lgHgF03I+se+E&~xMFiIrt>4ra$`DJ>lStksg7napi(2|P zc^o6-M>Dl}8Nt`7btH~OpT1dt){ei5-{g(1@rFj)mljY@CTMGjGE*R7SbH^+!sX&A z;giCXzw)!nlkk}4jEZreqr@AO)A7-Q(l5+Nrx&<$1zKLUaXYNGF|4YY=|)xE6g%~E zD4=UPjJzC|*ld>P+Q=AtVJHS&!Mb$WM78N4Ey0QAWxsIAqxw|QJp`G&D_Xk$5@cW^Z+ zIu$cb^Z+-HBSv5z>^5o7u~gWkV=eWKJg*U(h#~%X$Ul zry3vC+~5jN=g*6shR|Vs@?EnyC`bj*?AW48xI(6#hwt{-U?CbLQl77R@Q%JSst2D8 zxMiY4cl-;Zug2U$EbqoHtp#~XScWST8CtbsUW{w$7;NN(_>!tDd7CgM#fbCETTca> z-K5v(Tgx(sY54eFr^Y`JXlD|Qe3S-gMfb8)0}P{NPlHRAPat+&UT3r%=qPNJyO?9a znO&q#yzY(%@S3kSst2fECeZ$gys}2-|*VhKT z_g7fZ_r6>XB%p5*!@_vaqSCPpxM2-vQsP^QS=3K#ECTR?9KUHrnQ@Dvk=beeeE%`D zyyW$nQWZ9Di&cO_Bwv~Wov2MYlgW#X8s_GwQRQjMNJl;BRK}X%7hyMTL30HhEnQ{M zf<;G|FJnclJCv)>!Zbec)hUHwov6DL424m8ZEyRy=DrZToMljllVCJgIQa%~2kTrK zh#F(k`@Ct%+-hK@iRK^v^M3)Lk!cZfz3d9tHV~~pdM2Z<^%O1hqA)t9)ZL=>V8>L> zmy)q0Z%4DmVY1~=J@31r=5>O4XbB6+o0VsbxoO^=HzH5r$(2cylor5IE9-4Bs2k+L5OQQr zdt@>hYwAYEnWdg6X?T_0usVOn@>zx#QZv6Tm@=3b9=WDnOic=k(>a{<%-vK`aOc;+ zpp=!Drp|L0lC~Cxk^9~qt}UaP-K?#bgSj=gIX3iMrtEGp?3k4@Gt2E6>4D9?R>q`# z6ObK9o|E_-mOuhs)9|uk+S4-@E{D|r(AmaR2=ehm+;pn<2F6=h7wj*mWzqil1nOP0 zNVt?CR_k3l=dqzm$QykkKWMZJA?X2e>$uQzd0!iCc;5{@zC-b0W(o}9?V?*q(Q!V1 zMkO1G1<1c6Mn))Pl=x|eRe`NDM>{d}qFr?Q2$gvxKSUa>G>)V%l}3jpdcXCSgIps^ zMx=FAj?A-NV`~%p`Ux)H-`8PlTU!j9zd|8xtPQqj_7+;ToK2svRh@WnN>=@Y0 z7oC$u3Z<<^@loUioW}7;YKisKXw1ovlCrYKBac*32&cBb&QiH-ap{|3ZW2s>SHP`}ws69tJiaP~8i#Iwj;(kmn-$}S9#w#V$Ti?TneCL4B? za^>F*Wn!8ZrB?Kn{mvTM`sYO}{iDmD233#NVpk8-q$6xO`F1yx!o8Dr-u1iFrPT0y zrga@Fq%zvE39qY(c-Bq{rrF}M|4AgYGnO%|a>^3{;XSn-eD=tP z+X*U%O9ajO^v=Whfdg4PcPQ=eaI3QK+(|^Cjk-9y^85Aiz(4izUc{qaZ!tM%z+FcI zpuu^ViA`f_+UEPZ+RdBG*Kcav5>PzCXnl)v_kO#;uWmnapJQsKXyg%MY8(Bdy#_Mt z$Hk;H*!^uZ0iU>ZgL++YA7NQF_Rz!1+jpk)I@2`_QyJIg+?Qkz7^F59TIWPwoVM~| zsH?%Y)valGmy+S6@3SB*rCApKk~A;^r090Yao9Nd(x}En4*=YA z_2Xzx9SJEvj`jq5zMzwH2q$vGT-AgL<+itZu zBlcH?f(e)9Hla-Hi@-?c?PbzuodawEvuAlX&`Y-!CrEnlm@DowR&P_z8$3`hfU+&S zBrDIf?usYQ$rqrqmOQiFSxd+J`>1~McZJI6iwZv?nXR0xmQ9%wW-;&ug#GVNvroLs zfGNVW^dDnmp_SjBci>b5I&Pn)pu&_hQ-v+hoZvwy=gN`)HgwJ7_kOA)&RmBU)69QO z;YDYBm5Kg&7P?|*2y*YdPR;Knw<6KJjvF=g7QobS0GfB*Cqc&FL*zMRhZXg4YlKRi zzWj{6SEcJ=eQD4_$BO&Yyk;Upl=+jiSfMX?S~Te6(|ZP{XH6)VwC}^pA^lX&URzIyQM4G!6up4=!*Lo+qgXgRKJogUQB2ig=75l6#gD3_x+RfSvKZD z+jjKX7jUiHjCfd>F{|9Ozj{m9G0^WGrb&}6o?1a+;i8!n7JS@>EjeBXO`lyvT00@a zp`;ki7oN(3{&t5#=1VXU2%mRDR$I3pPGeS{KUr3zD`1V3oPH*b&v(M@rBu$}husv` z8Uk(~Bqq~&s#Tnui2KqNZQz^8?`lRlJ94CEYPX;VEW%|?Xw8eHz*pkE776l^P}7C$ zzR*N&P^-r6-lg`v>_lR4^$e=E^~X3kVvlDqGB;f~N+P6x11#xeUrE)ou)#Hov6VhF z=oIa^U&!?RX1k~7Mm-Z_qjoDH`{VALp!?Fc1ogh;nU!GjQ~E=Qh}znN#rKCw*XPnN zXma<1$1o->Y&_ps__F=}#4^OQIa9mkJyw>gtzFORLrqqos}a_2$}zCUgu7ZJ?oW< z>v1(oQ#=caaMy3BmF%8FBQ}&X5 zBjK@kvYgyPzZ>~R7Kcl_Qcdl2F)nZq&MDvN(9kCu-CCPR4pUdTuvWWALk%8qLmQhj zI@!&Lzw(Q}XWZ|Nzok?Sy+aveI#z~f^XEy|C{Lntd_T&ve%Nf~%l&=u39#g_^6ddN zN~V3|>Rn{ACVXo#=U5T)8q@=?#z|n=Pzuo4VUH1yb7%EQtmi@1#`nwh!LrUM4~yGh=%fL-iCz zk^fdD-YS@fYS>KZftb9woHXOJGnVcj!|g92oW;hQ?ulHej!_+4dz`p?C;ts}I~HkQ zlR~D3D#07Sjne4A7VU~J1FN$ANR4zC!zR<32u?jy@{zx`}=)9@V zdK>*Oze-O>ZIs!0Cx2cnr2HqOl0QpaZSCbrhx5K`;rLJdkAV)e<*J$lpFp$z)o)ccR${yFq8K{%}{jLV%r@8uK`qjGcRMsy*>WHV-lL?ZtQ5LrZ!<3q7czLrmw$^m<`wC%RZ?R zPZMvCefY*Bq--ZU6V{3FY%`)6{!A0kPX(cD4mslH{Ar4 z$^~{?*p|h)WbeO!X3iM$EwMnl92)8>erJHMcQc0cmGoMir~kPEoyb`&3Y8)6Lu&7A z+3M<@ll>PJ66?gg2iJhi_RJ5cKzJ=O(uh@wB2F{T&5gc)PF&b+I%DiS9qL|Ed&Rp< z49>Xb2#hp~A!G+0jFx%!gq~ad8EFGIk7%Z>N16XTqGORBBN`tm{hjYCvB7feY}D~V zKdJ6H2^9h^8g!;E2K&inX}w8cqrP`zN6`-y9&cB~(0oY5l(b&#ICIK&QceJ16D2?7wB!Jsvh-I_DmLdL}-TM%4z2}XI$8^NL{#v5wnD6k)yU-XJ zx2d>j-5l3*mAfKi!EbX_R(Ou?7)=Oo!C&Lg4OfUv`+*ZWbfPqpFB&LvEQwEg6(LY~t6;mQrP*U85G6#ePwXT!gc;bs2!KHP`$ z*d{O%S8{Q2z8|i>zJwTBkCcge%K7CAYtH5a`~KXhuV%n7Gh8nAvIT?i&L;6N>(@{7 z0@;rK%w=BZ+`?SD85E5+jFnP)fuQ7mb*q{-pc#=KuHz%amf zCg4qfvQbu|voPA8%oBHzrc2pouGE?aBkxE-XOiS|p z;IQ&&iP%BaX<6fs9`1L!=lK(VQ%}|nzEFsaY)RKg0Cq%_3(l{V)Ia~gHEW-5>wGAZ zs_8-dazSmA{5_OTFbl@KE)rA8F+FesaFRSO7P=YJ&D}`sjd+5MmIf}ikXwuI;Y{zg zjl68GlgA?%OG@#A&9DY@_FAnykU7nLb3`#!Rz>q25r106bOJRvY7Ys~*vY$*i4m=? z7XgYUn#->OJ&<~I^7~V)v@qb&n~T~%MD>bb(CnEq)$IB+hyUz&$lDKZw}0WhnMz;` zbN*1>%rCr1oyHu@NQ#8A3r&*;q(x1g+9}Vc>3<5+7C>NK8)7N%=Et?fnuJNwrqep< zEY3|ez>ygoQVV$o1EmS4kYrg5lJvQ`?7uBZ22#_vbq!2v_0R8hV-2m9C~H1yzf0}Z z>EpVrM|>HiuBS4N^EQnYd)iOk+e*@FH*!?FE$Hd1h?E+EPi(5kFjM6!J8*oyP-gX2 z2|hqIpFd=$?SK8$L++M&PzsfhKeEu;iL$9D63_OqbXj%jEwTj8T3{Cg-16R9ieNZh zQpVg3pn=op=(g~ZH`LP=#@hGV5(xqAyX_RR3w|C@EVW}p6JN(ee$4`I#SDA~tX{FC zp;p(=#UalnUyWP-QBIO|tnNuUpA*r4r~f)=(Mz>03yb7z#k=TlE>AFao5_X-X-J-L zX~eFy8{appw__4N=)4#5NO`6kVbtE)lb5yBN6L2#tbvsoha?{sm^~fb8b=B`uk!S+ zV%(!W^RniTkj{e92%vIO6W(ZVFn~UL7Ncu&Fb8}r?a`nK9=&Hb5f%o`NvZzI9hm3e{}ijWSWQr1}%;y z41169V`gY(u$5bNLi8-uM6wO0$wP#^>{OQbt=S{7$lgVz&lWn^T{3!+*dg>QZdNX4 zrJu7Vc(lxl`p-Uc29=@N%@O~0b9yN5(ebAGR*Qj&H>@7!Z CXwkeYZKHy90ZaO) z@r*K1(`rBR&IpE@upg%*5Q7;Qxwpdu+Ls!zk2?;6DL?*Jl_OIOC~eI&ia(}wdcpNe zPB_C*LuWXS1A`X&JB~xjID*V*#epBtk8amR>OD!)jS^#-@7{%L<`pL}Rfc6i&o8&d z=VjYJK2r~GZ=^$ePvb<8g|@_fj4mML)SI*qkPtgpXZ9U1>frdQ`bO-iM18Z;T}~=J zk1>umB-%X!#ix4QappZDzPSaiGH#jchLbej>%P$&@Gj2s=D;ky*Tt`&npDOWsp2y4 zQzDrir0I>35EB~1LQ4z@|Hul`xC4G(@|_o*wN7Lff1cV~q*p{ykF9!Y_s88v)Q*s^ z%ZjnE0Tb-2?ziW!E_FJdi!W(woH9oo2wxSrO(Bwg-8F#brM$z4$FZDQqbpg5lIvSE z)G5IZxNFw{kem{9;Dlq%>+TC8Muy}jbx3Nk`jqiPA{;&|4SUE_C?*X1;G9bCzRfza z#RQ>6g3DFyN8^X_Q?=+zah2owl-g%kp$~Q6Q1K-^?OcdT*PocJ_|L^@Ot)KMpoem2 z7E_ALw+p`-DY&f7$q&N83JH+ntsgIy(LkUl_rOM*;KmiFXIm|>%V2X`EHUQD>x6k6l#*7k z#M`)(r0a*(_0JL`NNOTQgi#cK-}%orrl}?K^*^e%WDA6H_RLv@JNyiOO@~=<% zBlY*{q+GpUZvbk77HkJIomd%AK9dt{UKy_sI7W0YQ&#mrAA3UarhGWH1{Bi`R$}FW zYr2H}n03!_(C4!47&W(@_@xr)uRKh^6}LqI&Fr%FJ)?OYYt*0D)@|+G380Yu2ag;T zD3=<>?$QX<#R@TfD;Pbr&GUBbKj~SRn=hK-RUUpJbpTF1)XUwsM>dvuI$r2ftVo*m zq2e);0!os`@_|U>jZ1V!bku3+vsewWSSQP*Mrw^!tEN%YLC@FJ=sJ&jIUATm1n6MZ zH<1(}FaA@0&WJA`_luL7@tk7$E}Z;XxvzGP&J*#B%-yj1z3po0_sVTB zU47JjwuerqWp)UigrU*KJURU_C7p0w&oQNwvr)jlD563R(iqimT!=4<9tbK}9Qo#1 z9#;tSI2n*%ieuDJ&RG0_DM#}arGVVH)BQNl<2GJK-C^RUFsRRxcWol@mirK(XX;KKbdkgW`JStyB8YrtAjBxhH4bTPSF6XOh7KQ zvT~J;L|hEc2r6?buWaOyyvZElx=*z|>iYYXy+wP0XQ#5zw`Etme25)`qAX?%D6wX3 z9pblQI0uJ(P)kRSi>?LqkViEp4P0g*qR8W&#M>HSK>ZOSI({_rMZDb^v~Y$$Bf(nB z$Za(xW~q;nvleP)dg2emykAV#8Zhk%YdYqBmbwrk}gTNYAZbi97i$(!g*oLub+< z&nW@%A#_!O`mU9^-$c>Ws(fF|*o37b39Wwhu2IMDiQM^`yRu+b z8BO=RV};|-qBsV z-^uEQzs)V`F4fpY_6Fyeyc%|=CH`QX^aR3EkvJ-%Jq>XMUJ8mkX0BNJ z*pYt(JlFIMfsENbL?oLGD7FUI)?U~NMymLWEXdw*(UL&XS2gZ^8xQRX8==rSSD22i zqC~FvPBr_J45~Zs&4ziICr?LCr!iqo@k8;qEmfF(OYj6VzK`=|Nwj;53&EQQ+_lA) z6h~y!S+Ms_K)JEsm0qAx8LKTR=mipgZ9vmf^Y8vzGJ-(mPCZ>1k|^?}%Q>aW39OJk z?@TeQodU``zObI*W>rb7B}OD-riD%gDuu3)#@)b}?S3pOeSm(E_YHyG<{dedE5q^x ziu%Z#v`7NbZZ;B7xg>+}mwhfjunaGx_rObDO?&CAsN!sy9Sr74O#^ZHgbcszRk zsP0!zrR1p?#YGOv%jdV7CSjRn>>$BD-xvcAtDERZd&|A^TEc}x1$$n=FOFb6&%P+qwEm-q>er`3@b?<3x%i1!_y4sV?^!Z{Oz1k4ERaaS+@PHEw^Y4?z z$w2QD2{BT$ZIb;4dH+m8LH|<+k}i>jvlEshfa=Gia3Eb5vIpaqgk&VE@jO2Yb2YTw zR~$tERz`qK6M6ktA{l_GNn#7nI@_zyUz-91W%IcEqav!HWH+ZQx9X}Eb01Y;P8#ch zY+WL6jpLxQm$xMJHqrY+0Lsi%miF(M8GsuXs6zI_x{Rdrfc&$JeK%_R-J~k0L-fg; z6yqOs#r~O_IS4znzft3ZzSKHF8HnW0rjR^Ht#HVj`Fw-Ul9fHl`A#PlYM*IoaN5#G zpxWmnjwUi)^6kwZb5k_=$-LnXS~8b;gm>U7j1g&{hddK=GU4mUg^rDijU%ktCj%)# zvfE9zh^nY$NsEphbO*5(II=>+qC1SXg02QVH@E(y@XP8(I}5 zTuvp|JUxfls986#_-%87b`9_rY#$Yr%nNDGqlJPYV@^NYi~|a#H#J^?CR@+-D@a5M z>Ty-;T)#IZpWEfPHAa!T*zNv7YNueG zoucwb<+*f5HtB}ri8FWek_Qy^0b@A!XRrOZKcCO<;(SnMSaPF{=>@T7?3Jz5_-YGE z>U6=VF2O8p*5ew}5rS<)M`*YzZaV2tb(`^*sOPLgkhrAUNdQd{N38Lcj%*f5(+I^* z#^rQ#)l;|hV$KLLR3v@;XB2Q_7i^88V&i491481Dq7P#wx*4nakyUvaX&z>fWSqyl zV=!cfPy3u*Bx=S{ACVVmWeD<BZ5qEf|^=lN8O+zf#8id z?L<7Hzgh1430aH{9L`YLm6hY~0}jCE#+#`xyb?O9ov!wYcXK;4Q++XUUnx2oT}{?< zrnKWnt!uv+XYKsYJlZ8&5>-ko~MT(U6|fu!O36 z74ZU|ZeCU;WW-jJulZ?#*GH2bsu5mv;xTt`6xLePdy$D73HeW9Vh%P#LXg#46pGU@ z-kZ^wERx=-zCDH}{9y4#VNK1b5Bd9FaeATlAdLQ}ZT>v|`8JB7TM*=8wE6z;dj^%i zW4+qOYELiY5np3Pds)$#kUD|lwdt}LurkhNrzVq@iI11|U$|~LkFkcH>7!NgKq)`M zp>>0iu3!_6i-v6rvsRAy)c1V_BdTT+xI1f+Q_l^m?9@|zLszXlwZeFhxp%%WOAZlixh9x8zu8WCq!4jNMFq$!!cPK^Au zB;;8UV+Tvft&AlXRnjf@&7{Ae>fTXuWr<0ae!y+R8P~MpnDjt#6+4613F4%cf)JW^ z<2%fIo*#?ZnZ|g`;=X^3%#s3gu7aL8E`+PEJ`=X{k8C6U1s0t{);OB5mus+FL+$M& zCmC)N;Zj&RzJUpNY4}+v$1WWHvZvk^ge$uvTJ(Yh4;oqdCCMnDVSqWd8T)GcIZt^_ zkxcUud$bf3(ritbr~WVJgsuy})kS{Y>Y! zm2;oiG&QMao7M2$nIQ%AFzYeabo9^U`=-|W!55(-S$|zrA=;@z`dP(8{)@>$=&-FxOY9GQ#8ET zS&7E!`g(OvC`r-+@jm7T`Cn}N67dKyE)4_sMs;Y^1&HgY-b%@X=vUa}= z{_u~GY0sEgJR9jZ8Gl}$h zZ$%g4FoqDLK$zl|LEN+9#a-&wmso!%BmMDRiL+BduRZ9+joQ7dN4Shcb}ZVFzTGXFXT~x zO)Zvb*Eql}+h0C#mOo2YE#%bLVAeQT6`LZnrp=3J)ISbNa%o`bB?(aCRr^09&2l>Y zBF5L_9?hmhd){C#il!GrQX_k2Wy3!~DEJd0qQ}2saNL;|rK(EgE#MUpS%p1EvJ4*u zmH(cB1JRBTjwiY9^=#l#NZ_ewVWgw;LD`Dk_X~r zXgOaY?<}5~S|;e>qE%0#mVi;3i)$h)1Td=k`>R%T=qDMHR+XJ<|EDPxsF3V*ay%YRD`(scN9w>g9MEdEn}nVhh;|V6m7y5 zhH9}iM9lu}!vNQ6N!%aHJ5YBiqZ#Q0qGHtEm4z^Br^_jG!I41=e;m4UsqG~H$He(t zCMV-s;9x}DB7UQ70iJn5q)6258BmYlGW#}->aBm2ZVp_AS_k<$&RCK5b~n0%kKkA^ z9S3QMRqU&ijDnQ0&Oh|UbY5+%_yv;#V&22ey%uk-V~gX15U(n!Hflr_3QFB)AjuCL zT-K4(d~P$ft#yTbty)l5E~p_&a9>l8X~Lx6h*$XG_$xXgqCTd=Yh?TAr5A2xh3h^} z`H3SFN)JQi)aND`kTlVVu5Zq9c2V0ao}OMt(>`t+n6o&C!OoXS1$o&2Op8b ze{_Xf>_?4+!Vrv2!~z!Zi_t{t7r3czQ&h-)LhCh*Q?=+c^2*TC0)R<>Z38nZL3Dr) zK7wXAAFQSx+j-y=aMs7MXoxgk=ooUSth4)toH~h>GUS>JHx!XO_g6o4V=!5R%g&Qz zNOF)fcxLzD+FzW!o+8i4F)j(k`n|U#!Uda_T)tZ}TVdXIZu1GAx zd9lZkK9=l~%jq4Rddo>98bq4L+x3()c_%x326Jh{G@CV5ar6S zbZ_9VS1os(bAo>^g}{5bB_Biy!E%V z5gpw?65hshZZUa9Fd6x%ITqae$=Qd&=AugmqsHNk5r z@bjp_v$Lg$wuglXY1{k3Q2Pp^r?D+H&7@UY| z2em&NzZdUIhgNTJ6q~WZ|?Nd$IEH;9NN!&c?lF9dkUl2 zdQ%o7+*zIFeILaT!4A0*T{kFh1CToyzzm0WzSBzX9dDP&nd)QmspX;f$lm+n0Qlwy3xNNTTe-0)Dp{aKZ!Tfi7UTPM1VRtz-PLJ7 zeHl*f#vYV@&^9vPgUOJoV?zqLE-232!mf zVO-@LVwOWi6-Me6i1(S2>nC=Q^sXrx0e;r8+_4m=69A;XHXV}3p3g*ksA#2R^37Xa zGBI*~1y=M#I!DfxOlMLBVB1&N6!MmE8fkb1lCO;4%;YY-q%-+r$@I5(wb3wh!Srk* z{v0cyOm-v&{gpRXZ&ZYxZuR{H-nS)x&|3NBv@He@k?o;RUB%fEJ*p8GP}?-9%~xrstJe=b7WB5Yvmo zSZdRpcO#9O6ZFkkxSwQ}C+0TCbjS)AMBm@05X_ve;!)3NB4`t|>>T7U{qxpob@c&at+1EK+Y6w=z`Uc&A z+i!ksL&QhKc_QqXVN7gp>O%@rV05LusgL#>oReJVR#S|{zE>-|Wi>Vz2U30IVR=>U z`I*~eHlFa(KUD;vib19QPs%yB?k|KNEUS8Zk0oRvoxq`qqu7xG-{XY%TOF}ITKAt! z6V+jFl9o|Yr)iA>^E(6ONrs)?m*FtP4Z*Cj%7rezwNY{t_nS?QXU88W{}vyu z=$CA&(`kyb6nx@UrpOti|J}3}I6B*u=>4nXbPYB4mQ+{LlPXj((kc53Dw|hUU-MSD zOLZnG4^cCbK=`~=Bi5z*xbc3HfgGO0H}D=m;WgrWdGLF+gf*F+p5Au?455|$f5;lH zxKan%V`H$c9d*z3o*7PX!|Ju~-cgd9x&C07fTitU^_lZ`fcFPn$r;{=K!GgGWW z*dTyf^BL-7Q+VCpIP|479^^+2S-x<;;KmxYeEbm1ccNUl?nR8w60KMr*-DbPD_+d8 z7(0<|($GcX3l)ju`7jeR*Wq{K1hIU8sG+`OEwODalk#$p+Vi=3|P{(^vrd$WaYhck_sD zVduX5Jf#E5YZ3p03ehU~!Rd@fZijrXnU1*}bT$?oC7JET#q*3IDpbYraQMu@~>@ z{_VAUG~c0RxIl~df|UuSMUs9m7z!d zT?0rOM{;G5Gmh`DPd&qo+J~Cg`?}nWs24kDBufv+0Ls9~~QS9&<)ZX5VUa zr%P0yg9qS{M0-UWigA4_3V7FY@#WTs5covQ5a4mn(B>BmLEjho;TebpPBcJgP*W+) zdx_y>;n7)2>sE=(An80%4EQd36brB|kkgrUx&Nxsn}#EXv3hhhIq^O}vKJ*U0D)Dae*H6V&CqWu!H80t?7^>cr6M_T2o zbjW?%ParR%VAA$)22Of-H|2F$F;1?_|M|hm>+VaBR18Q6I&z3}SW=|PK8??R_SeO? zkLF>Va2mT|6Nl(p68bz`-eyf+Gr5G>J z7yK2x>+wQpFPLgO@$erAzi@vVM6-&bCTn0m=w*f|n8;4JcqIg17&$@XZ)n_*d zA4(=qds^tgBLy|KDZ*+TDVyL7U^~o83|$#RJb#|to2fI3cgcO$%ObOnuuX4c0sCuv zw^z7cCJgZ7P8%b$yIXdN@e|bq%{%_6LnO#Nu^!(!m|NWTIj^uaLKqy8v%&zZT)0Q( zulF(m#UQFZZ9N`gkRmd3A+LnY+m$NFLX=8=Za&l|j3!dy+ z7f9E*BPKcuClS@DM|rlyIR(fjBhQ#Zp^(P!)c9HG0J4CXE))}on<7fBuTQGCBu+3K z^?_eH+cW^Avc|bq>fqiBn7nA^pkrwlJ5jyaP507tPHF;EP;#0c2rZ<#YAtCO>+76= z1V2F`E!b)>n^=Q%#UX~E_KQmI;*sKPkb^dlyVJlj;`_PzGPxunhkA7VzJ2evKTS@5 zN4!6=c_SfD5TJ4tjo=Uqy)SPd;FdRmLt;B7g63>=iJykZS4vsWC=+CW3R_(Qm``Xp z-qt&S!w%lAfq#bWL_b37Mhz;!Y?ayTtsZ5HnRpQ-Q$)G!Gl_^KK6!zs30dbf8 z3Mo$qqVyH|6rX%``d?bUa5@=Dr=L9+`uh;5U7AhViao6SEIGF;qVff!G_B!l!zq=Z zQ^|4OqMnZP5$1FxA+;EGyvkvE(`Odz$Yhj33a6-qlVJvyt}FBa(&3frbm$b_+(QqDhbSs6HcS+&p+ zP3PyzE*->QAX^lRHb1u2=Vs9XAdzX;`!m3pbWJE4ww4IFnm1e9)RlpqS=93tnWtCD zQ{yDvDupAovC7Y1x4F31QeniK3c33ddxu$wR*`Pn8_(J%Ic0#IR+`_n^WDCZ`cgkm zE4)*C|F9eThY{QF+l&OKG2IQVH$$5V_km##&@Esd|n*K z_N&aE<2@$2)_)OU*Y3$gY%Ae{foV*lbd|h+e8s*cWXIj=_4xu)7mLTOtv%^4}8mC*a_x55U z&u0fO6`QqV5pEQ4Mx$fDG+)7FzA?UFr61G%<#vdaYybGort7|zR0R1##G?tSlV(BO zVyvuqvQ%|G`CJL1*z&@ms9~QY{6Fie-=vOkVZoZ=r#~krmotIF@Wk%Y$A=9gPj|$( z2}jR?r1RXTEJ1^HQPEYAY@0KHmo(jJ5!f&tdLRvMC1nIRhLb)~C-Se4v!il*8QHTD z_2!0ZZU=s)CMjX~9U%wTdAcPy8Pv58>?^D7qpK6r-Vbs#k{m~QZu^!1N3Grc3VFBX zcgTi5cPV?4r+|=j#~;hLOj7&scW*MNJ^r|L>`GJu>GeZ+FP>#6I+iJPMTs;<&E4I8 zSv#<_TK0m%23UVhS#FRxVAd#AczD-D=J1UUN7|TfUi*Sfdzd{DK3<;pouNEJO7-M@ z=LC+ewANo;Q42%Y^P6UwrPm>JcW_09VVC zI!Fj-*lDJk_2v-k>As9So$xsGi&5-(tBM58%qi9r{jPA}UDYN;g2%`+>$*(HZ+%SG zj)WKYrCWbaRTtNEdk zp4YK=UP_;>>)XCIy1)Em*^H@vFI{DrQ}4JaU?%&$FNfW)J+{{1@u&fNME%Az^LC1VNT=#?nTfedmYEnBGKm&^PtPVt}3 ze&B~CvC)+mJql+6hPDnoz7#1%kFh~@~IDxi=FUSf2nTdjMR83bZF zfJXAmmPSkq0^$FRVCdX?e_c*gbDi1C{LM34K%v?qSsRM#<^WCNTnN8+gBm?NyPe(sJChG(WSg=NYXKjT2qpLe9K zuH$kz0_0Ti9(&XW=x`7k^Vg^B=EYstb)BzNrea&i^rbV>&o*pa~9uT zN}(3(@ayvCmB-GgBkZo7HzJC3gi09X4&urTsfy19!I8Q}ZQ2)FfqOYh3HJaRp5kg- zB@u(!cjFEks)<$neXElsT{Db{y1#U;bgf@eld?|QEDm1e)_5HoZmY=V)(vkmRY?@P zHhypJE>~(`og}n^6s}Bn#250&>OA$nPMK)Zd4YjDyNFUE`U+5)aL};2g?qO8rV0wq zd&A+O4H@d_EtB$bvz`-%$6*wFxVWkg>ezE#;$m-Nca+d7#Wvq1ExsIl@fFdv56zJ3 z^1QVtbvjQJ6-ki!EHd*JV?4p`V4l83!Y<(nP1_zBW{K<7} z=sQact_8@<4qf`6!w_VXLzIPq1z$HAC(HUCaRgX$KT<2D65~mWn=`+JY-{*(e3iqg zdx%Z-Gm^;6C+UuD(_{yGzD9Zb6esKVxTejn@Gf}E1x&^s6ymvk0BIcGrVDg}%Pu`+ zg`apXXJ#zqSSu$$AYG{jK*1P~^1{!Re4Dib` zm#r+oM6=R(@i|s(o%^d%DZfRXUk=tpkH6gLpEEnA)s)AUW;amTrL~tB|FQn${!r+_ zO&61$WkjjmIx52;K2We5ST$09Ph0~M{Qf>Y z#vF2LVz{MYDz=^4{GC#?QljjMId|W(UHe`lw|f2{_~1vXr&{`~MTmL%Z9f)*rHoQ8 zsoFP6PIotneA~)#SYWijVc%HM*J| zurYEF@7vk<=s~jjxfuV{#=fB*%m%nioA8+~f9)K3eTawm7oAQtW1#Ixnis((OlboD*~3KmB)g{7(@7WX~H1 zr1|rAFFQRtZs=21vRP#^e_VoNMXo}SV`DpfN`9t#3y@S5Ikl&mW6_j3<1#Nnt``TG^#O}YV z@U--wIOU!>R0r+3A>(A9eCK6%JN4)oRa4@;RM_gW_HVN*6Nse3GTZcZ zO40JAM#QY-2!-iex7#7^zi|4|O^XZ(ClY-15HObwR=&0UGzHg*?I*PCx>~Y}53dq^ z24ym-ZrQGt_Dq2295hSxQVdnjvL-+&uai9=SMRc`h5J*9rHHE>yNK*BI+!JBG^&3waB?Z4$L>)J>ju%Q)3$Dc&*)ivX9?FAOW;2I;A-m zLZD64oLi2cP&|qo>T#>L0lchFvi+=p!TYf}~}*(rK?mJ_76eiRr^5M*%*L+8`6JcWgL zwqBIVC12Yj+-yrMa45Lb#6)Km&&U0jUfuDLbPQkrC#L$iC4bA`1Ie>=F9-baO5L0+ zj2|x&6M=2!DxS3rMgDc`CQ2aUn}RmCzFxeH7p#xY${Z}KV=`IJLAo_r?DQy7!aGy# zh*AbW#M=c2=Qw3PO0?Hj5r^^ zN6R`0G1ii5HQG&XtV_=|YEG@1sXxKq+bjZB&93t8^b=X!eAdcC>xCVO>*?E?<25mPl1 zZ8e4uHNhDZ0nur@(TN5m*8C(Ld#ooP7xa(&7yt8qs_^v(Px^0Qno_$Cq+a&E`=Pgd zw3gCeg>M3|m^sl<6lOZnS>>zl#b%)fl_5dO<@;HuwH;`~Gnp?*`0usu=E1n!s$oRG zf8x+=^L^d)Pg)jD(I?JRo8~##gLBZb9v-}&wXplyrO*r|8x!%9uj9eKi4VMFcIlE5 zTy>KWj*Eg;Ty25&P1hWLy#Cv$2OmC2Tr#iztV1T69J3U6tg{y1tL}$pB&fQf1WOBe zNdz^p*tePzvRfWvGeNgOt#)WAE?4>?i+4v@VGz>z`0B-Eu@L0z=T|bQQ)G(O^PXQN z?7x&x+9wz-Wqp>6Ll$+hMV>giCm2yaq-%CWuh$++enlo*oTJfu-g{<4eGW8xxA

fA~{UT5<8`n=k?E4qrS4 zo=JMfLVAqG=G6ox@*RYmL1qb|qL_?<4UOYw)zyG9JRX7&$0kBWIcrTu_sf2Y=5aM| zH*3~7nM@9Mk|qKTMac)4#TA9)0=M#3DhxRlN4B1v%IOeyFP5*Jh#roh({u(E&KP)O zZZ)b~$4sb+rXFUjRXeon6ZP#tu|B-IY?5TU8A_?fOCqtPuz{XR)oTAaHBF$jMy)tKaYMACQvh)!f~h3rLsk~x03QNhJK*4WLfz*eRR$% zYVQdI6tX|(W|i`4`Ln)c*4kPW(W3)9CY- z8?s>Jn^`qF&r2&@H0-I_$$fI;A-Ar~K)A>DO6^obM;y<2*QI&ox1^tVFppx4;Ts|I z2|C_s3}G>~S0422T zm}(T4@P2=4CP z$ESVs1lB%+ENmu+y{wcD;}BH0z|AObWYdO{l-v5ML@0e zR|ZMjkEgg_X=y*3;7Z+p@XuHJJY8{nRN3jnsem`ZuA&iu5uY#Nb;ZK|ldpfB>GT`% ztIh*Xk!;S_5{I8HnAkm>83VT8$h-s@aT&a~)^y5VV{ndXc=~nKhf`!#)s3u_w0{+X z@LWt*{E)iP^J~+H!Rwp5hO-Yxb*7IGr0>L7T$koEZ) zxDzU(@Ib$i9%(u1DU%wQ-1}x|mgh?HD zXLqQ&UOtx|wyr3j&nS&s#B|1el{>vSds>sA#7dBxt+p%id}b$oCjN@ISB8tv4LVx1 z%j~g=#mx4Zykr+m%Xl9`y$G0^8JS_mrQC{iuF4c}SxnFbNFQ_@Sd9I^vHAMYAiqw7 zt@dA*$Q=!Zj0{Zst!F)N-*SBi2ivCQ5+K*qTZ8j@W)1M3dF}}4c5SL9itNHhDe3kp zcXDf{asDPp)gsgvNjSUL%h}@$Gl|L%9;Meg@c zhk6^+=aKuLcl!?NL%%A6Jp=uHj1C0_$rPQ2)M?!okRV-E5lNYmDc=SjtCkMgzcOHD z7#K!q8g&->_+oQannje-mkVJ^$UK*CZk>s3tQTU}prwZF`7td1H1&dD6GpWpE+g{% zRUA3}feKD9)W6Lo21hVXwkfN(IBV92iUUk;a;;--N3cyD*^$K>NAwrwcHXoZ$Ni=Q zN?kntDXH4L&(2}W#BQ;^?z-e=*uy0F;Oxd#jqAbmD$8Dh(}G~c)bkGe=xZbBw|Rtx zDP31^LGg*_0SC3YEz{=&ZX9!TXqz~h+tx|T5o;17RXr|?;<-2^vEBu4*Oi5!Bavax z1+p|^_1|GMr{Q|!IV3imkhTGg<%Ipj%T!#5JR+~FMyEQUf;RD#zKd&F@|z8%ag4u* zklB}g+4iJb$}a|@MzNa85%Z2*1Zpf{Fujr`kHx1>fjo=9bq>EufRqUBw{u_y!BB(W zuwxFnK2@-uMP5YsFku}O(U<|bhp-taxwmMDNu9GkJjM{^eh-uwf6dT*v5{HeTQcKf zQKWF`zKY**b;rJpxKU4`wFX63Z4_%g<{@{SvxbODZU6Pm072q&R68r*=0N9L znRa6!@)6)4Z&F4(3Bn2`)genP4t3P{r36lqx+EruLpM@=TJs};m86h_#5qUYeVMJ=-TW@BKcn?_B zs~wt=f7>(eWDB9$;0`XqLEMO@!55;5Oy7QF%IYoqvY$=b|5%Vm1m!^o?+o50b_#kj!V-I7e2N-W4(&PlQkL5jp&nY0NjaJLKruq0Df^a4Ze zfI1&b*%h!L>wzi-U%1d>$6d4I8=?x%*DEut&xOtQR^#UNq3MG~e2lr23kvRB-97P& z>Ex7=wEV2V+XjZtF0Rf>bS8!ic&i|%F&F^RG{047vtyTb87af&$F$ZclT6BACyV+# z5l|41ZBz*PONKKUGEh}`lk3WZc4<5IXzE|QX%$}FV@dEf!a)%c#Ip|+!a?a{C>I}X zx6T~EvDu`#LjFR96D!%v=)-jBuCX9Y4n;nglrxw_Z;-7uMVfi{3d2s8GDhgn8MxC^ z{SnV4t|7ZOs!!~hAdA}rq=%|NxF4V(Q+0r-fn3M`OOZpCl@4>64tDAKTl=26@O}Z? zFhC)14(W9O7u22SPxZ7%9IsBe{vnDnjaq`WCY4sT_@M>84nxX6<*amiz*X=dPnp}o z_vuL<%%>PR2DWX5g!;T1)TUh0*`%PpqZbHGozuHAp5Bq#{587XIvD3WRRrq_!^0JZ zXQ?i#tWPgb4`j4h#12F8>om!~WRay>JH)mubhz5KD*k_--Wps4KECE1;J$2JPc_OK-||_-6O2zSuqA z?>)F94Lrh#q_qy^6Vya|n}*iX;noLiIlS0~VYP>?jG}W2YyNMdL@LL9gt1q)D7`0g zX^|PHNT!lCHTOIvc^4}&$3h)ag|+v1gYx^P(!LBvTfP@PC|?YYW~gmGa&JWEizUiV zDidm)8wcZ~{_T244_N-8s&$5`>H@Xp(xFeU+G*OA>uUQ?yp2X{RuOT`*w`T}>5b{Z$c}^`?}c~tpXRZtC3|>pK8&^{!^QK#6Y})+Y!Eva$oZxPU=faY6))<(gh2*VE8`EPw7nX&zH zZi@oE-h8h8#wlvKpEMxr{9gHJp^d4mq}eW#Z2jA|IFX6OUvE9Pp}upiEwVJ@J+sR+ z-*seM7Y*E0Q21Y7J;{Q;Vx@^vuOjo3#l1>*hT#D_(~D?d;+4w-bJkZB7{8Erh;j5PTVf%C8MjkXBGyx%oVX%Y?8tR?I-@9PTH_!7iGCM`POcU8bb-&am_Bu+ zn>%Mb6Uo%S%vF`FoCQ$WNskPnzh+X3z|m{axu%wV@;sLKNkJ3F=z2}97oWx6wf)J6 zaZ6s!(=_1~xljILnr(+WFxKUe9u|S%SX(k%*`VrlwlTjQlfU)J=%9^hyztbc-3aI} z5I9rvW!X)Ni;0ehV?RNi9&R{q{U!oV)KD(Gh1*_aqFnu1^!+L$`s{`66WHGk8HzVw zbyW;JA{~SLMAUMr|4yYJcEul>EX+B@re6?RL3}^K$;&YzpaKaZ&cn2-oA_?ZdE5J( zzdlg5F#Ab}rF5bE0^1r^4>Rl%%SExoAF*XCF7C;}W@tBb%C+yQ!{m3@suf*+{~YJ@ z7w%G*agf!J(|p9<^C784Htb{iQ3ZNPRJFCC3l!gA-U*8|>yWsVujYF`XW3}hBdu_L z)R3Zw$mI=K)-CxSn9~PSu+l^ZMJ9eBFn%ZcF}PDQH;ZnVEf_?VtM*PsjMo5$hOu zJ3+=W%_75L&5F(s`)>j4Iw?f-+5ZSS4B#`5ARIgqSx{o93d-DRO$lR$AEW4~??UOt z_16C+2j0H z=tc+H_hqe6j?uCro)3%_I5GTA@r@1>nIp%}YX1y4rr#`7Aq&m$d zc#^Z!IqGJ!@4??344Y&=cM?vyMn}bICmo z$%t$o6t%ytEMzLdThfg{lLJwagfz#APMdn>6)q&Bu%t$Oo_^y)g4c4=7}% zmQa+jnKGtOAq;Zn0OY8!Z9%#JWDhZ~?PYTlS*E70@lg`IUT-7#uUj{K*1+3p5Gy z(Mc)o`5l&9&9p~2t@+#R`+i<><5^{rl7PC!e|WD;25H(!wYl~Y?*$-gm46f&^%Y-r zqjkw=CA^FDY)-6Wl-oPvA0S1=h^0q^FKhD5}lZ9cwYj*JaHRAWn}>E~5T zP-{S23ja81+HAEX6i#ut`mgW$>WV~1U0-%2p<~k=yqgV*CuG_O6n2-+cLeAsbK1ui z(4y(IjD+tS7l7x?&CFY&3@s^t57Km#^zE_3XxC$vH&uLym#ca!u9i^W%~G021lSe-;i(VI({C=-)sfecrLRs|<@oikJJfU)fr6VQB}0 zLxsNP(=EM?4kisUc79bDe>Gb?U%=v5#&)XnIlN^kClWp2-&js7McfT-Lp2 z!JCKcZ+;)BYKIPhDpKp%r)7>_LAaNvc=37xWD=E1A?Rzf2Uj{%hxl3^NtPC$Ebs#p zB5kH?Lo$*FRZGYc@)=lzMk_7sU|0u9v#(=5%5P?3|Je~b${<;WXN1_R2+)8|G(`CU z>XKtR{Hu@rnxBaaXk*A)RaQ#Le(8AhlyJHGl%CXM$hC{f5I_XP+wx%UU%a2yM5eVR zuOlAIYPTa0<{%CfJCz83*j_H!AbfeRcn|J>5cKfWdx$J2Lg3uKIIkX^5WNi1V}+Z?f{(d( zm)s%EnqFmpBv9HENI~gl8vNU(A!UDdqPQ;_x*VTJ*LT+KqopY8DEj_DPq)oOqaGPk z&(u6OC=@^rBaN94?Iq(>WFKvy`0L;q&i(d7e!@M;(uyajfLf0-l0;U37d*x3w$X5m zw2a?yb&j^YYe%$?qjVtMwg5%P*Nw#wkZGp_FnM5^y3jZX}=-GFT8_a zPD2;czzN8jIYaDifV^UY=6+mBXyRtP62G@_xZKa-8QkhkwP%wapm7ht=O5Xw<||53 z+i$)8eSN2CDZDJ;PHmQA?sl5Thl63jw;-{0EEvNm&lnfjArrS`NY61YnzF#Iq5tId3v}{WdfhFjTt>+CQ8<} zp@!4hOr@XVrlOC!_@>7em|89r%P%Y)3AQZgUDyg(1wUm1*F*J3bRR%8+vXC~Aa#_B zmhZn!3n{9B6#WJXE*X@^fg%(ev+zC+%|Ei_YNAElque7|9bY|F_#V;0^$xwiM;|@U zy-Hq;J&@fAvHKfyJ>QWftfx3+pa$!U?Tx8V6k(}m-}8PKn5M)>%+xG$0EK1-y?w8= zsB*AIbTLwRC%d%`R?g()G7Ji2jt=L(8hvtB_EABZ+75E7r;?9koD4a+j#5&>izuyV zk)I|6$M)n6MqZ3c6Bp!67{G1N$A3#mK$Ry4AE~x^~WiG`u`)(?L#pxW;p8^%*Uk1iiy+ui>5i z@FE)Jt?VjjNxQ>T3Yme;`whlBRc-Y*`7fnTX3K^PCUXkw+jX2&Dim&$78Iq}4i>#0 zCoo0;e|`Mb<@498hW6bjdR3!*pTCY>OV~`9hGQ15ygvhQkHbW6!VMlb-?s{3S&XS^kK7_I=98UaKGW%?x8j<3zl~c65;=krY}cqG zeozkl!yRP#<+4WX&@7=GOFq4OW`8NGqK-^jDucd4i3D2j=XS=v3UM^9i%XakaFHn6B zPLTtYX8@U;8dWSZN|pl}{Fjt(w-!m#eJv9NwNcUm7l~zgXY2pCYkdbrm7c3dE6=T; zhm4_B@_$`?tJf`{S3dxAs`Pn_AJnFhBY{fXh7Z3@E^R84XLOIJ2(j1V8%ypk&J3fu z7_zgXz7dGra_!QK*K)WkEK-LIq-+QJ%YBY3Z{a)OL8UiZ@i6HXP{)?gUZ4{3`A)SX z#E9`L=`J!<4(?cQ#%b=LV(NiY>ZSSur!aoOW`%irGm#D(I_xB9dXVOnM=)j$_}!x= z(t#9E$eq5{(EjbXH2+-#)i%x8(e5SW$HGWW=ZJkK@!Uw#br@E4_G?@EkZQ&5yo73m z7`H6UYktW&z4YObZ_#fP)KwZuO}@Wv8emrw+S(B6qo%(Gz)Dm?F`s+rqf{m^KKY^=py>F6k*z?-E}jzl|7zPjm` z&0uStKM2C<iuC7Yb&Z#T-{Hv< zKzdH$!1?FUk)rY2r$8K~DNDS8AD$oDqM-8)1={utG&~#~leKs{#0JiVKNT&YH_NuC z&xR7(D)ajxvr1+<&9$Mf-*tOM*=`>*&-f#~jKGM|!Qc{jZ@Y->vGIaO@m13jW5lJG zwL2Dl$)Mrj(LG8OFoHK#nOoaTVb*{xkWWo1!uv!aaCx-Yq2tv?#NC^h+b!;Sm;^^uOe5w z#p|~=A#PmZ<59+q#=g5iMHvrOad+r5T^U-Q-K>I{Bniub32Ex3#~Pj2dr(ykRg3d+ zf^xeIBMRoz4gELTqa+^~iPNb0d+TKr30xYRhAdc7UjcukNpOl!uU zPOkg#;_F_e55@tt+w|ID&j@8GZBwqptg^7=CUfy+F(h*-#m0l+HzW3O^UoU|ri`+> z5^Q31>ly&|P5~#_{2NWSVrdi!lQs*xG&D~=bL2c4rd?;bC1Rwy+#!D*-tvXGN&asg>7|o!Ont%Cb6OUc@a|a7IPRaJU!7{YWD=kleaGaaE7osjr0lQRy>{wb{tl zc;~#}8W@F!pNC(r&~vxe)Z{W(^rd3Fy~@vIS??5r@!_u2D&wuC+mA5`i-gLyGpE!wMSYEPmZdFu$QJxhjs<+@_OT zO!U6cYnVRH=Mev%Ub(Fo&EW9U0+epYVP$&|_}s@eNt0eywh73N`$rE|F16OXz}9&V z(5R<3%6?*kPMTMQj}W~Rb;gq`Dt7z*=4hFcx$Bt8;6BV(A;adI^Q_i=H?~g|-@Ur= zwCkpXPqsi$*gI9*@|D`9snK(mr}!mdroCBU|KiaDX#KQ$kfMXr3@`KtoiQF$P)X8L z-#G78z+-mS^DnPyC3V*AP)g@imt39#r=|SSu=h~krH`ed4U`l2v}S|OtNQ9#b(n(l zJu@Go`PMKG<27NQF3z8CJ3C|dNVzjYpC?|CrPYS1=CdqcrWO;Mi5QXYhS7u&v)Xen zX1*ui@5^);VW7~5C2GihoAJL2NO(o_Q+6u0ieqbMgv(vxTj1<~z>CH?qjyDfU)0P) z8G@isz(i((t{nwc*uJId#Ai7-ELEI)V^xkXa9%ny@^cJxv-%oD}{!!R4)5`B5R zd@IMWqp+qP)jr~hf12P@am&acypuE|vU=+dlg*{g_)PcP`uQ#Pj`*aW9s%3aFAlw^ zpn*&}X4JRsfd>w?%}f3oZ}(@kc8c<74di0p@a_~dMZiL3uDAxw5$hCVEDqTA6s~dc zst=h6OyTKLQjO%4u+jM9d%pHKk3Hu)z^RkSo|7L~qbl3qoAIw+xBvVncD3}H*HWEH zGu#-2eR(yf7lsW!N8L?5T+}3KYTeL3PDAqUUr7t?fGQl|#56o+Q$ENR`Ken7@0Y(V zmhLsBBRppM3-4&?Li|gtAPwnR4U;2{iJ!YmF)w>n6E8Tyt}#q6f96i6&u<3NKTxA2jNf_qgh_WaVzG1|^H1|QD%3(gUd_*g2cl<8hP1QJd0Ly#> z1%uaeDLYl$aqC%)q@P5}O^;*=zTn>~2~&F9aEe)4_$qPg^ozJ6Pv&?#5Kn1;MZ;5v zY;+?YySR$|Jk}y^NntL51K@=ujb#^BnmzyzU?<`8A~RQS@46;z_uxh%8~he^@vfwr z3^3IDi5-sz6(?HgLUvGQ>)U&xUwd2mo*APYVO|lrYfd_xWEV8)#wyRQu z;!|mF0wezfWI*MHx@PGJ`>h&Z*|?Z#Qe0NUy#^^mNXW35{K$5?Tr&}WP_KrFOJns- zoFIJR9eEmbX8V>ss-8+_N`!b|0L~J?h@S^l?%Yk^Yh+2TOa;{AFz^1!)^>`1&0Eg~ z!%=Ir1I+4s?f{P23y0xL8f_*u5%#090KDZtB^;!MQFAou zo45mcZM)ZsZI}x+hgqjDpXQAc28${H!9?qI9#R4ir=6XZhA9>r2*aPMRad?-NV|dG zatDvMF5$fvXa+5VH&f8&t2wUthZb}SKD6qljuZ?n)b2zN=7T#20B4|Xcsb{l+_+1;JKq^cbCHMKE&76>w&I$~6Iz%1A- zFXrX~Yf4+Pl?<%6{sDDASOv89*d??ZSmOd$^ri)@gbXXYwGL5AAX0^vHu1{IeB?ab z6JEJ%ej{(3(ap=308uy%{{`21pmLTibCBssfUNl9o&f0pyNh*zOrqpV5vIw#q7#tP zh`H(@rRU%3*L2h0+#>!+@8pl~&VuOd+Lw|vc)CIeh*zqv!U#7v#mF~L=CJx`jM99` zFxcxf_;-TrmFe*nWHo`1uCy#74aGQoWgto31+`+qDA6d|^;E=IC(rmZM7lkze?T4FNk)jd>fDDEUAp$v36blY6QYzq7nY^_W&i~-|qP^=D)wf$=d z_i!w>v-;6>Zle{#FUs@FPzjn$6+J=XX;f!rQ6rxe;jUb$a7rqosG1C5JgFlwIwe(I zjJF^t;HdD?|F(Bpn6NM=-p2Fce$PssLvVZ;>k|V{pE#ux)>fCMeKMw5^azcv%@X>z z60(Krg8`Z%3M{Ua zK0;x=?2%p5J(jJA{HSvwy9PvQ$#z`e8^X3uRJ67FSsP#o3sb#EqOXO^*`Qgob9+7? zQJ8%A*r}Bce^u;wUi>`Zw>BG{>6zW9XfU5B1JUXPvn_oF4Fz0q$QgrYCWY%_Wq1x3 zRoiVpnu2-KR;>JPM8?#~R_jdfmqD4`%gEL*J5MzBneckaL5u_z15d(FYMWWU5^`v? zVFRIz=ttk%qC1qQSyw!YW)4)l-r`K47j#77I^>3RCdK(Uqt0#6L~E>t0{-%)yvY)y zH2Q8(kubMWgcNCqC61bH9*zJ0zGE=L?8%pL$mk$E&TqfpO>0Hd1?Vn!d{7QKCk%17 zImFs%aj3%sdw4c~m-QFH$$T>y?4sr@M@Pdv^36QDrM!sj&;1x@<_tAg_cQyp=mj9l z))cXR_dXcluqi@GD#1nrm}E|=C_Ak&dAC2pF|_$tvZtfW->hoWliA7&4ksUu(oi;0 zogwzztV^kI<#YyRl;q<=Opm z*PT18Fj3wak)=p z#{U*`0j7QbF*idsx+}}KB9Q4i(yVGixz6ZVSe7C;zb@};?-aO#J%W_ZvMKZ0hO)|e z@DV?ciMSJ(XpxC`ec|8I-1}q;lk3=%>69elgJ}(*YUBO{1@JHS(#Zm%?yzJY`(_GH z?oh}dYt{I{DU3Jv5&ItXY!}FMO}uRzm?DH?x9mHWJ{f$(YSwCoMxOL-6xY5(x;!MLjbp*E}O44&5o}4UrpK5EhXTW0i+W{8FoqKpU(8{~V6@zY)5FmB3=Um1in+fDpi^#*T@KsS$ zVZ+9dDoz@so##pG|$b#-n|ebg51cwysi{It4YEgs2>B<@rYjXbQ+u#2XOWp{)gBc?dUy(Rf;nmWV_s|CILPgfY( zggTLQred;|TERI&+%RgXCdNu~=8u>G>`+c7W@F?~&c3-zYsl@U324Kj*GN>%QC@Mox(=h-g{?YA z=Ar2%#HyG|D1B7N34txf-1XgtJ)nKicdM;J%_Z@-nssqRx9oLOk#%4^jLQ@k7I6Z$ zm?aKW)%z~P%yA76RxH&fBkikbXv&fkdnSh|4%6WD;Xm0UJ!OhuZ{6 zcqlCAGq*Z@7i-3C%pWY|J%MtV6=2$rFWV|1a$od1uNGc94cKSxgHDZ*G=R>ko0R@OlX+G|scZ#3gXmO`GJyN~&GPA$ zHY(b9ol6V6-3R$+Oeib#mZfn8O8=|qYDy`YY$#zS>BULcJa3ycQvy#bf4{e6?awT z*X;NEJ=OTirXzmA`eaxhcT@!d#71<(f78$~eB1-5+n}$hHv)Z|Y;cGgl$;b49~NK* zt?OsU3@K$X;CN-T?t>DSvZEhV^W>j*9&x$ACP<&J!0bj>;a6!_;I@Eb&_~I2S%aYu zlfq2#Wp$k0Tk^>(v4oxWx8PhVNcs8$>*m+g-oDRcjq<*672s6L{hpmCe~p4aI%Z5| z8a;oZ`gft{1;S@}dp2lo4IO7-!#E zQU0bElwp3auBZBZT~_*N`T)EKdT(jJ#?0^hhW;MwDmIW-Hw{Qo59~RDGz~ZhVWpcL zB}G+SihK2RQhsYG%*(1<4n9WNlAFM;+fY{$s@)7s#SqPyV$?;I(V^1qJPza=5Y~_o zN1y(J zfg-;1dBKYNxT>BrOeM`AO3i>#bHYf+V*%E`5WKx+)d@%1tQl=rWH~0bkhZKoN{A(a zV{V7aywD>}3Xc5I-=n_1&n-nU~&_;2~eCv$%kg9szzKDV_n}`0SNUGEFtBe=T*V zHzL993_p93%5S|KdR_RhAiZ`Z@NenhxXmhEknBM8C-ZMpRA1c#(O>I(k((kKx)9T6 zR=!PLbPO<}W=`9+H~g%*TK7-e9sWv7T&ywL>*Zn3_u2FX6|b_1)r~76 z&hXV6a7MBdmgxh1;aenv4=8tbsw7H<$t~Fkjxl^#R$Vl>HmsAgWPW$=|IgLBxT!y6 zVhcVbmr4pFU#|5EoICGNZbgZ}_W#@``U$XL2)`*+L?;uEPUE%J-wL%=*tc}ib|Dz%OHhkV2k2=zbJMr61^GQKslM&|Gh5zcO;@Md#!AK zc)H;!Ghn;LVIgzxtQ_9mQ^RLlRN7?fAT-l6W%)ah912H$bF{;oU%LGVER!I1>N+t^o9}N%rI}qXbW6m4(hFznNw@>+UZR zkPGyFhkLGHBZ{wToNnpxH{{m_K`KXat(2la=XmE*?sli$`0Y|UoVxf8$i?fVI4*nG~zotDfYv}1;w z@g}CBV^hDRC#K%+3!b*klrLr51Qh2~kVQQHGhlZbq6(n_Xu|*Pzs8N~!!DUl-5{AG z!01QW_E?taIj3tq@&Art1UW*W6Oov>fbX=_dwyZPlO)Mj2~x{{ruBir!B8^K zU3x#iSorsox;iwk?`a*os>`Y4cH@pXgVj5TpxRX4u*6sn_dxR=;A{*CpcEx@Lsz@U z{28@5+}V%q3TF_&oLi3@baur!m`t;o&zd>#>>^Ikq3-SeOz z36=-IJXp=vfU9z%ZxjUgLIu3Vst36y%J& z|9G=Wp{c`gU53vj(4lXN4eyiC|GAOecZOvlC;{ueJVF&r2SP$<=hSumE#v+u6#HL9 zp+t!WR8fnY(gRbab_k4(!s`XB1olVy+E*oZ-#JRT)^Z#VwWI6k7X^C-xMSX|49!ltj5<~tgyBxeYMDm~^( zy{@0{wxX3ut?N`Yrzc9>;G?OKJ5t>_Z{X|w&9b~+U=^cO^FlG4!raDZk) zw#q|i3+Fi~eWGQIQ7xUm4Y`GW73zie} zIij6Vc_vKKow7$C8jN-#Hlh|Co92H1fMT$(K3dC{gwTLQ?|3Cmdu16RRTe6iX@d@p zcFQ9f-N)ot!uQ)?7msDg9e0jPfZlu(>kHIrRz;?n63o_9b=b|@P9`{R-nHLuq!c!@ zd`Jd5BJ${P-%VNfFfuleoI~{CU3F6X$1VI>TnV>fM*PomY%VGGNGQjuRrTQ*Uf9YM zfHc*}V9~WUOp6NRqj+IbEF!RCH6@El3&jUP}po?G>jg54&`q< zEGhM(Ms6*Uqt2RU_?xevqp6@V^=WBzzQon~3IF|u_IT6Fb5M{vd7-|*-~BEX8@`l~ zix9$>n5xRE9(42Z&+}K~?A&~0=6MbQNR;&?nQ4dJ|IW>IBaEf_@aO2XNgPz!1-c>Wc$IX?eS-4> zl~oEOm|7qotU(KkKyt;$3S|cfnddA81n^sbCz27D>9qesiNU6M7B}*4>@%sVeYEgR zvceQ7zB^hN^={)U_?)7f|N8s%s&5(J+X&k2(zXoCRa1fsQ^-ps6p@Vay$K3Sbu zFW02d)?~mVH6)v6B&Yt|oBLt9ZV*u_^MO$&{>)#67?jcimN#K6f}KapnbA0jDn?GCU4nl-M|5T-&zD4|pdZ>7Jg}eR*{5?! z^w)YQPY52?pO4p}qK~~&=5M&}hvMFY(~iaxAKdpc_n;T>=N?UlL7<`Z>H7!FT6UhW zE)q4jH=@Don~)er)R6ydgaDD(JJq;h)mnpc<&+N#gV4j$%1pjLc;Y`qOk5JU zczSbxjxt=pxjee|H}A}YbV&Sn%Bnn=*uE$M0^ttB+nie+&&8cSM!TgFD)qVBr zR>GlsA}{<|RqXCM6Pe`>#360m8~PbZv-|HmxBG1x6NO)=tBDDhm7tUX*blbJ!SAf= zCX5nA&EdtBQ^iT&{x2Vu?_{iIPIF}_ujL4sC>c#RhfaZ%=aso{UhMv7Qa8Ob9J{EV zMfJ-9dv1o(uUKrfu3fXJm_z8>(-GF;&R=KJWRSsO%aYvYU@J z*a{l&JH~Xvqb69CDb2wCkG;4*72@jMU_wY7h+u~+>mKm4S<^U>2iTAvJ964uSW1?4 zCSJayck1EVvK<#5{^2MUNIa{a>3y0TtT$& znOrm+-Y|N$<}#*eI>SpzKiR=K(`7j zte}*TYDvzBK%xvcB3m*p{h&(uf`a+2ceQG7k4{*jGZbNq0`w9uzRxrN_@FF)V1 z%Ki%%SF?I6MV?ZWTctALU)@ZKdr!L8g&bYC@5JcMlmxaOWAj$Dph(8`My;1}=;2>g z1OXqjl6i7^g6TK^z5N2-y;gh@-71Z97*h!LpUqGz(NZ7+Lj3Pq+C0vxL4vaHAa%oy`SpZYZC? zKY1tkev=P(Gs=F2miZ==k&-?(j%TJCm)2mip=22f04xVfXYp0|0?y}(*!R^iOaf3~hWm)57u@gIKe3}&C@pi3TYH>;M9m1>SRiWA?H zU)g@sa$mef$9f?{Bw%BP{{PR#?AoZ@=hBCb1i{tfMwC7)j>cqShQmZ+zLn=1R@B`RRm^<& zH*RCxQ+kj+o94YKnUXr5pJ_9oOZy1v8><9{-~K`$BsS>$|8q1f{<_ z?B#n|FYRe$L2{;XWA2F9Pj@*^S&Mrwl2U%M@aWzBuT_N4AOjwYMOUTD7Jn4dobdJ&stH7jc|y%0~h4) zZ-z5+4cR=`8(c00E{;ou6Dq%AMAUGT_l}4X5a>ep>tXt@iAnrZtkc^K=ypfpb7mrY z)?BlsJD7zd!=`VFf5xEabj{)E^LRc8dAeDi1Vfwn!<}ubj|D^S+bo{jn>d{pl)F>=$dd~&VMCdqQj8Aln9cM*vY_m6eFX)bg zJrJlTPU%J6#}Hz%s88>360ngcAhx&QmK$)-di3bBizbhvMSgx8}* zgQwAw-B%vgZbb~LO_)n@tD;g1Q;2-`FodDjPQUF}luM3Upt&OfnIr_41~1nJcrKGk z20e9e5uw|{s$Pu6t+bf(piKeZciF6Fw55!Lv-IgaY``G2&i~o0z9O~k1|a89o9?Oz z_k*A{A52HNl5iP{trAJX5(c*^mX~nFO<7-e1GzpWpopidUP~jg#~Ru9r=H1nZY8 zS_%GNRc9I3WETZ+2}Qz91re17K^Z9$qeePFx>af*sWd7BHaY}^7m$(|T}lXwgmfqa zr7b`Ngb~W#9ie7CZK@*Z z31Md}^bw#*Uq;&m%Zn1jwic=rQ#YrM&<8!y^ZjPcb`_ASCylmY@M7`X*k^kEyl%%R z6BROX;{DnmY);a|{Faw0FIFkRaJxdb9z!m{V1t0GJMs5br<{cH}2Bh6e)Q& zq3(=zeU*M!BhBl|`3B&N?y)3lChrEPrXDRUO)7(*MUT!62`-nTncaTlL(dR-IopKE zK}lt%K?g|=mU*$G;&4yhIWgd?XRIi>_zVw^{6o`X*?uEhG-H^fHwA*pU=C5t`V^;L z3uwTAp|M$lmfY4&L`{OLY6UzNd>8j|5yC##@F}s5<$g|bIFE4G*RQZz2^3`7vTYUi zwg%^pBH09ki@JDj@kR&%EfC0i6}};Dk|0m()x@a-NH8nYvLrwNt_7&>6E+F*#bu zjJ|o2^PQ2TGaw^Xh&=p|_+^-36Y#lRll zD36uF(g*5#87_-0*u{PnLSsv@{WG z=Sv+xU93ACLgX;G+EhZ~?xYkGIhXYeN0?%EQ#EHS;of31Rb4K2yd~uR7-{PTmfpKn zlD06vc%-YttFdKYW?`ezVx3)puOW?3V0|m4ed23@uv@wY<%JHx=}_Te;qS~1RRH+m zyBEL$o!uk)_yM!tFM-kbRsin84k)By6ngA8?y(#>DqIRet=yZgb0u(5(W7#X7Zz)$88(}~ zX16+=dkWPNDPKghrb#!YSyRccHaZHBgRlEzrH;fK5bD1d?9IzXpIML+hY- zR*rg_vOWZHJ$a#ar_^&mQ(|j5-^jbrP6`?Ti@#!UCp%-!%wS33zqd)0k*tF}dA_*8 z!kUuE#0Atjn{_M}R$84sHW_pFSYv|G_0Cs9Eln%z4oD=cUq)ZY7gkgrWgzOOcX0aztMy1nv@F9>{`AnVlZ8sl*Q6$bB}De zJGHDvC=ol)t)>&a_+#UPogi@;KQAy|E~-fXG-#VLZ|e06{L&vgVSunsG2aiJko>2`C$B7G%pthH=O!=$vH zc`~LWvubQLLiDo)=(a#tud0(be(i9{J#Ql>Gqm=URj4FA^OpbJWSJ4rN_qAfvB5>% z#d{#tG>|5TN{LjWyJw}%_LXanlKh%_9OWHl;SNh}bd!CnBw}~5RqH6d=x!fvFqxe- z6n3Th`Mc`_ybgfToqbzgSFjf}Yo~JGE0?trU;LO`I;d%0=v{ia#k9tIvkmRlq8Eqf z=pf#PVGQzU|GS`fnO_L)FNEF^;@`oSS0=*mC%7|fW{M1I0-+c*BmS@%mXSW*FMe#p z8yA-T)@N$+RGCSM>bgG#M3so$sKY?X7@bOM=V0y9BKtt$4{{#Lc@t-DzWzm^do4@q zEKi_nH;&}Ee#nVA^_Y|9759lF*Nj2|8-Dz(6*62vEA+BAh?Fxj3mg@xtyM(w5!lH;~M3!6h>FpN#DHbu<`D0r@w6 z(HEC*-}jskfd+-HLt=jGyIwK!t!n*K_l8UiPYLekLj$Iw6lO|rmoTf{n-BftBceSw zK*p+L?J`~{55zazQhYi3R31eNBI1m5>%N_08jPL3EvR0uxZ%sRF)h(}-l?Lqql!3Q z;|cuGyu-8&Q+-b5&7rg3T@XCY{?nq~;29c1J-aF`V35k#^pl_hu5Zz(gYD z{HT!*eh*Odru|c%6X|)dgpzvPdWTd{Bs`(8W8fiA}5x(cE*9 zA`u6_u#7yrunc)~FEj>aLkHKlfHc*ClB=W8QN>Hp%4jJnTbjJ8W-=`cz5i4%e2lVL$MFi|hnxY*a$N9Gpl7PO=ru>?K3R>ax5TNPV2-zTq5 zAbe?Z?vp)fa(+H!?qI8NFaYJ*0bK{bF~)9dJ~f5-mbvSiqPGm{^{aQy%rgx4g*J7m z5(WI)&%FO-8j&<}sDkC~RI4x!?|k1>(fhr-9G-i{%;^zmXmstP;k~Mlw!^FGMBm}Dto{H;YVWawR}g}CJm%g3#YUZ{ck3~U z3PbU6iLf3y7lOH2F}UKnY7VuY+|g~-q6dY@lovzkbPXWTN^DziYwD8s>RW; zl7T|$0O_|HXlABXJKUjCT`?$Y*U_~!lWyNLwo+PhK#TH8-NX-s@BIA`+Y0R5t9zM4 zZYClnrYK32K@F1E=OJW>*|STHzT;f#bpJ5#s6y_BmAS5m0wGO}VtZifnk+L2 zZx2|I&Og&kZnl8~q;__>OK3wg&o>f*YW)daeri4@A|rbgluRVg>gIWs(5D1BJJUP3 z#vpf0mmzWSy{vJb60_CB#|yQt+PlnNkJvj6p&Ls!wsw1NID;AKiCgZ$ zLApqbbf1Z058?GEd%aia=7=1^$QB~EX?dV5X@FtF{`cY1ae{@GXh1%a}}kxFPQOP^ub>75C=I7*W4?S#Xxc>EP1$&)9q;p)|1(v z){nWUdArC0Fe6sls%3Cwk+=%FRkAxhA2On7d$~yuvElq&(fSCgw+;*)4mU?W9xjhp zlr|;L-?<+|3N?KA*3u~JXQpu_aXBjNZxNFR=+va#x+5Ql5$|_znZ<0367ny-zh=i0 zX4NI|LIXN-An(0x7b(%-z@F}>U^nVT%wu-T{uES87l&ci^T|bRUcD6B#uA#bC}~#1 z`=q}mLoV7*Q+~O8|I+^dF2&!^k6PKQcxXOZdHxY&<4hQuM>atO903axE&#o z8J?=k=|9MJWDm$(otAMVV}AwVx3EscVYdtJ&J23o<^1MIeM_7~+E}umt7l0g)E{v! z{1L{?1OJZ2&m3HB)uh`oecjXP*_YynLJF`@@(yIX2X(5?jv`-)@WPNcrGD%~mWn6B z-O!4(JX|oQZMvvx7FqI{@5V*j7p7(|2P>QY4rzfg?8D@RSHHVP=&*1e8Zaj)x->vi z7NNh45Ad>Oo(=fGaO1(%&FJF+mYR-J1tAz;cFQo`Q`2|37R`fZd`eyCv$$Uqb^Sbjwl(X5oBnSmBWW{gAA3lu`*1 zNh4Zu^3UCXp@cU`bF`3v{wn$RmMm|{$N`oNKVSE2^&g`BIlWCIO6*MAHOAAilYb`s d#{)DSP_f#k9isFwJb4Iw)Ks*TOBF2x{{@A!4Z;8b literal 0 HcmV?d00001 diff --git a/reference/wiki/Speeduino logo_sml.png b/reference/wiki/Speeduino logo_sml.png new file mode 100644 index 0000000000000000000000000000000000000000..51d2c455b2800d14c6ed133be5f573290a55b5fb GIT binary patch literal 22698 zcmZ^~1z20pwl|DB!CG8PaS4(_fZzp6i?y`Hy#Z3(3GPy?6n7|AifeH~pg_^!TC8aC zKyi8L|D1c@^WE>h`+1VRXU+P}nprbzX0J7~BegVCNQvl)FfcGkRi8b5g@J+Tb^q)| zfOr32J)Qda{)S^EuP%R|iX*-@!@W)^bd#R{qtWk@Db}jEUq@vk95_wSQQz{F99>}!_N;%)H8Xc`bCrJd=&zvvzW!ZLJIDVP zWbg9tR^7J`=xOE%6c!W${%@dn7XOWLbaS@-r)uvkfKXeg9n{{{S0 zzlU15{zrB0EA>z3DwtUT|APzx{;%ASznYNLbcWq4((G>s%G_7>|3~`|ng8S|I@mcn zLtR|{@;~`E|9{f{C;uy`i-WD(-{Rlc!(3%V{tfvbru!ufPf-NNghjZ zmvN`E)qiW=!*V#&WyJTa{i>+rATw=yyW(rbe#QN@FJVocmxk;PjKrqG=LGEP0~lLv zUWh%kT}Awh_6a*7H;4Y;4DJ&6obnw5e4f0E|3=8m*8dmO8K4&Am!fm{y7gaW-KXCF zQ>Yr_%sOK#&W&K@a)~DuKJ^M>&905$+i6Z+Lhh3P@AscwR}sn17;OG1dHg4aT!9^h zWs92#F8PiTJsGx4a8)fhS4*YuZocEjfXI8FuzgZ`SZ-HX#K=4m z*!szkZB@urTr4q-3q8U;@w>5^WWRBA|mV>$4s-P%8cTvL2n=&M)GZ&yN2)pp3 z|2m(^EM$$13})|tH7|a5=6{!hWMR{6+>}0;ZQX?eZKkHCUJZDd>f(}8Mf>*NSMxy1 zSTprrxdZ_2wb3zP^Va8$32jYv`P;>__HP&Gy|Rl7Tgu|^We$#IDw`(D?I*7XI<8;1 z#Cb2|U&Jh($7~FHv>!j-^>|7usL>6gG03#ij*Y~=62O0e&N=VqN9%mh>G5GwT824MoX6f77sqAQHBJkX-9MoPE zHQ2g}_aM4Tz8@Y-->$Q()EQ^Q0Nkca=;Uu8t4t?lm$R0oHh8bpqVShOiypRxlOl0D zd%xq1O=)*8|ECjJSzv1WE5EfQ>M;$o9SO%@Bu#GFNTfNVlQKivV8L0BzL4t#@OyKWW4UaL`kNz1xn5}hRS9<_!CdK*P&C=b^Oux(RA(x!1 z1<=x$*=Z!RmxFUc1}NuxjVs{IZ!~;s zvpdeYyU1}HJ54)Dg*|9ZHR}uBGd3hJG6XFhXP?zI+@YDy(xY^_&VS@w|Jbk;Z_$gg z*ir@;q=j6O7mKxL;M^!@s|i!#pLzpWAM_F_LTq9sHd5;*+3V^D9B`>}3FlDfPTM|6 zs%+HqV&rylQ3@TVXc&7Oq~;>o;Ud}Xpt5DZdE;TFr;#^{l;HYq#y(Nvs-}6 z4R8OgaeCzK-^oJ1<;?%O*B`X&r9>>d5<*44yJL;U!x20{wT})Hu6vJ{qkm(Be7ySv zy!fZT`j{F1b-hQ6frskKK zBNv&`R`KU3MKU|)yYpVFx%!#WE7GMy(wz`-OIEb-c<1ZxRS|5`ifjZ$&Uz?IU%cev zSwSMqyJPy8HY>3&^J{*<0KiEkWY=J==m z9oCuekz4aNd=;Uwj$uc8K-;Qc(fs=>q+oaH-f|KQ>&(SvCr`#z$WN|pat%F6odn}n zRQ%Rd(l;TS60>&_UEz8I^NXhqiBu*hN+yX{m_kfW{u%QNMUz`AY(a@i+c_6$IX^Jv z57^A&nobEVel=mGu!!~0&iDa7w;z(wTUrs7+`$Yn!#6(wE=eb zw?o91WiRt(`|akb&6_nCQ^8f)*aY|?5UfzY5Z0xf(2L8la)0w&g+6wEit}AUQ-t>~ zhNYS7Nt2s5E`KIWl za3dD3XJ3kAT^;ebd(1$>JqPg#O zRL6OgYu8iVn#1RF?S6KU4Q4FlL%U``e`34mB712+AFQx;Sp0;9M9qGJOX0S({dZ}) z|7EfNb5Sv4<<^vaHn7xl)C*8LL?K(jtXbasn@~X-4ehA#j@HZQjkQoc4VYf}Qu%2= zyftm{zz&@nq?GXem=L)&J_7|9sUCB09Mxohep^ z^P}iT1S994sRJ=B(h&3*{XDW;7=jzD*1x_*@4noZmzCF!b#`LTl2S$uc;ZNHqyx`` zfX|lnM#idg6>-g)1>Y$0TA)G`^o13O_9S6P#^FF;E zJ}ReIl{a&h>MKK?Fprk`pXhEibd_BBYDBW(K$8D?xWBbv%Wlnr-Tb%Vm+yBLx@1iy z8bx$jXId<=`;R!pt}-PCPHX3ta=K7M}4US)I1!=NwT&uYxmsL1TpO|cV_6U4}v>UPfd zkpelm8;@OBb=_Qf)$GnH;jQ+_O(`E#b=)hMGGv1T>U)o8)9d<=!1wQxzteXCSdHZ zE-UlnOnd3#PBHHUGA?8}2bH?IYu#UK) zeORY`j=Pw~A_ToASM??~wsibxk%M!SI#=hB8|t|^vUe9F4pRzdinaT{ zYf-VAW4J7hmb1eqyl6#S@zVMU}WNqQs*y$?$L?R!NG%9cfrjjt)IzLVmD zJgCJ!$FJ#4rNe(y_!0D+*xygXh`?8h`#UT}gn9Alq8m7%-O7vfO4S&Kd0&E}x+IG+ zv%vU(x3NDk1*pW8$D&Espu&j`y+Izv0m|E;@)6^hC62^Z3EANm7em#&A z$KQ%O;ldFXa|-ce0_9b4_f=@C0cSF<)PQccXgUbK`O=&HYN3IE56)4xT?}9N5L^p9 z5{P(pZJ)dsv_{)4s|`l^;oJbGN2XC-z4gEsQK8l=z7l&HH z|BsIUlbi9E737ESF9_)Ttn+5jgfqX3g<`RZU}sp4b@Dmhd~QgdWCFOxnqkx|wHbw7 z_Q^cwmH@w?QO89_2cf{;24FEMP+{~VIog`6q8+F|L%HE9`?nRc_$VQk)2i@4Tr*ca zeh~4M#N71&=beMw{R0sIS%C}{^)<9QLmqR4=Qos&uZMJA&VcgtXACkk%Q~~i{jc{? zEYy>dNZzZAk7W|qtD%`m!Z)sQZ+D!4vM_9@$lvu(9-y$5C2efP7y_gr12UgpPbDE|A8Q!V_-64BA-lw}fCIYS|;;(XOutYer z!Hxlr2$|JG1k?Q1bg+DF={yuwQ6q4Q@#6gnK#iSND~3{I<19SsPzg%OkZi8ZqM_#V7Z_1*62 ziQ}36>J*!2_cBv)TW8j(_s~Y|qIs;0=#(6YkfI)efQ_KKoc4T&TFQRwyEtj%$szik`L^<>K{v!W4*~5l10!u>wdzUldCyK-REI7UACI3 z!C;w{eUA=rjW|z-!bI4B_mayYeU4ZnXC+dI3~G5&gq~?1=^PV`Lo6!R;6paX z2?qIeI4Oac%v%=ZAtQ%o1b{1Ma|?CB?AniYY8W!$+4uhUe!9gzjmr!VY0h>upr6Bd znJ(Z3y(I5ulVj-SAu}1?zN#YkeAER^Q$Lp^@C37xWI_P>>pq+@Ut6JWQncM7E@1EJ ze`@8Q?Az&#MU$fJo>1!tos-e6qX@yfQKd!S%~-^#bA`i&Jjs|c1(Xbe@fP@Dq9^(A zi!1BWUofgn{NU&+2Y&rUN>10K{8j{}pbBA?OkbSCE;{WMjml6I7JNt#>tu3j=pnZJ{OM)oz#0R zw|H!LXRfH+ljXhNls0nxWEASds3#evy=r;XR8D$`40WMSO6nlT*jcRnUa@e9kshi= z*ZqB9t2J%I!*A1Ls`JY>i&v~N$ilLRgpaS=45Xj%N)NSKi#Y~>6kgv=G|DKkFaUWyR^p{izWm3#ori7)=7`4 z1Tpj-+cNU8_U>p}Xh4?qX?5IQ2+N0=Xrr%v;iy&dg2-bxOC3Wer6`m3Itmwiwfh*t z-7%rm!gulIkHvXk29X{zOT9ArA-1;?){-W`;#k}#8Ki|VyhJF%;nB>L%o*i~S9SS5 z%H1p%bmjT4w0|L%64iA>$QMg4z7T$XlfRco8c)U;oqUZNW;w~lU$2!W|1-pe0?%{wugA1F{?!`>cJosi;z=;jRJEGp4=d|7T;SnIIJx1UNj)#WJBX&0lJTBO>tZW_F zb69ULdpfr0^gb-~L{>vSgY_qEV{#zhiSQo}-eC=u5tzc&FKd07&%vB_QUb?kKVJ~r zXm{KPr!x0w=>strzO}9kYzHd;913PY@{9_xx8Gjw95cJ%r0-sA=Hyg;pH?9|O6lc^ z$C;;xZuSml9xu;wNa1NB?qtP)j z{ymM!P09PSZvcZ&1Lp7OG-6-M);e!L9}ooZ0FtT>S!N_Eaxwapc8JPmtAq1XCejV% zuo@|PdM)8%UhzN0<)|QkR(t+TF7~bU%*%jQvPbiLPSF+Jp@%~*;AVoDewbMge8TRw z9yLst_hY1tEmqWiDakV^vUZDKbc=vyL@oHOY(ZXxXozi598=JaX)w+8EkI)Jqn_;t z>ygr2+e`{%G*+|u$G+=(`~NlP++SKDas`NS9m$qX6Q^I5qz@qW>&N$1l(mu(K71@W zptDMCr8aNngk`e&L8A)51;rVm%@odkw-l3SfG`@1RvdH zO^DfZRYs=*bhD_jxv%{R9gu_cWK_t3L+xC-EuUxHU9l-6@6EXJ^{C(KJoO%(%;5(X zxWs&K`z4a5-7#>?cM6Mqqb&rN+4S_rhoz`S{pigjx{Fi2Dy-FvXgxWcAhliIs+g^$ zN+0N$wZ%u%9N1xTrt@=Tv{KaW0s`B8_G0xHPHoQ3Y)+Q+)$B-i)_q8>7Nw@IoDr*- z)q{fwX$H zznBZHrG_9at4{oOpBVO7=BXxGPyKwMl8H{Kf&n>pQH@j5DF^-DXkjLJ~agNsr=?HwhK}>QzJhC39Y^f?TU_qW1&5&^Aj(rzz;y9AQEmG4m>(mE`j`T4d5E zD81bXrZ@ZaIybGF>f$e}xHS{Txhqm7gIMjwaf(XR>IwO$CIfvC7QY`NrDQpW`#~(j z9N8=krMkPz+!pQ^PSmci-P@zWlExpYJ(Il|m(9|)^|@43w1<6Kr2OW&bbI5$k$ff( z{MA$fcVf+lxC*QSQ+QTh7^6RBUVf@5Weobo^amkkTU2ZWj>P8rvvLNKNO;zmN-0F> z*uAHp+P@rl(5-C@SD_yhd9vT7D%nptr)?P?-hD(|E>b+3V(6?oB?@KLGTsW28CEp^ zy4Ra6p%7dmdO?`Fs2gozbNAQR+ccNm%=8#dp!*;@9`aLiKF%s0Bf}kIf9uQ8s0vF~ zQ@38gR@=i5G?)SbfT8<$XLHlWO-|_PIFK%Mh>Tx+Kc48DCH%2 z?DhQH0C^vsWg9p@qIXWkPh#ZS2&U4D`_Y$@u{szgTC^Ok|1!QUpLZjf`|10|mG$aC z<(0hIkAk-O@ED>WrE`-5CbwvLQIdeJdY7J)4Aupot@C5w88NE3sPCxUo=Cj8hp5!T zX>@!Xiz_kTf`+JfN|7leapRiyFUj zMt?v!9$Q&p#em1xl*Q>&+S%wtHgdg`mG)#}>p|URo$?<^K2gU;ctdO)BJ-G0w}|@v z0m(>>EIl=YjT{d$p#LhD-y<{a#Twr#@QbZrz5`@M)&)#wZF6%lAWebb?xo}E^)V^5 zAoE(GssU1{PT8G9#(t~D66}twtJov^8&6l*<^vwJ*TyIqz_MK|vc?z zsW$E{jk0F2d|IvUOw;%?j_=Z$uL-hiP#In*Whs_6R|oamkC{%4R2`O7&P$YO4K~MY z9?rf9Tp7V335kObT72S6)E;;tZO~htD%+uQaj1_P!=W4e~XeQ6e(-aAcJhRO96LFx+0--PRx zC$lte{`^YpP`{^n7h>Qc2*Add(RYVV#H%n%SRlAuJ8ONwk{?sjSk|dDeBUI;7$rRG zX1{GFbqVxMVx#@I>h=tu-73-9~b=I5`Mpf$YAT&N~T=Ml&t;`XScDNqAH2f z{HdA&xY0KSD0%BC3ncZ-&*L&~?@~paS{W}=R8>+vGr@N<9cMSy6k{3L==@{Hy(LH! ztlu0G4+N#w{isg!WEOXjSTr4vs%#7v{-R3#K!;AgZCJ#!4eCs z_+`zP;Bsox{!3=4dam89fh_?ERaD!*#3@4@(_*X1*{ z$`tgby>_^&D;&7rWz5zt>2MKk@Q4lc zYj8k%k0zTkAkh1f4QE-QT+0z$bhEO;=A1}vz@UPCB6oK_G09J*#j1^HR)HnR()Pwy z1IhHMS(wZ-d@g1^D$Tgr02}|R9d6G0>;kFGH_h|@(i4wt{m6T!4w~J91vbLo)=$~( z7nKzJXb&gY-~_1g$m`nZY_#9vTHqDiB0nfi4@SK$Eq?J@!G@32WV?GiqIp(OkMDSlQ+?quOz5{Rytwmy*xr@}ltkPX>EkB~9CbR!wOuRihsug-vpzEDd=p@tqqsm-Zi6iRqiu)hO`@H~B7AZoL?u_0$jt7vd7a*uJT;#+ zKRYApIVpr_Hq82?#QPfF;SZuFuh_^PFqkoEaq8!MB4n4YTEE)7w)HArexfLc9U^$B zggpQrE|80gAR6Ah9C2QbmydWpa^3x_Byb9mYy;8^+HU%0XnSgw|0Wea#t`H&XpSnF zF?334SqS~&1{XD_@@HhCK<59k52OZ}gSf=Jl<=QzakOQ~rRYrtOdt`F%Fj*lI&Fg* zm7G>P1FcBYsobDd!`8*tHok%Ga{5*bo>y}CvR3a0pN>9L6;GByV>9fflKGKOJjnXq z?WNRkCew&u?Pi_m)>o`;Xh_ah?bf!RdzPB$?835rX-x%ShS{ED0csiNtO;sut!&$a zV#x;s-dh=Enka1rX8}t){!wmJG2=~(U}dX$!>_c9WyK6Gl*ZPJ>Z!@y+1lbgsVEc< zX(TM@IIMHjJcV}R-@iy-%orp_h>tc-ZbBa-n{Bz!cmSJW67&x|^( zU(+pZ`tw7Se+zoDVTdk%FS34|A($f+wmWeQl8-bkn99Jid%_F$e{W!w4KGp^H{2A^ zKw1Pr<}geH2?iLkoT$fF*LZeVP{d31OgrUu&of6=wQcap=IFrr+V#Y?{I4ksoC3|u z4Y(Arzd;6L5gy$XDkpP>-+Pl?$7-0dj1U1Dt1~`foUPoxXibBPn-Dje<<$e0ATL3~ zxrnIYL3aU`!vN7bo{BY#Ht^SQMlqP>xM1e*jQ!{cTor2O%_I}!SJUAvex73+(G-R= zb4RGsl~f>JwjQ@w+DOB*i`_dKBiGTeR=R3Lj@v3HmsxPQmxs}?x_=Syr4|c6Rzi3^ z$xg79fA>rGY`ql5nv0YwnkK7)Su_SV*d!zfEM5I^JqbpN3;_>svg%e-@`w(Uh^o=V zYB#`3SC9-YNqE%15&1e~XYQ;EV8WvE75alFc2^Q9GDq8|M#d~g&?2Y9@j~bL@0;lj z6;@7?xsn*cZQKj*tN3o#r0xahMD>-wztmnO0}uZLjR@Q8{7#@RHIC zlT7$`C<`u4&2w#|6ptkM3hp-DgXa=T_u=dI86jmMe-^u_9*2@`&WOkw(DwDn1D5-c zWk5UP*`{; zJsgc1VJMlW#n#x0N_*2@V4V9fGyN-=CSuzX)E|S99D!*?!l=F*GQ5x)hEPQfB6&U* z7(C!|r4o{_f_=!H4fS48v9d2lt!_wPz{BENU9B! zKH0_M@ewxd3AD6Ev`)|nc~d?klQzoZ7vBU@ta<2M7Or9~Cx>$DBKMtD3i#K7yro9Z zm_*x1IuopxF|f;%uaVls7eAM7es)(f!oRZFjt0OXmA``n>5hW`-E^<ExUuV)L_LEL8!!&`$8JkVQi;&VIH`pxah~1g)p;G=K z4p%wHSz7QkHs*(t;ODEqNGz5o2Sv$P1UiXvQm2hPjK)F{U71vZNipNP+Z;LYJYpA0 z1?G;OD)}NFV#7lmPoFx>B}>WL3(o`Jl%@a=fVHdRxmtKNRZ^X&=4P>|lNk9pd?k3m zl+g+&v<-~^+P6tHpZs&e>QCVis#XHq5Z-ka3^!aS5f1+i(VR49=}cS&KZx`)MK9H{ z{DYwN?Vu+>fDFYl_BE@}o`;v;vs8Nm4#2z!XC&BFur1oUw7`sfm3f>FMENBc9w?F$ zcp$oe24d_)Wx)fpq|LSW>$&Q!#lL;=V5C8@!A@FkCxF=P{$#_*$RiAM^u8sN*c1)^lHKS1Yg%C zd|GBa1T26ZHGmikd4)83V70WJ|3t$YJI*o@?I*g~6A>=SE1eyn>D#P(aesR4rmcwW zcTlJ)+WPCtqE~l;V%2cB#Ks2)h|DiU*JBKIWZ^!cuVYM()hiJr7U?PP?JhFuDSxHS%x+|#$hcYKe*iC{j=XKc7hWI3V}TzRN^%&;iuc}U(JPxj)L zuq$+?CYmjCUM#pN+SIF3_#;P5IxMecr}!e0eQK!X@sHqHS|=Um$6#dyoykdFfUg)Y zFQ4+7T5TWod#4cUM0jAH(W|gIPsrsoo@f!GXi_6anpyusA|hn_iyH?Or)#J?xNH4G zrHX14HiZwtv0P6VgU;@EF2+jRd4S1^T3k8(HS+257>WWg<8m~yb;*{xhI{>}ufu2N z%E~a6W9|S~Yl@QV{9Jy3Tw@q&W=bNukZX_&c2Yh0V!0elg)1lZWQPG~{D1>K@g0)K z!*!r*MG#ZAo}WeAsU+kJC-XZ8NTYClCperM@der6mAQ0$dCDY!ogzjl`k?^#d*|h= zXSMtCMoA$gr6=I+Uk z7M4jW9s$9@Nb|hb?-nu@woiARwd`!(T{_3~pPg3W?-$>IDJtpWq> zAFc%Mk8VUYyuh6cXgau@9fkZ-vK->e-3Iq!HNKTRdrgqlRGK#&Jm76siz0&1*Vbt> z@6+uOx`OK7S^_iGV}aE$y)x(OP#*%}#T9JE@wGu<2ioi)$4O8(3Ce!=NdE~|3S{LX z5hY*{8RzY!?=TeweOYw@as7i)0cX<6td30p^&6O$?sZoK1niy+S!92IX;Zq)ZIxiu z@?rhWbcKEW^ibpLuqF%YUTdpao0NW;@);2WK@a_TW$P=Vg@c>L1G^C%5fk5s03=?v zF8);BUXKqtjcjY1@I72D8EHs~GqO`MG?P(>aH}O&R!F(`QK|vU2Mj|4 zDe;&u$(}zxyWXYg=NsSuOjAGtsL*4kjf-AKDjpx8P$P(w=N z)cslis*vrBIUwAtAlfM0Dvc&^L*;#@ofbYI*YhcbT1Q|+EWx<>R~*^BQ2FAJ0Vh(N zarXe#>~m;+O$>K5tsLR8r;2y9+vkGsn7!@4oSL36L0QDfpcEd{P6XB$aXxG8RAfZt z>u+SNk^D!V2OchkkHZ&MnM-Z9)<7QAsSy{jVt#^KkOO-2t9Uv&N!A! zI`#ptC|%m5k}ZHGCc%S5te_B!B4l-)N!AwC+!(I|SxD(T7jy~4qDdgIcWiVN7B#J6 zxMVBaO7)e`%w|#u|Kv|%i}KF_SKefqC3HW&U%$0oSKL?X_n(jBdLmw^tTGP~kiuqJ zV=EFk11sBT=n0B|2Q5?2$_(0d#a{Q|%pF-}j}=mS?UD_WsE^0D`4-O$1id|uoO?1S zW*3CQA2`>w*6`aA+-4ogU2^kFxByc!G!OV$u{gOrgsqouFkce<+4WNK%(Ao2StYOv znL_dyd%c5T$g&(==MgRS=>3P6f}EP}EVVZ^Gtr9d^C2yEWB=cNapNn$2K- zK3OvXkc{5k~%7DF@Fw8UL)eosoZ46@0bgWpC=XjzDgh>CjnNQIg3VP2Lt-gH&f z**K7Jd8La^v74)2zLZBrUy0xk(JG0!-8?t+8+ReMtY-bfESGp_$M z*2^I|GvU3*9i-VJuIk4}cx+4?Bp|O4EHU*~eA<$g(9WKHm+3dmpCe(;)tz#|OivFU zZ#~>^wV{z&?cO$r!oGe!p5s1x%vwfi)g}=xBKSF;Q(oFX`NaqjH+S!){Al63)NfOA zKWw~tHA{Bc@}u+&O@OzT+3 zLd;%{Se*QYxq|eWjReQmrHN7Rv+{Y_@ou_XFlxy z&_?oFH=sB4g&MhqOusxA-`)ff-nLsPqg|p#kU$O}Q{})QhVPi}*iSbgnNemPBvCPj zhBQ^F^$jj;{54dfa~s4+_9zG@9%TU5aGCtrxqU+$Qx^xg_@ehLb*ga0m$+@Lcr!7J z@p4Twh0l~lx`#L=F!NV(;O3)mLAKdp?`NCar;1bwTiUj}jZ6v)vwfvlW79CP$ANlz zA$=zE&u5KhF?)f^$-yljk4t z*O8LYy-VTLM+sXa^;!OeH7ZcOlH700GaKBw>nyRvGsex#F%`XmG%6m1b|Tc$tclYFx3q>cH(&+6;58}w0_^q;oc>KU z%JN#9OFlKtP3qj~#&>AJpw|aM~%xEU%&0JV!u0b37N} z_+Cf#ItUK-azlgEN}^=iz>wjpXJ-jM~iN1#Zt_SV3nFChzI zLrtQ2(jZ}LDC0@!X&MV4;`sA`?M1fF?NpoLA*N(LwwQ3zP^Ote|NS}6+!61F?(m*A z5x+nxh_J8bdFGzHUcV&$Wu}3p{<5Y_?;|6sqQJo)r*KC~^XC~l4bzGSu3vnZk5%;1 z7(l@Cd!zy9w>5*fWq`*7#DIJEbg1qAtS-)rY>`7oB#*42yw8lSK{mo0tJi`5xrt@8 ztS3`#3{UG&3sNKH(Lh|sqfjPPF+3Qj++C-A|rosd+$ zwPW(TLg5FWIj1_%w1ihVcH(#iyV}A59ENi=zT;;r>Ip}_{u7F+mgpzG`G7==+D;L4 z-Y42+biR!6_y8JP){-?cC|}VWV?YcxYd2Cy0oQBT{3M1(`GHrln#2#(Dn$;84uVlh zxXN#RotSWg5v2L)VlF<2pP&bWiw~GK4kY;uy_vIV)Yu4%Xi7$a-m?Rrj8%-bJX}5K z-|HV&tn#Ye@B2L^j!{mY8<>IZe|G&c38!S!e+%llhW$3xA34cpjfq<28IP)6%)~af z67ZQY&sNq)h6t{#{tPjB0x_KT9>l4FzfFk4Zl5#CaJ%0;Gou%c(|-?Z(3>cG?7O}y z2s*O3!=4^E^*bG|gVHsnh)K7l8co=Rh{ayn+(+L($gV6);2BSu1q8!FHib2agQ(uN z!6-bFNvUvIG*;3)t#lh-5A*SfiW)}#u#wG`F?wti@)jmox!MaN1W@-HvnZNhZo8d9B z8+6WRW{rgi6G;u3Q*83+Z|Q3ib_YE}63S_EY=0Yv@8U2E6b_geJy>x|tI=%<82%M2 zRU!RZk9o?m-^y&Pec{PoZV{aPn0C*DJEC-8ZweiIQS-_1cc>+P5A^&1V~H+Kid3kOTA*rX?Q~?e0Qn{Rt8cU3}ps6WApdEYVx{1d!9>j>68rW_tm; zDSvNN!#{$$d5+sA^<%dR%GOrA`p_H%2vSSbO69_iVQ5ZY3JjZlDuJqk6JDqEhPU01 zi=q+c^moDGZ}}{@qHS0Bz3a;c?Nis~_-dICQ(`O@S7#obf2ZRN?%wPXbNoDdn9uxk z8G5PYI00L)ZIP#NUjAj(tHjRqONou&ae2F-m%P;lfh9QNt~(`8-Vh?dy%>pf8@*a68{!V%#gl!PrzucQ9vQe)QX!Y~tM?9qloJ?jXlrA^8l8CJ_^Jc}j zILcMMcw{0ny<>{VbV9bAvDEmOcVNn+119fardL4F@}4>tU>2Gf%As-aP)yuSSj&^# zaPjP6u3DG9!eI$V()o;DxH}3zrF{19X_gHSF${kE@`|hkUyuO3L;f$RCJv4Lhx!Bi zh~0dpcHvN*IAplD6pGh_G1=z;-B0PySfBCug^8-H6Z(UvC7xPZTDzk7T!FS%wr~sg znv?f9&Ko56iucHBUa{ergx!E(<{0Nj8siIninrM{zd!Sx@TkpXD$;%)@MylB6%5P| z`0etr-q3K}#_sd$3-bo8qJeM9fnPDeyKGs;B3Sz9*w;nrtI-Uxo69*tiK4>-0>TfA&jl)yR@E{bE%| zmQ1reaAnN3qCbvqdVbY%nvR*KDjYE&TFLv8e}QsvI=t(we!yLiWqGuq$5zEfi$=}T z_5O3a_2>hD993`zF^b!*fx*%xedF?+&2Am(2@`A>G|mzpyxO&<`B@qpeA48#h94oG4v<b<7iOa>r8YR6dr|cj%FP z@jy!0&%X&7=H|jmvDN`>Q%~8|A0%dA*rDVi0ApHHsCNmPbP|X7H*96-7wUOOb?YEv zaObb^w2S6Xe-KIJSpGbjqq(A$t+>f&jZ@zx0WU9Jx;eed3E6Tb%`wT(#IYs`Ov|~8 z`d;d4@@wE}2&zn!sp35j8trZ6Q%H2yK)aA}ZmQNSgf^ycWFaabK1dHcRYWiWJn^jA z1#zBJrNgL@&4exPemp!O3S-Y(y6Q!zLPQuKf%~aUk7L*v(d^6B;g5Gbne7@VWG4V7 zYfSrosNV273-bO`&C3coh<(hI)E~@Dl$a>ohqc&^ZZCKgcUnx5Wi86asnt1I6vqag z1!2Q6pxKo6K6MS8CAXqeWGx`#5tio?8UhVhsk3vgkZ@8|OhNrLrtV5U6&X_0Mk^ibyQv9I5 zBG7Sr*?QVT#FV_r{x#XgM(zCP9|wI~SWIx#E83DtjEJtXKo5fA9^r>mYY3?^-2W4T z6@BV66E^wOm~ajBFfnN@3oGt=Yc?>QqC2%VZJB?w_rk=R2b|0%qCu?VnXaL{U-aix zUOdn%A8J!o2^)>9d|u?f%bP%)EQSNic2L4~?WTcEuG}v?PhQjVNw>ht}`c=CgANf)A@b_Lfl@}+bDohiht+sf(V2{Pg6gf`nOw(JOUDDPq zFy%AvV-Rm*<4k_YxeFh0;-qf)5r6@I*x_0RKFZE?o*k~h4grv_#aX6ZSKteW z@>16)pL}v^=)@1frf*X3%#h_3>3QaioiHz~tGf#aas)If$-{leb1(+4PZ53R>4fE zFThu<4RNSj(qaC-^jj6bl%SVbr%|ERG@(hijj$%x!qU*F?7oU7o?wGzI>wX-LBh0| zXDW`V9|D*u5rc@K&Tsrqz4q~8E9u=0I4OhWu&rzr&%`5q+9K0`226w`g8(KJeh4<& zWT_mGpRg>QHGb(>^tviIi{jmi^mSPn#HbSnKI8<0BlUr-Kt7->lyU-^lwr%zha8Ia znauOcvt_c4Auwv8(S_Ia=I=@~CeHjNAL@LjspHFM)AYgdeVJ$3`>n+Wi7&t8$cfh7 z6<`V)4vVz0i*cRrd{=totVo`1G+yg8%T`(q$LH<1mgU_S`1dtF%Cs!bW%I3?j|v9c zp;z%pr&rVaezRBM?i4sfbgYWjAsoCbd2D@n<$Xy9_howCFB@WgD6^u(GI(?a@JS0t zT5oq)*hnk!;U<+fc}6uf`mR7)r?GKxP;PAKV@y|m;$WDSL`C^CzAxVtKXG6yIAFW8 z9eOo>D{xLQ=W{^*TOVAFd8!p4wK9g;?Oc*Wb6<`QCeWJBdbqP#OJd|=Rd`)*+usn;?omyKD&$!|V6zveW3q*r%`k5AAT9J# zNoeiZ+G6)(f`|i_^2*7UOyS_eHE+HqH?NR?3KIt=4($INC}rCvmt4}sSv9G&A~(8% zLY@s$eB_-CbA+8B_eKkPMl}lVF`sM%C>c=~9PO8l3T`any#lsQyX>?07iA>rq5r8* zeOmL1SG>H5Q|p@d#0vQpao$H?xa_jan)}@6KKYv6cmxKA>#zTCbIaJgb@n-DH`l)P z+UATi&d6PO3=n;E^~ER9j=xp&tpmjrHF03#K*51h3#act_`wfOzj=f>{a4vjWXU+p zHkfr-mt+_BPU*X&cE(C9&i@>Sg|?0$?{llP@E&9q-`{K=_qfNUb_|mi6?DSuySib^ ztAi5h#bp_|@}5x{jhs<8PTWh-lnof>A(9Hmd#F4En|Nj^V^UWW8llv-o~ z!Ty{N+xZs-9Th^rwBNquM7AgZl6vkh1X`Phk6-hNwatVWWixvS+Sv&w^q)LAhPDu} zEp21ck4aOOw=ey}9`^8AG@|?jVoV8V@P!X{aH?KR6K8y6f)5(-;cGr%3>?z%8MH^l zhp_p$Lkv`TVqpwic_QLxKK+?|hCgjw-198YHpBNR@5F)K&Vf(>v%h)vKmBfPZ7olz z?CZ;AJg1fN?B^@#hdU+AHQfZEIVy2BH(Pn}IN`7!d`ia#HViOQGAiPo!onug8caKA z(`dJ7x-5EUeoj$4}1_2X-R|Z141Uempay_kcwD zKPSgxQ)f1hlL@Eg5}3gwm(bAX1>_6q`Bnjr9dWF5ns&ig%B*>Cw(|X48-T>}Emeg4 z&_O0JFpynnT{7z|n+f+Rh+Fil{+P?kWHfeuc8h z(FAzPVOp+3oMWH;4FTVGJbIZ2yx`&hGX#BnR6d0~xaqe$CIpd#!Rg24#rHm=a5+VZ zLM(g@0hS+X2*IA$5OSkoV7B0Mbx~3IeM>$z0u5u#CCkvdRe5UuMT2Okhwvi=dX_5$ z|BN4WkcOXm`BYm?-`(zZc)Z{Ep@ll#^t^bxop`qUcosA7{CJ46C{88q{7^|>-P;%A zt7+L6`AlO7_(6HPT`%Wq^ijwROk)UWpF!+8b4b1z`GlU{Q5By@rM?7|B!%y_h2wcoM0c2hceMVa7NxO^r*m=*A@EURg!>u1~P#ES!$ z7iHh5@9TEqd-_$Fl=Fk|F1+&Y%rnoNg~>E!l=5;=sBXi{xr?z;kaono_S$Qk!wx%) zs0_GSVmoGW5p9u?5^a>x(qEKm)JQ2zufgoJ*<(+8*0Y`!XURM{7I9B*p7?|(Hn+dS z?elOUKF*6xnzZ0M&;lR8V8%n)nHAwudh?s#BJKRxA}0<0Kx+|Gd6(e;@`In21g=^b z=vS;2@}7M&tW_FtDnX0KNrgPfI@0aLFv@fT@f3n@F0$~)_ZeUM(wBy*;F>Kh6T*tX z00RG5%)RN&Zkop)fk38AQLLSbH67(cgFyRu(DE82YJ~V|stJkbhbJ!U! zj|d*LY&I7ls1d+=kJQ>dLTiXYc;2u{i&2%J=ITA#ECJf2FC%vFAHrLYBKX1}#s(_l z*?ElT5!wV^GL(;ac1WxA0$ zTwxlat6*rJ{50Wk4?a!R^JvHKLEM&)=M z0Wxeai8Z(_e}-PlMB`wg2HG2B>J2PQo*}eZNHv&;XI!)xEQbP(3}H{W>Z+@nV~#l{ zc}{~Z1N>l+t?OwX&r2B7{ltOY#DTgmP&VTHX|BORgnI;dIXINOpl_S6jNhsE3k!M+ zQVQ>VRbVzVupxmJZZ!SaIhy34`62*Qu|4kbg4gcUJ2Q4^K|ilJs}`U#moxAY&}hc5dey6%tFOK~ z&z6}-Pw)GvyBi&RgUe_+P}J!Wo(fe4iLSJ4WP-jp${<}q5zOQvFS8p0Q$MDGOcU9V z0UY88oRfoD2Gb6v8CF7?se^daNk2Fb zj)CCTx4u>Lp~!<32AduOO&K`Nl5gXprK5eHbka%X>%n^kvbblTiE{2e49zlo6;SuO3*{RLXm5!)h;Ud_;?8C?Ue3PHw%xRPk$0~r3Fpg`^!tVXLq#i^03T9}A{WfBMri`D?FyZFAv;7lwDxCz{)Y zt^bps{8YQ3tY;4{rSA@N3ht#Ze({SFF6YazI**W#;~APaz3EN)(R55P;B{63t>sTz zEsHc8&-0jj^G(-@0}}@(4h(T%ztiZ43N!W{LKs1cfJU>&Z3+(+Z2t)7cpdL=fTqC4 zc5ZmX8{UwoMzXJf_i>bP^Xz9oJ3kOk8sVFMx=tLJI52TwJ2`Mb3i_JiV+1p4_@SAz zZ{YC54`1gddHLm+H^(1;d@im!+oLk~T)^~&jkF~v_Dm^d(TU^_T)zzh2AVETk};=sg#i39tR1EY^X*q21@54bet zNb6*-krUH(;=sg#oyLJlqu*&YGSM?}VB)~UffaLLzjLo#arq~369*;^OdQzt9GC?C zuCL;$fD;EM4jkwlm<0WS-U6obPaK#yu Date: Tue, 14 Mar 2017 17:25:59 +1100 Subject: [PATCH 05/15] Complete closed loop stepper idle (Needs testing) --- speeduino/idle.h | 8 ++++++++ speeduino/idle.ino | 24 ++++++++++++++++-------- speeduino/speeduino.ino | 2 +- 3 files changed, 25 insertions(+), 9 deletions(-) diff --git a/speeduino/idle.h b/speeduino/idle.h index d5871b98..41f3bdf1 100644 --- a/speeduino/idle.h +++ b/speeduino/idle.h @@ -4,6 +4,13 @@ #include "globals.h" #include "table.h" +#define IAC_ALGORITHM_NONE 0 +#define IAC_ALGORITHM_ONOFF 1 +#define IAC_ALGORITHM_PWM_OL 2 +#define IAC_ALGORITHM_PWM_CL 3 +#define IAC_ALGORITHM_STEP_OL 4 +#define IAC_ALGORITHM_STEP_CL 5 + #define STEPPER_FORWARD 0 #define STEPPER_BACKWARD 1 #define IDLE_TABLE_SIZE 10 @@ -57,6 +64,7 @@ volatile unsigned int idle_pwm_cur_value; long idle_pid_target_value; long idle_pwm_target_value; long idle_cl_target_rpm; +byte idleCounter; //Used for tracking the number of calls to the idle control function void initialiseIdle(); static inline void disableIdle(); diff --git a/speeduino/idle.ino b/speeduino/idle.ino index b8081ca8..191678b4 100644 --- a/speeduino/idle.ino +++ b/speeduino/idle.ino @@ -132,6 +132,8 @@ void initialiseIdle() idlePID.SetOutputLimits(percentage(configPage1.iacCLminDuty, idle_pwm_max_count), percentage(configPage1.iacCLmaxDuty, idle_pwm_max_count)); idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); idlePID.SetMode(AUTOMATIC); //Turn PID on + + idleCounter = 0; break; case 4: @@ -164,6 +166,7 @@ void initialiseIdle() iacStepTime = configPage4.iacStepTime * 1000; completedHomeSteps = 0; + idleCounter = 0; idleStepper.stepperStatus = SOFF; idlePID.SetOutputLimits(0, (configPage4.iacStepHome * 3)); //Maximum number of steps probably needs its own setting @@ -180,10 +183,10 @@ void idleControl() switch(configPage4.iacAlgorithm) { - case 0: //Case 0 is no idle control ('None') + case IAC_ALGORITHM_NONE: //Case 0 is no idle control ('None') break; - case 1: //Case 1 is on/off idle control + case IAC_ALGORITHM_ONOFF: //Case 1 is on/off idle control if ( (currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET) < configPage4.iacFastTemp) //All temps are offset by 40 degrees { digitalWrite(pinIdle1, HIGH); @@ -192,7 +195,7 @@ void idleControl() else if (idleOn) { digitalWrite(pinIdle1, LOW); idleOn = false; } break; - case 2: //Case 2 is PWM open loop + case IAC_ALGORITHM_PWM_OL: //Case 2 is PWM open loop //Check for cranking pulsewidth if( BIT_CHECK(currentStatus.engine, BIT_ENGINE_CRANK) ) { @@ -212,19 +215,21 @@ void idleControl() } break; - case 3: //Case 3 is PWM closed loop + case IAC_ALGORITHM_PWM_CL: //Case 3 is PWM closed loop //No cranking specific value for closed loop (yet?) idle_cl_target_rpm = table2D_getValue(&iacClosedLoopTable, currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET) * 10; //All temps are offset by 40 degrees - //idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); + if( (idleCounter & 31) == 1) { idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); } //This only needs to be run very infrequently, once every 32 calls to idleControl(). This is approx. once per second idlePID.Compute(); idle_pwm_target_value = idle_pid_target_value; if( idle_pwm_target_value == 0 ) { disableIdle(); } else{ enableIdle(); } //Turn on the C compare unit (ie turn on the interrupt) //idle_pwm_target_value = 104; + + idleCounter++; break; - case 4: //Case 4 is open loop stepper control + case IAC_ALGORITHM_STEP_OL: //Case 4 is open loop stepper control //First thing to check is whether there is currently a step going on and if so, whether it needs to be turned off if( checkForStepping() ) { return; } //If this is true it means there's either a step taking place or if( !isStepperHomed() ) { return; } //Check whether homing is completed yet. @@ -248,16 +253,19 @@ void idleControl() } break; - case 5://Case 5 is closed loop stepper control + case IAC_ALGORITHM_STEP_CL://Case 5 is closed loop stepper control //First thing to check is whether there is currently a step going on and if so, whether it needs to be turned off if( checkForStepping() ) { return; } //If this is true it means there's either a step taking place or if( !isStepperHomed() ) { return; } //Check whether homing is completed yet. + if( (idleCounter & 31) == 1) { idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); } //This only needs to be run very infrequently, once every 32 calls to idleControl(). This is approx. once per second + idle_cl_target_rpm = table2D_getValue(&iacClosedLoopTable, currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET) * 10; //All temps are offset by 40 degrees idlePID.Compute(); - idleStepper.targetIdleStep = idle_pid_target_value; + idleStepper.targetIdleStep = (idle_pid_target_value >> 7); //Target is scalled down by 128 to bring it inline with most stepper motors range. Allows a sane range of around 300 steps (Maximum RPM error of 600, P=64) doStep(); + idleCounter++; break; } } diff --git a/speeduino/speeduino.ino b/speeduino/speeduino.ino index fe25f5ca..d7ff690e 100644 --- a/speeduino/speeduino.ino +++ b/speeduino/speeduino.ino @@ -915,7 +915,7 @@ void loop() vvtControl(); idleControl(); //Perform any idle related actions. Even at higher frequencies, running 4x per second is sufficient. } - if(configPage4.iacAlgorithm == 4) { idleControl(); } //Run idlecontrol every loop for stepper idle. + if(configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_OL || configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_CL) { idleControl(); } //Run idlecontrol every loop for stepper idle. //Always check for sync //Main loop runs within this clause From c28547dc3a1235711ba8c06193ee0bc46f1d7ed1 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Thu, 16 Mar 2017 18:17:12 +1100 Subject: [PATCH 06/15] Add a bunch more values to the log output (Including boost duty) --- reference/speeduino.ini | 38 ++++++++++++++++++++++---------------- speeduino/auxiliaries.ino | 3 ++- speeduino/comms.h | 2 +- speeduino/comms.ino | 1 + speeduino/globals.h | 1 + 5 files changed, 27 insertions(+), 18 deletions(-) diff --git a/reference/speeduino.ini b/reference/speeduino.ini index 6d1ddc64..aeed15ca 100644 --- a/reference/speeduino.ini +++ b/reference/speeduino.ini @@ -1710,7 +1710,7 @@ menuDialog = main ochGetCommand = "A" - ochBlockSize = 38 + ochBlockSize = 39 secl = scalar, U08, 0, "sec", 1.000, 0.000 squirt = scalar, U08, 1, "bits", 1.000, 0.000 @@ -1773,6 +1773,7 @@ menuDialog = main errorNum = bits, U08, 36, [0:1] currentError = bits, U08, 36, [2:7] boostTarget = scalar, U08, 37, "kPa", 2.000, 0.000 + boostDuty = scalar, U08, 38, "%", 1.000, 0.000 ; Computed output channels. See "megatuneExamples.ini" for all the ; pre-defined variables, search for "???" and you'll see them. @@ -1864,25 +1865,30 @@ menuDialog = main entry = spark, "Spark", int, "%d" entry = egoCorrection, "Gego", int, "%d" entry = airCorrection, "Gair", int, "%d" + entry = batCorrection, "Gbattery", int, "%d" entry = warmupEnrich, "Gwarm", int, "%d" ;entry = baroCorrection, "Gbaro", int, "%d" - entry = gammaEnrich, "Gammae", int, "%d" - entry = accelEnrich, "TPSacc", int, "%d" - entry = veCurr, "VE", int, "%d" - entry = pulseWidth, "PW", float, "%.1f" - entry = afrTarget, "AFR Target", float, "%.3f" - entry = pulseWidth, "PW2", float, "%.1f" - entry = dutyCycle, "DutyCycle1", float, "%.1f" - entry = dutyCycle, "DutyCycle2", float, "%.1f" - entry = TPSdot, "TPS DOT", int, "%d" - entry = advance, "Ignition Advance", int,"%d" - entry = dwell, "Dwell", int, "%d" - entry = batteryVoltage, "Battery V", float, "%.1f" - entry = rpmDOT, "rpm/s", int, "%d" - entry = flex, "%", int, "%d" + entry = gammaEnrich, "Gammae", int, "%d" + entry = accelEnrich, "TPSacc", int, "%d" + entry = veCurr, "VE", int, "%d" + entry = pulseWidth, "PW", float, "%.1f" + entry = afrTarget, "AFR Target", float, "%.3f" + entry = pulseWidth, "PW2", float, "%.1f" + entry = dutyCycle, "DutyCycle1", float, "%.1f" + entry = dutyCycle, "DutyCycle2", float, "%.1f" + entry = TPSdot, "TPS DOT", int, "%d" + entry = advance, "Advance", int, "%d" + entry = dwell, "Dwell", int, "%d" + entry = batteryVoltage, "Battery V", float, "%.1f" + entry = rpmDOT, "rpm/s", int, "%d" + entry = flex, "Eth %", int, "%d", { flexEnabled } entry = errorNum, "Error #", int, "%d" entry = currentError, "Error ID", int, "%d" - entry = boostTarget, "Boost Target",int, "%d" + entry = boostTarget, "Boost Target",int, "%d", { boostEnabled } + entry = boostDuty, "Boost Duty" ,int, "%d", { boostEnabled } + entry = boostCutOut , "Boost cut", ,int, "%d" + + ; Indicators [LoggerDefinition] ; valid logger types: composite, tooth, trigger, csv diff --git a/speeduino/auxiliaries.ino b/speeduino/auxiliaries.ino index 594758fa..79e8078e 100644 --- a/speeduino/auxiliaries.ino +++ b/speeduino/auxiliaries.ino @@ -52,6 +52,7 @@ void initialiseAuxPWM() boostPID.SetTunings(configPage3.boostKP, configPage3.boostKI, configPage3.boostKD); boostPID.SetMode(AUTOMATIC); //Turn PID on + currentStatus.boostDuty = 0; boostCounter = 0; } @@ -70,7 +71,7 @@ void boostControl() if( (boostCounter & 31) == 1) { boostPID.SetTunings(configPage3.boostKP, configPage3.boostKI, configPage3.boostKD); } //This only needs to be run very infrequently, once every 32 calls to boostControl(). This is approx. once per second boostPID.Compute(); - + currentStatus.boostDuty = (unsigned long)(boost_pwm_target_value * 100UL) / boost_pwm_max_count; TIMSK1 |= (1 << OCIE1A); //Turn on the compare unit (ie turn on the interrupt) } else { TIMSK1 &= ~(1 << OCIE1A); } // Disable timer channel diff --git a/speeduino/comms.h b/speeduino/comms.h index 6e33e05d..898b462a 100644 --- a/speeduino/comms.h +++ b/speeduino/comms.h @@ -12,7 +12,7 @@ #define seqFuelPage 9 #define canbusPage 10//Config Page 10 -#define packetSize 38 +#define packetSize 39 byte currentPage = 1;//Not the same as the speeduino config page numbers boolean isMap = true; diff --git a/speeduino/comms.ino b/speeduino/comms.ino index e9424577..812e9843 100644 --- a/speeduino/comms.ino +++ b/speeduino/comms.ino @@ -262,6 +262,7 @@ void sendValues(int packetlength, byte portNum) response[35] = currentStatus.flexIgnCorrection; //Ignition correction (Increased degrees of advance) for flex fuel response[36] = getNextError(); response[37] = currentStatus.boostTarget; + response[38] = currentStatus.boostDuty; //cli(); if (portNum == 0) { Serial.write(response, (size_t)packetlength); } diff --git a/speeduino/globals.h b/speeduino/globals.h index 071a0054..58075f9a 100644 --- a/speeduino/globals.h +++ b/speeduino/globals.h @@ -206,6 +206,7 @@ struct statuses { bool flatShiftingHard; volatile byte startRevolutions; //A counter for how many revolutions have been completed since sync was achieved. byte boostTarget; + byte boostDuty; //Helpful bitwise operations: //Useful reference: http://playground.arduino.cc/Code/BitMath From 880947746fa30704032910e35cc6e9eb29f61957 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Mon, 20 Mar 2017 22:29:42 +1100 Subject: [PATCH 07/15] Many small updates to the idle system (Including additional logging) --- reference/speeduino.ini | 14 ++++++++----- speeduino/comms.h | 2 +- speeduino/comms.ino | 1 + speeduino/globals.h | 1 + speeduino/idle.h | 4 ++-- speeduino/idle.ino | 44 ++++++++++++++++++++++++++++++----------- 6 files changed, 47 insertions(+), 19 deletions(-) diff --git a/reference/speeduino.ini b/reference/speeduino.ini index aeed15ca..a87a5537 100644 --- a/reference/speeduino.ini +++ b/reference/speeduino.ini @@ -36,9 +36,11 @@ ; name = type, min, max; ; ; type List: value will be index. - rpmhigh = scalar, U16, "rpm", 1, 0, 0, 30000, 0 - rpmwarn = scalar, U16, "rpm", 1, 0, 0, 30000, 0 - rpmdang = scalar, U16, "rpm", 1, 0, 0, 30000, 0 + rpmhigh = scalar, U16, "rpm", 1, 0, 0, 30000, 0 + rpmwarn = scalar, U16, "rpm", 1, 0, 0, 30000, 0 + rpmdang = scalar, U16, "rpm", 1, 0, 0, 30000, 0 + + idleUnits = bits, U08, [0:2], "None", "On/Off", "Duty Cycle", "Duty Cycle", "Steps", "Steps" [Constants] @@ -1774,6 +1776,7 @@ menuDialog = main currentError = bits, U08, 36, [2:7] boostTarget = scalar, U08, 37, "kPa", 2.000, 0.000 boostDuty = scalar, U08, 38, "%", 1.000, 0.000 + idleLoad = scalar, U08, 39, { bitStringValue( idleUnits , iacAlgorithm ) }, 2.000, 0.000 ; This is a combined variable covering both PWM and stepper IACs. The units used depend on which idle algorithm is chosen ; Computed output channels. See "megatuneExamples.ini" for all the ; pre-defined variables, search for "???" and you'll see them. @@ -1885,8 +1888,9 @@ menuDialog = main entry = errorNum, "Error #", int, "%d" entry = currentError, "Error ID", int, "%d" entry = boostTarget, "Boost Target",int, "%d", { boostEnabled } - entry = boostDuty, "Boost Duty" ,int, "%d", { boostEnabled } - entry = boostCutOut , "Boost cut", ,int, "%d" + entry = boostDuty, "Boost Duty", int, "%d", { boostEnabled } + entry = boostCutOut , "Boost cut", int, "%d" + entry = idleLoad, "IAC value", int, "%d" ; Indicators diff --git a/speeduino/comms.h b/speeduino/comms.h index 898b462a..9a19b0dd 100644 --- a/speeduino/comms.h +++ b/speeduino/comms.h @@ -12,7 +12,7 @@ #define seqFuelPage 9 #define canbusPage 10//Config Page 10 -#define packetSize 39 +#define packetSize 40 byte currentPage = 1;//Not the same as the speeduino config page numbers boolean isMap = true; diff --git a/speeduino/comms.ino b/speeduino/comms.ino index 812e9843..d704bb24 100644 --- a/speeduino/comms.ino +++ b/speeduino/comms.ino @@ -263,6 +263,7 @@ void sendValues(int packetlength, byte portNum) response[36] = getNextError(); response[37] = currentStatus.boostTarget; response[38] = currentStatus.boostDuty; + response[39] = currentStatus.idleLoad; //cli(); if (portNum == 0) { Serial.write(response, (size_t)packetlength); } diff --git a/speeduino/globals.h b/speeduino/globals.h index 58075f9a..c46f5996 100644 --- a/speeduino/globals.h +++ b/speeduino/globals.h @@ -207,6 +207,7 @@ struct statuses { volatile byte startRevolutions; //A counter for how many revolutions have been completed since sync was achieved. byte boostTarget; byte boostDuty; + byte idleLoad; //Either the current steps or current duty cycle for the idle control. //Helpful bitwise operations: //Useful reference: http://playground.arduino.cc/Code/BitMath diff --git a/speeduino/idle.h b/speeduino/idle.h index 41f3bdf1..c2a8c7dd 100644 --- a/speeduino/idle.h +++ b/speeduino/idle.h @@ -19,8 +19,8 @@ enum StepperStatus {SOFF, STEPPING, COOLING}; //The 2 statuses that a stepper ca struct StepperIdle { - unsigned int curIdleStep; //Tracks the current location of the stepper - unsigned int targetIdleStep; //What the targetted step is + int curIdleStep; //Tracks the current location of the stepper + int targetIdleStep; //What the targetted step is volatile StepperStatus stepperStatus; volatile unsigned long stepStartTime; //The time the curren }; diff --git a/speeduino/idle.ino b/speeduino/idle.ino index 191678b4..8c40e5bc 100644 --- a/speeduino/idle.ino +++ b/speeduino/idle.ino @@ -80,11 +80,10 @@ void initialiseIdle() //Initialising comprises of setting the 2D tables with the relevant values from the config pages switch(configPage4.iacAlgorithm) { - case 0: - //Case 0 is no idle control ('None') + case IAC_ALGORITHM_NONE: //Case 0 is no idle control ('None') break; - case 1: + case IAC_ALGORITHM_ONOFF: //Case 1 is on/off idle control if (currentStatus.coolant < configPage4.iacFastTemp) { @@ -92,7 +91,7 @@ void initialiseIdle() } break; - case 2: + case IAC_ALGORITHM_PWM_OL: //Case 2 is PWM open loop iacPWMTable.xSize = 10; iacPWMTable.valueSize = SIZE_BYTE; @@ -112,7 +111,7 @@ void initialiseIdle() enableIdle(); break; - case 3: + case IAC_ALGORITHM_PWM_CL: //Case 3 is PWM closed loop iacClosedLoopTable.xSize = 10; iacClosedLoopTable.valueSize = SIZE_BYTE; @@ -136,7 +135,7 @@ void initialiseIdle() idleCounter = 0; break; - case 4: + case IAC_ALGORITHM_STEP_OL: //Case 2 is Stepper open loop iacStepTable.xSize = 10; iacStepTable.valueSize = SIZE_BYTE; @@ -150,10 +149,11 @@ void initialiseIdle() //homeStepper(); //Returns the stepper to the 'home' position completedHomeSteps = 0; + idleStepper.curIdleStep = 0; idleStepper.stepperStatus = SOFF; break; - case 5: + case IAC_ALGORITHM_STEP_CL: //Case 5 is Stepper closed loop iacClosedLoopTable.xSize = 10; iacClosedLoopTable.valueSize = SIZE_BYTE; @@ -167,6 +167,7 @@ void initialiseIdle() completedHomeSteps = 0; idleCounter = 0; + idleStepper.curIdleStep = 0; idleStepper.stepperStatus = SOFF; idlePID.SetOutputLimits(0, (configPage4.iacStepHome * 3)); //Maximum number of steps probably needs its own setting @@ -175,6 +176,7 @@ void initialiseIdle() break; } idleInitComplete = configPage4.iacAlgorithm; //Sets which idle method was initialised + currentStatus.idleLoad = 0; } void idleControl() @@ -211,6 +213,7 @@ void idleControl() if( currentStatus.idleDuty == 0 ) { disableIdle(); break; } enableIdle(); idle_pwm_target_value = percentage(currentStatus.idleDuty, idle_pwm_max_count); + currentStatus.idleLoad = currentStatus.idleDuty >> 1; idleOn = true; } break; @@ -224,6 +227,7 @@ void idleControl() idle_pwm_target_value = idle_pid_target_value; if( idle_pwm_target_value == 0 ) { disableIdle(); } else{ enableIdle(); } //Turn on the C compare unit (ie turn on the interrupt) + currentStatus.idleLoad = ((unsigned long)(idle_pwm_target_value * 100UL) / idle_pwm_max_count) >> 1; //idle_pwm_target_value = 104; idleCounter++; @@ -251,6 +255,7 @@ void idleControl() } doStep(); } + currentStatus.idleLoad = idleStepper.curIdleStep >> 1; //Current step count (Divided by 2 for byte) break; case IAC_ALGORITHM_STEP_CL://Case 5 is closed loop stepper control @@ -262,9 +267,10 @@ void idleControl() idle_cl_target_rpm = table2D_getValue(&iacClosedLoopTable, currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET) * 10; //All temps are offset by 40 degrees idlePID.Compute(); - idleStepper.targetIdleStep = (idle_pid_target_value >> 7); //Target is scalled down by 128 to bring it inline with most stepper motors range. Allows a sane range of around 300 steps (Maximum RPM error of 600, P=64) + idleStepper.targetIdleStep = (idle_pid_target_value >> 7); //Target is scaled down by 128 to bring it inline with most stepper motors range. Allows a sane range of around 300 steps (Maximum RPM error of 600, P=64) doStep(); + currentStatus.idleLoad = idleStepper.curIdleStep >> 1; //Current step count (Divided by 2 for byte) idleCounter++; break; } @@ -344,15 +350,31 @@ static inline void doStep() //This function simply turns off the idle PWM and sets the pin low static inline void disableIdle() { - IDLE_TIMER_DISABLE(); - digitalWrite(pinIdle1, LOW); + if(configPage4.iacAlgorithm == IAC_ALGORITHM_PWM_CL || configPage4.iacAlgorithm == IAC_ALGORITHM_PWM_OL) + { + IDLE_TIMER_DISABLE(); + digitalWrite(pinIdle1, LOW); + } + else if (configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_CL || configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_OL) + { + idleStepper.targetIdleStep = 1; //Home the stepper + doStep(); + } } //Any common functions associated with starting the Idle //Typically this is enabling the PWM interrupt static inline void enableIdle() { - IDLE_TIMER_ENABLE(); + if(configPage4.iacAlgorithm == IAC_ALGORITHM_PWM_CL || configPage4.iacAlgorithm == IAC_ALGORITHM_PWM_OL) + { + IDLE_TIMER_ENABLE(); + } + else if (configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_CL || configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_OL) + { + + } + } #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this From babbd726d6c98ba8c28fbffd84e11cb233179037 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Mon, 20 Mar 2017 23:29:34 +1100 Subject: [PATCH 08/15] Better initial outputs for closed loop stepper --- speeduino/idle.ino | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/speeduino/idle.ino b/speeduino/idle.ino index 8c40e5bc..9b21afd3 100644 --- a/speeduino/idle.ino +++ b/speeduino/idle.ino @@ -170,7 +170,7 @@ void initialiseIdle() idleStepper.curIdleStep = 0; idleStepper.stepperStatus = SOFF; - idlePID.SetOutputLimits(0, (configPage4.iacStepHome * 3)); //Maximum number of steps probably needs its own setting + idlePID.SetOutputLimits(0, (configPage4.iacStepHome * 3) << 7); //Maximum number of steps probably needs its own setting idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); idlePID.SetMode(AUTOMATIC); //Turn PID on break; @@ -358,6 +358,8 @@ static inline void disableIdle() else if (configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_CL || configPage4.iacAlgorithm == IAC_ALGORITHM_STEP_OL) { idleStepper.targetIdleStep = 1; //Home the stepper + if( checkForStepping() ) { return; } //If this is true it means there's either a step taking place or + if( !isStepperHomed() ) { return; } //Check whether homing is completed yet. doStep(); } } From 697e3a2c176a8740555b5763860eea5a5508bfe6 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Tue, 21 Mar 2017 17:19:07 +1100 Subject: [PATCH 09/15] Add option to disable resync once every cycle (Dual wheel, Audi 135 and 4G63) --- reference/speeduino.ini | 7 +++-- speeduino/decoders.h | 2 ++ speeduino/decoders.ino | 58 +++++++++++++++++++++++------------------ speeduino/globals.h | 4 +-- 4 files changed, 42 insertions(+), 29 deletions(-) diff --git a/reference/speeduino.ini b/reference/speeduino.ini index a87a5537..0371a428 100644 --- a/reference/speeduino.ini +++ b/reference/speeduino.ini @@ -256,7 +256,7 @@ page = 4 TrigPattern= bits, U08, 5,[4:7], "Missing Tooth", "Basic Distributor", "Dual Wheel", "GM 7X", "4G63 / Miata", "GM 24X", "Jeep 2000", "Audi 135", "Honda D17", "Miata 99-05", "Mazda AU", "Non-360 Dual", "Nissan 360", "INVALID", "INVALID", "INVALID" TrigEdgeSec= bits, U08, 6,[0:0], "Leading", "Trailing" fuelPumpPin= bits , U08, 6,[1:6], "Board Default", "INVALID", "INVALID", "3", "4", "5", "6", "7", "8", "9", "10", "11", "12", "13", "14", "15", "16", "17", "18", "19", "20", "21", "22", "23", "24", "25", "26", "27", "28", "29", "30", "31", "32", "33", "34", "35", "36", "37", "38", "39", "40", "41", "42", "43", "44", "45", "46", "47", "48", "49", "50", "51", "52", "53", "54", "INVALID", "INVALID", "INVALID", "INVALID", "INVALID", "INVALID", "INVALID", "INVALID", "INVALID" - unused4-6h = bits, U08, 6,[7:7], "No", "Yes" + useResync = bits, U08, 6,[7:7], "No", "Yes" unused4-7 = scalar, U08, 7, "ADC", 1, 0, 0, 255, 0 IdleAdvRPM = scalar, U08, 8, "RPM", 100, 0, 0, 1200, 0 #if CELSIUS @@ -684,6 +684,7 @@ page = 10 defaultValue = pinLayout, 1 defaultValue = TrigPattern, 0 + defaultValue = useResync, 1 defaultValue = sparkMode, 0 defaultValue = indInjAng, 0 defaultValue = inj1Ang, 355 @@ -845,6 +846,7 @@ menuDialog = main injLayout = "The injector layout and timing to be used. Options are: \n 1. Paired - 2 injectors per output. Outputs active is equal to half the number of cylinders. Outputs are timed over 1 crank revolution. \n 2. Semi-sequential: Same as paired except that injector channels are mirrored (1&4, 2&3) meaning the number of outputs used are equal to the number of cylinders. Only valid for 4 cylinders or less. \n 3. Banked: 2 outputs only used. \n 4. Sequential: 1 injector per output and outputs used equals the number of cylinders. Injection is timed over full cycle. " TrigPattern = "The type of input trigger decoder to be used." + useResync = "If enabled, sync will be rechecked once every full cycle from the cam input. This is good for accuracy, however if your cam input is noisy then this can cause issues." numteeth = "Number of teeth on Primary Wheel." TrigSpeed = "Primary trigger speed." onetwo = "Number of Missing teeth on Primary Wheel." @@ -1141,8 +1143,9 @@ menuDialog = main field = "Note: This is the number of revolutions that will be skipped during" field = "cranking before the injectors and coils are fired" field = "Trigger edge", TrigEdge - field = "Secondary trigger edge", TrigEdgeSec, { TrigPattern == 0 || TrigPattern == 2 } + field = "Secondary trigger edge", TrigEdgeSec, { TrigPattern == 0 || TrigPattern == 2 } ;Missing tooth and dual wheel field = "Trigger Filter", TrigFilter + field = "Re-sync every cycle", useResync, { TrigPattern == 2 || TrigPattern == 4 || TrigPattern == 7 } ;Dual wheel, 4G63 and Audi 135 dialog = sparkSettings,"Spark Settings",4 field = "Spark output mode", sparkMode diff --git a/speeduino/decoders.h b/speeduino/decoders.h index 7ed497f7..1b0eedce 100644 --- a/speeduino/decoders.h +++ b/speeduino/decoders.h @@ -45,10 +45,12 @@ volatile bool toothLogRead = false; //Flag to indicate whether the current tooth volatile unsigned int secondaryToothCount; //Used for identifying the current secondary (Usually cam) tooth for patterns with multiple secondary teeth volatile unsigned long secondaryLastToothTime = 0; //The time (micros()) that the last tooth was registered (Cam input) +volatile unsigned long secondaryLastToothTime1 = 0; //The time (micros()) that the last tooth was registered (Cam input) volatile int triggerActualTeeth; volatile unsigned long triggerFilterTime; // The shortest time (in uS) that pulses will be accepted (Used for debounce filtering) unsigned int triggerSecFilterTime; // The shortest time (in uS) that pulses will be accepted (Used for debounce filtering) for the secondary input +unsigned int triggerSecFilterTime_duration; // The shortest valid time (in uS) pulse DURATION volatile int triggerToothAngle; //The number of crank degrees that elapse per tooth unsigned long revolutionTime; //The time in uS that one revolution would take at current speed (The time tooth 1 was last seen, minus the time it was seen prior to that) bool secondDerivEnabled; //The use of the 2nd derivative calculation is limited to certain decoders. This is set to either true or false in each decoders setup routine diff --git a/speeduino/decoders.ino b/speeduino/decoders.ino index 9fc84250..88d01b70 100644 --- a/speeduino/decoders.ino +++ b/speeduino/decoders.ino @@ -232,15 +232,15 @@ void triggerSec_DualWheel() toothLastSecToothTime = curTime2; triggerSecFilterTime = curGap2 >> 2; //Set filter at 25% of the current speed - toothCurrentCount = configPage2.triggerTeeth; - if(!currentStatus.hasSync) { toothLastToothTime = micros(); toothLastMinusOneToothTime = (toothOneTime - 6000000) / configPage2.triggerTeeth; //Fixes RPM at 10rpm until a full revolution has taken place + toothCurrentCount = configPage2.triggerTeeth; currentStatus.hasSync = true; } + else if (configPage2.useResync) { toothCurrentCount = configPage2.triggerTeeth; } revolutionOne = 1; //Sequential revolution reset } @@ -504,6 +504,8 @@ void triggerSetup_4G63() triggerFilterTime = 1500; //10000 rpm, assuming we're triggering on both edges off the crank tooth. triggerSecFilterTime = (int)(1000000 / (MAX_RPM / 60 * 2)) / 2; //Same as above, but fixed at 2 teeth on the secondary input and divided by 2 (for cam speed) + triggerSecFilterTime_duration = 4000; + secondaryLastToothTime = 0; } void triggerPri_4G63() @@ -561,20 +563,40 @@ void triggerPri_4G63() void triggerSec_4G63() { //byte crankState = READ_PRI_TRIGGER(); + //First filter is a duration based one to ensure the pulse was of sufficient length (time) + //if(READ_SEC_TRIGGER()) { secondaryLastToothTime1 = micros(); return; } + if(currentStatus.hasSync) + { + //if ( (micros() - secondaryLastToothTime1) < triggerSecFilterTime_duration ) { return; } //1166 is the time taken to cross 70 degrees at 10k rpm + //triggerSecFilterTime_duration = (micros() - secondaryLastToothTime1) >> 1; + } + + curTime2 = micros(); curGap2 = curTime2 - toothLastSecToothTime; if ( curGap2 < triggerSecFilterTime ) { return; } toothLastSecToothTime = curTime2; + triggerSecFilterTime = curGap2 >> 1; //Basic 50% filter for the secondary reading + //triggerSecFilterTime = (curGap2 * 9) >> 5; //62.5% + //triggerSecFilterTime = (curGap2 * 6) >> 3; //75% + if(BIT_CHECK(currentStatus.engine, BIT_ENGINE_CRANK) || !currentStatus.hasSync) { triggerFilterTime = 1500; //If this is removed, can have trouble getting sync again after the engine is turned off (but ECU not reset). - - //Check the status of the crank trigger - //bool crank = digitalRead(pinTrigger); - if(READ_PRI_TRIGGER()) + if(READ_PRI_TRIGGER())// && (crankState == digitalRead(pinTrigger))) { toothCurrentCount = 4; //If the crank trigger is currently HIGH, it means we're on tooth #1 + } +} + + if ( (micros() - secondaryLastToothTime1) < triggerSecFilterTime_duration ) + { + triggerSecFilterTime_duration = (micros() - secondaryLastToothTime1) >> 1; + if(READ_PRI_TRIGGER())// && (crankState == digitalRead(pinTrigger))) + { + //toothCurrentCount = 4; //If the crank trigger is currently HIGH, it means we're on tooth #1 + /* High-res mode toothCurrentCount = 7; //If the crank trigger is currently HIGH, it means we're on the falling edge of the narrow crank tooth toothLastMinusOneToothTime = toothLastToothTime; @@ -582,20 +604,7 @@ void triggerSec_4G63() */ } } -/* - else - { - //triggerSecFilterTime = curGap2 >> 1; //Only set the filter when we have sync - //if(toothCurrentCount != 2) - { - if(READ_PRI_TRIGGER())// && (crankState == digitalRead(pinTrigger))) - { - toothCurrentCount = 4; //If the crank trigger is currently HIGH, it means we're on tooth #1 - } - } - } -*/ - //else { triggerFilterTime = 1500; } //reset filter time (ugly) + return; } @@ -866,11 +875,12 @@ void triggerPri_Audi135() curGap = curTime - toothSystemLastToothTime; if ( curGap < triggerFilterTime ) { return; } toothSystemCount++; - toothSystemLastToothTime = curTime; - addToothLogEntry(curGap); + if ( !currentStatus.hasSync ) { toothLastToothTime = curTime; return; } if ( toothSystemCount < 3 ) { return; } //We only proceed for every third tooth + addToothLogEntry(curGap); + toothSystemLastToothTime = curTime; toothSystemCount = 0; toothCurrentCount++; //Increment the tooth counter @@ -904,9 +914,7 @@ void triggerSec_Audi135() currentStatus.hasSync = true; toothSystemCount = 3; //Need to set this to 3 so that the next primary tooth is counted } - else{ - toothCurrentCount = 0; - } + else if (configPage2.useResync) { toothCurrentCount = 0; } revolutionOne = 1; //Sequential revolution reset } diff --git a/speeduino/globals.h b/speeduino/globals.h index c46f5996..6ac0ad1a 100644 --- a/speeduino/globals.h +++ b/speeduino/globals.h @@ -207,7 +207,7 @@ struct statuses { volatile byte startRevolutions; //A counter for how many revolutions have been completed since sync was achieved. byte boostTarget; byte boostDuty; - byte idleLoad; //Either the current steps or current duty cycle for the idle control. + byte idleLoad; //Either the current steps or current duty cycle for the idle control. //Helpful bitwise operations: //Useful reference: http://playground.arduino.cc/Code/BitMath @@ -326,7 +326,7 @@ struct config2 { byte TrigEdgeSec : 1; byte fuelPumpPin : 6; - byte unused4_6b : 1; + byte useResync : 1; byte unused4_7; byte IdleAdvRPM; From 8fc87e062a0163c1c823acdfe29e56523d57dc07 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Wed, 22 Mar 2017 12:44:22 +1100 Subject: [PATCH 10/15] Fixes for closed loop stepper idle (Now ready for wider testing) --- reference/speeduino.ini | 8 ++++---- speeduino/idle.ino | 4 ++-- 2 files changed, 6 insertions(+), 6 deletions(-) diff --git a/reference/speeduino.ini b/reference/speeduino.ini index 0371a428..0cd49601 100644 --- a/reference/speeduino.ini +++ b/reference/speeduino.ini @@ -1091,9 +1091,9 @@ menuDialog = main field = "Idle valve direction", iacPWMdir, { iacAlgorithm == 2 || iacAlgorithm == 3 } dialog = closedloop_idle, "Closed loop Idle" - field = "P", idleKP, { iacAlgorithm == 3 } - field = "I", idleKI, { iacAlgorithm == 3 } - field = "D", idleKD, { iacAlgorithm == 3 } + field = "P", idleKP, { iacAlgorithm == 3 || iacAlgorithm == 5 } + field = "I", idleKI, { iacAlgorithm == 3 || iacAlgorithm == 5 } + field = "D", idleKD, { iacAlgorithm == 3 || iacAlgorithm == 5 } field = "Minimum valve duty", iacCLminDuty, { iacAlgorithm == 3 } field = "Maximum valve duty", iacCLmaxDuty, { iacAlgorithm == 3 } @@ -1715,7 +1715,7 @@ menuDialog = main ochGetCommand = "A" - ochBlockSize = 39 + ochBlockSize = 40 secl = scalar, U08, 0, "sec", 1.000, 0.000 squirt = scalar, U08, 1, "bits", 1.000, 0.000 diff --git a/speeduino/idle.ino b/speeduino/idle.ino index 9b21afd3..cd959420 100644 --- a/speeduino/idle.ino +++ b/speeduino/idle.ino @@ -170,7 +170,7 @@ void initialiseIdle() idleStepper.curIdleStep = 0; idleStepper.stepperStatus = SOFF; - idlePID.SetOutputLimits(0, (configPage4.iacStepHome * 3) << 7); //Maximum number of steps probably needs its own setting + idlePID.SetOutputLimits(0, (configPage4.iacStepHome * 3)); //Maximum number of steps probably needs its own setting idlePID.SetTunings(configPage3.idleKP, configPage3.idleKI, configPage3.idleKD); idlePID.SetMode(AUTOMATIC); //Turn PID on break; @@ -267,7 +267,7 @@ void idleControl() idle_cl_target_rpm = table2D_getValue(&iacClosedLoopTable, currentStatus.coolant + CALIBRATION_TEMPERATURE_OFFSET) * 10; //All temps are offset by 40 degrees idlePID.Compute(); - idleStepper.targetIdleStep = (idle_pid_target_value >> 7); //Target is scaled down by 128 to bring it inline with most stepper motors range. Allows a sane range of around 300 steps (Maximum RPM error of 600, P=64) + idleStepper.targetIdleStep = idle_pid_target_value; doStep(); currentStatus.idleLoad = idleStepper.curIdleStep >> 1; //Current step count (Divided by 2 for byte) From 360d208b56c8c301639a34b5b060509a73d011cc Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Wed, 22 Mar 2017 16:56:41 +1100 Subject: [PATCH 11/15] Allow for cam speed missing tooth wheels where the tooth count will not divide into 360 (but will into 720) --- speeduino/decoders.ino | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/speeduino/decoders.ino b/speeduino/decoders.ino index 88d01b70..33c9e018 100644 --- a/speeduino/decoders.ino +++ b/speeduino/decoders.ino @@ -88,7 +88,7 @@ Note: This does not currently support dual wheel (ie missing tooth + single toot void triggerSetup_missingTooth() { triggerToothAngle = 360 / configPage2.triggerTeeth; //The number of degrees that passes from tooth to tooth - if(configPage2.TrigSpeed) { triggerToothAngle = triggerToothAngle * 2; } //Account for cam speed missing tooth + if(configPage2.TrigSpeed) { triggerToothAngle = 720 / configPage2.triggerTeeth; } //Account for cam speed missing tooth triggerActualTeeth = configPage2.triggerTeeth - configPage2.triggerMissingTeeth; //The number of physical teeth on the wheel. Doing this here saves us a calculation each time in the interrupt triggerFilterTime = (int)(1000000 / (MAX_RPM / 60 * configPage2.triggerTeeth)); //Trigger filter time is the shortest possible time (in uS) that there can be between crank teeth (ie at max RPM). Any pulses that occur faster than this time will be disgarded as noise secondDerivEnabled = false; From d1d5bfe66552c4b113b623ccf967c2c1a7ec6f5b Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Wed, 22 Mar 2017 17:17:36 +1100 Subject: [PATCH 12/15] Bunch of images for the wiki --- reference/wiki/boost/boost_map.png | Bin 0 -> 48788 bytes reference/wiki/boost/boost_settings.png | Bin 0 -> 28231 bytes reference/wiki/constants/triggerSettings.png | Bin 49118 -> 42652 bytes reference/wiki/flex/flex_settings.png | Bin 0 -> 25226 bytes reference/wiki/idle/idle_settings.png | Bin 0 -> 38503 bytes reference/wiki/tuning/accel.png | Bin 27326 -> 42632 bytes 6 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 reference/wiki/boost/boost_map.png create mode 100644 reference/wiki/boost/boost_settings.png create mode 100644 reference/wiki/flex/flex_settings.png create mode 100644 reference/wiki/idle/idle_settings.png diff --git a/reference/wiki/boost/boost_map.png b/reference/wiki/boost/boost_map.png new file mode 100644 index 0000000000000000000000000000000000000000..d45e2d5443f97d85a18844844ac6d52fe4444d28 GIT binary patch literal 48788 zcmY(r1yCGH(*=sV26qeY?(XjH?iMr_HDj<@BiMbVvE{c zW@hP`?mm6`%topxNh2fRBY=T{A;;(E)_2@?p^(8^%(*Rx# zT*$aSllD@yp~2OLhvCw~g0vUbGug?>$AadPx!@v(frp@orKVB}0R$icQ4EeO!R?E2 zQpR)dAI`bsV_vol1vb2A{2bW@ZDYUtg|&ruPoh7?W!2S=wzjHtDgFKZ;Naj0p#vr? zF79X$Az)-8aA*+1%uu-f&CSi6+}x+`9eH`AlDfJX@$t$Q7N66VYnOmsC-Ti?P;lU4 zfoP;q6KGdAHxM|B3kx0|9%N@&=;+`=pFh|kBgy}pO&UtXGfx1GG-eU5n7Mm#uGld>iZWia!#GBP5A@;{G)&3TkC&WN*;5qPJu`uGkLx%;9NH;4BbBD^{}3dS#fu?FDZfvo>lmvA@T zyhOXcF1ta^ONBY}5s*}F9{M*Z^EL=Q#MF5;uJm=XC zC!^9r#6{hT`ZK3|4bdriBp*lZR;_4jk!mex4(p5bjNb-cyBSFa?sg7=vJN%^+M#s? z4-Spb7$s`kmeZa$LhkYvgRZMeGptF-Ml>cfw&%7GS00aJ9)G%c?Va2jD(>~tLQU!# z8wr{Y7BIw5F^{jC$XZWqmGv(%dq5Q z06A30;!RY`oh`fj>tl|s4QlMZw1Ep)VuATul)~>Hkr>Q!(PH0gN-T{1LuUZf$!BND zEYPsEaeG;Im@Ia0^Q^2T=$acErz>58p{iw=%=6qN8|}{D;?FC$urH-N4aZP1ld(hz+#|k4Zw@$SmTsQnT=OVt*LrQ>bITj_XT@ zXT_F`jpy-@v9!TmwGvdqF7}%A(>%o&H-L?zs)mRHo=RdCV$eG1YZ(J%gdEVX)Z91^ zl`G#hUvhFvzGECh%pFJses(`ZeAI*~nMnUmxK~}t%se{IPngNiZjjIW!;6j0W2~*n zC8gzFlj9Gt<|3(+yxUc3Z9m#Q8;6}d=yjYo?6 z(&;_Bj@P{0ynXo@lHpbexj=+m>d)82OlQ^~k%0r7N+M9BoR#q@NKK!fkq{C7@?Oe? zPGx~VcX2_dnDw(o7}W8sxP1I#T&$u+2(3YJB>NX>*m95iQpB4$Ov%aYPX(2bK+FA! zcw`k%t{*SgCLa1~>3Zs^#v4hzj-KBloVDjxy56Pb2gTS6zbSy>Mq~1(#S>t%wRWB; z>uE7A{${{f9Hwu$`T4vEd-ZDP-Z$%8pl;*T8Mz1WBHI2nc59lfmS{38da{Q>EC1Zh;Md=v!*MNONEHIE;k7z%iFH8s~( zXD|}^JnZO{+2tse3FV4oTqpKVrd@HABX2lO)(aY?0nOwzQ0+cEP7|Zv!p)yH6Sl$) zL&f?vj5b7N&DEeDktgp1?b>CjKtlfpHyT!}=A*K(=IY4eh+0N- zos6id=^98N45lOpPo&_Lw1p&)#C($_P8urASCNj9gWtvH$kq#;B|ym)^liy6R>aHv zc1?Knab}t*d$d!V29W@G0GVW; z6*JnUaD*G+D&iiPZVzYQtVgqaGyhMfFpUoE2_{J5WMWkzt*Dw<{eBsbxhSEC8agOM zhvAEdX;WfkN44Icz&I@nJ&{?MYb1 zfssKDbCu`Pc~IIWq0N(m`og4WQaptnD(3d(QrSU+i-mz@+87rqS;>ia2iKU{)7^H; z#^iSEabHT*(!<_CjfLFhXLtZU8cH@wccl!}f+vFM7{dwE;;O)AhQXy8d%*RT*uamEw`a`BTmci}P*ED$Uc(+{m24 z4Ooe$1wzIYl+?7k3i)7UEE;eTX@OlLT_547kqymxvmC>rCeq)u^y$*V{-kK1K!yrJ zg9{2TPdTj``BNQ;bvm3LZR-FbPDSe2@b0&@C0p*&FEUn@dNyeyQnumC*)i1aJEk(f zXOGC)?O4TO;)+wotg|y7*Q##hmsjlVu*73QHOz67tam6^e1>0gw`~{cZ}mi`LfOvd zV}ZH_*TqqeF|Q$T=L^Q!BoJ%T{Ov{QihHL;h|wwfV}SqjgiA{~eIj#?eRZ`1=VyhS zjIG#w+0cT*i=o8Xlei%hcZA95D>Yo%p}a@<$jPy|Nwy=s)3HZF-l|ArTwGh43a44> zx?@Q{d5>zcugQ1h+9t``Gi!`c?G55PEt3@)?kk%|<&EF%16DivnN6G?WaiSXo{sL2 z9t6xa7MnGax#NEDSThLY+LsC4bYGux}1kEpIo*;WhAjXGb=N5KbhapEzOgD ze1K3zWZ+GrG;zHiaf`BA<%0Jhk-dK12Q&j5XG{Qj6pK;p<9^zuuJC%wQU~{Eq8>Qh zRXgQu0l%J4?0VLfQ%f8nr7^i2e0t}_SE%w=3z|5XIb~%$YtzKSU$uN_gfR|?uyLxY z_kLPe*u6=`W~E}svdZ=5$%xBuUw@C9EB!t1O3_ID89Fbk^x?s-npyB`g%Ob;+x&dz zkf1KPaumF##}8%(UR_nyW32Df8?&DT*(jGgrQIrK<5901iJ>raFb}IM=^1F}UVd5^ zh2+#a#Vg0%J|f1Va9|SBSMeCCYW_)1<`rZOA^~WWCo85<1^Q8@syQR(I}NsD1sB5_qz=vYy~DiJp{OX>_1 z@l$to#j9AyNF@BDUK*u@aIY%&Ck_s!RgZJVP29`v8P93{NXFU2OwWDlAZV#>~U ziAIJ8@&W4|)u@c-4WyU2iYPtca)^p?zPQ`Rld^R87bYd{jrOlN$O!Gs-Rm2;2ta`YMiN=e#jh`v$`)hK5w%C zH)Il$S>pTZN;(2RHcym>Xj>`Um2m0%S+pTz#tM} zAI41-eG1ulC1e)h=F{LWJ^3rCnk<7hQ6ezs8-4&v4+iuH;S~9TsS7%bjXwSfr{j}R zG#!fo`47ezymj(le4siK2^vBIAP!(l5e1c$pu&y?2|dp>!qi)Bl=6RtBOD-KL_||h zj}LQS1@GU&FQkt}T!f4voWM#ck^lc*+oH%LMq0+*g+AEd-%hgC)zvMV(Rc3Nzq||>MCL|PJ1??CRztT){ih7w zf{+%OS+rXB&_fvdPAQL15UX!1?mwr<{pagpHrmcyo^$Uz*XP+f4)flDfcH~lue0Ur z0Yqkn9Dxi=1_p+%)0$49_q(|idhIC7`*A8-jQ>6p3b~0J6N`WV;oeY{iScIzyq@QS ztYrowN}cJTy0T#Cr{0g3(|DnmqkdSDiHV7~ z?Qjw<=Z&`^4CQ6!G&T5t%6vF*Qj;Wzdgzr5cI!NFrkL1RNwC)ylgrP?@|bX5o}L>4 zz;}~|-nV^bTnfWRtI2Q@|A?sqimib!PEJfbXQjFRVq2q$6pD(9jn==zbn6)^AEaaP zL%X$62zguYd_2p2lL}h)aX-axO%=l%)bTMi6wK$yF{uG-Qxuc=Tx48g5vSw5908jcDF+! zuXVBmOH1A6+~i~q(*dX|eCS)#9W;TL>k$$Xe$T4zZojvu>w-`rd1~l?k24}3c-FY- z(6w^j;EM$kl`bw6%yWa~xKsDN7P@qK98D8eI=Ax%b?&#I6^WRy2YY)mx8x4vLR!;# zkO&9kQ&TWRLBX58mx5>&t*x!|LDgciO8@wP2;A}+Gz5O-AKG#>)2`ov_XWV$P2l&W zBz877rEI>G^mJSjl1rY3+r!_m&_TbgCNuX>Pfzpwrir6On9*vjO^~p=mE93U2WQXX zUPUthbx0gii1$Jf_d1C*v_FEW z-pbaZ?p!}r=F`7c%H@X8I)F%HGPKh@1T8qAMw7yFbGjt(V4 z7ZV8!gkWCyC4ou#A5X;u1$8zFZ3K5;%J=CX|1_wBLxVP|E6t(%pSk)%;{p**>6qP- z%0B-4Pd`IcG&D3IHtcBF{L&`d)xW23Z8A7hMUOq6BgE{v8zjfj0}9uX>>v@`G1xqz3MaMUK`oY z(B7!mn6_(Peiq>4TOAo0VOy~Ml}I67Cv9Y9RWgUIBlY1Q-uYEs9RosDRTZ?z-!N7O zy#;nI*Z6pMx7<;`D*Ii}g>T*XRTvc9Ko0x@{MZDVQwn`N?Fxe)wV+UlMXwbhv_-#x zj*bplBmx_IHy)tR_478GipOjyqVuxH7j)FkJcht}uwRD>oCRKv3YR@~FxCF@c5vWf zB7x4mx$(Jv4=arNEhb69ARaGa9QeKoWMx8gma8KqCKmF!I|4;2Jl~C9c}Ae9f-3w5 zMlm}6Jt`{d0_2y-1YCWX7lXCk519<|0focueaXqmX~zD%+}w|^m%XsCcS})3@4lDa zkJ`O2DVosyvRe1A^k=p2g1!$`hMfE=(qw9 zprSgsUotjsw!`WPxw;Ghu2oPy*bl{N7esR&lEY11xvee7wcW?*F z0U8Dd>&wd#S{y#F@cDOul^yQT>s;?dGgtxiDP7RX^;?%F6C=toaQn zXAF;wFdKEXb#(9v3mccjxJ9yun=jQEGW@FW)5n#o&Ubw~cMdTBeaxL-^t`;!IK5BMnJ8D_h57}t=fnZGS(}6c-YT9Y5)_!AlK?=(1GG#L zzXBQi`!^2Kxq^Pogx~r8kSqRFj)#ZWHnKw;@n>rDtF%xYpWn+}UteD~zn2*YmXyox zDk$oAeZ57K@`C1Og>uo^AP~hPB|_>WzXzQf(YCreG}D+9K+%&x$)F4X7tXNP|6QKB zJ0xyPQ`<0fzNUr=4TgrfT z0&B3swiH5d0vMCJy1J%uKE{ndg2JKi+%njZ%qAWOQH6i-dC;}tX#n&9w64mVonFqG znppFE{QM+7M`Rk{uS>f^D6oOa#eVXSI_PIO#=k@@`!Nd8vU}x2AU}mj@{Iy?K)403*Mv=% z&gzwgZ((t9nD}T0tKX0KQyBmscTl&Rn~IV$o7e3ClqRQ#ROkl0+oW+ZadB{{8Gp(E zKqP-29@5fOj$oFf5@Jxl-`9)v_B11(<4ga?PEf00{Z4egWv`6RsrCxK2?|Ho7{NcV zb^7NIPW#kk;5NW=7UW32Wu_{)(QG2V1!*Rohq(bCJ+BwRP@I4%ENuhuG?umz_pMK5 zaPct5$H#%VPK6k>jm`^#^>9$Q@R0(@h&njIPCQ`|u3M}{g@xktu;sy4Tc2`pRqTK8 zRz5hIQm)oxBs@De$7wa16B2x^gK){L#*Z}nn)ZXgOM`kCFoX#fSmy}|Xaa8aVU&|f z|0E5*j{66oekff0JSj`IQurE`fAz`MaP+GH+$ftSA9jb|tEanwg}i3f1_5gsJo)(e zxcDW;5PSnz=@wJ$2bPcetXd2v+)jTr21B>gpAK!Kuh95sLU6nTM;w7L)3IQ4%iqOe zgHzi8K?E+o&;c^h$LvUlb=Z*El1Pj>LVozFtcV8!tlz?Eb|t;Zsp`Q_xlC~}J_=i- zU}2HE$J}4s<5MDf(8nX3a%*du!?IY9qe_toy_3-#cL+(bW>gEMwsDfp7kU`0B>3ZC z3Ck&}@{vsqX>z!h-C}G=lv!}`{Z7hDH~e26tGt?nThc@S$$>KH(_eH5IE2to+G^-VTVdccwa?g*tC=nZpId5X0!xo+aIQ`pAh;Zy6pBUksrlF> zDHAQ~0lj6Pn0??S`N)7GN*XH=jG~MI<&FWo%$+>VvY&a*+R#Y;brg|E9_iC{CwK+ta&<$2xhcRqcPsz?T?H$+jj9ruz8e6wC{ zvdt4**8^d1Lx!-l?kv+H5u}zNlNq2mn4oZS)EebQmbWaJcu<>pkS!}k1WGC+?~g$Z zj0W>QWIs_ZXawV#Loi*M`3AGj5aYDSz)RCYg8D6LzIf&iD7 z1qaW;S{UtS^7nKMiRpr2gMnh!HtMbzRoaVlWgMG&#EvZ@`@|9QF8nB4imXHaWQDVR z*G4MmcMxXj3k?kP`w*|7gbPO{S+wD3tKR;I(O_V~^yrgK&mBBk6$WJ!?#*u)URwlC zZK4V(Fv~hLFdFrIQU=wubjuywY+|91Dt)KHq|6G)K`1|C7)**pChARxE`*vBBrhlzBYoEaQS?eumis=|ROGzvY~UcCMr3Ghk!ctC6X zvjU%4$n8%Y;~}y1Xr>Ohn4o9j5~`1&E0%cZmcdcBmv2YYEJ7nRB-n`qrbu`F;1*TY z)sjrMGaz%tQh?nATGpZKVDm&U#C^UioMFet`I#piRU>!+fhVL=XSazRHYQWF?J5sfk2bsLlN5I$P!9-?bijm>xro@kC|=21ixV;>oeMM-O9 zh5&e22t!0*{Zzb}=cFGI4VkJ+BvE6kr4nmgEX4~OW{V#j+o@B7e#-NZQ~+LIN21 znbP4(GLgk*Ns|SJ)Sn%TCDJ;B9kgATqHl-j)X_gaLxtgjlx`U&w*nX}9)Rg5PI z#6a}l>exqNvFZT6r>7r%;Ta|UuLcYb3|HL>bu%j~`4?CR#|FCcPMF|`Km1pr0=C1z zRJUCCDfUqR9fd|Fg2^KxqR#s7m_cMf53S1Mu5#ELM3p0vQ%#cazwd-TYfQpg{~GgYR}8`h*sA$geFg67TKq zR;wLf3z-x26fAHQ?3eiu6mu3+ONWLaaWDNM`L8N5A%(kQmTV$Gvb}v|KN*X`lZTGA>zg6NH&k zX_j1BD03WrL{8V8S^U0@ab)@NmHiu-o6bVWkN@k>zes>>I$(HcU@=z1Zzt+OmM#)t z{(vA7tlpSj@Z2c9a|>4clYe`_c8SD>t9KWMR=k2ERIW}FBm;uC#&B=@m(CCJn`*jm zp9+I>s~eg~%fes9{pw5RIcB|yU;z?)jiMuy)NHen>*(ef+^`J02bNFls7U+7^u85fLvcKyl| z6l7--LgDH0NIeU1Ahc4Oices@+YMd^1rL*mXMb9l#+Iq& zUo|W@0ek?S_!yMv5D(~AS{aPPEwv89DGDni(wTBPvNLrgAG&6ivifwP`K9u(UoX{g z9K|gaiYmD573KRdn~g?}d8=F2mgN0^$~7*BfB#E+fNIFr*|VUN`Bq}5mDHshM~_F@ zY$KAYxCw-GZdPyqu16bPsqwPV@|LdDOH_^k!#{>K+%|qGqQ@VN4Z+L==iD=FwF>0y zp&AYoHw(keE#H8QE$YKm$#{4f+D6S!4fHibFb)k}4K(cc*8yBr{&$Issam=fmK-cJ zF87(#{$I0G6x9u#6);IE9#YDMyy|K}e}1mbigyy9n*_^eQl! zh&9VSXD~k|Me!d^dPuug*Z-hOK8@RIV&@{Yh|M(Tw zGE3!{wvng)^_jyXr}9XktNS|pYhrH?hkI!4*k+t)d3jVvQm$u>;|br)bS$^82ZGYd ztfBsb=2h@P@Ol|R4P3k61oxPzdYf*Q{%e48l_8|x+1;-TqVQW3zo&lR1c#Lt_QQhc z7mnta+IS7Eoccm4Do!pt;($A5?mzBHH0Y33K};M!89IxC23L~d^4!4d4NZ|F0CTtW zqRC>~@Tks4PvQHCZwRvfI6Bbb&B+P4$v@KN^=L2}-aDb~r8uQOtMS9hp9ROqBoDp9 zD5F`Ek9=bxXPIH@ z{5(Vh{a5tj3N@r!!meq(QSQUzYT+v=j9iPXE)8UQZg(Rp^>=vcs(K&QZvLG+OV~~6 z)I+Nv!0J$ZI^dD7!}jXn5)A+ozW|JA@z+fw#*`%i3cjuXGX{PY~W zYhe|^4$llGCY1tiKv!O1Vy<_6G$8cWjpW-^KJ{XCqjN=Z@rs)1L^>;4YI5@E_sp!U zB9w@71shvBF0O|S-^Q7Ve|Yc$Zu&UjXnB!Pc8+DXLzkdg^kF1JK_=wDSaf}phZ0bA9rNBs zuZxRTap9BTRT}wxZ$Lwjl8jINRROa)l-Sz3DDT3~7Ic+GYqY@HW2-l^52d9gHio(M3o6FUHh^?YvbyUX` z3beHfy+#ILE`91auE3+Xx8fjbgGTSx2PuK<-pGc zLK2|&+N<{O=AMY;jEeGVA&h2<5j3BsMilIMqn={NubPI`yM9s>C#UcN2koJ|FH)R5@D|>J}8R_9@(N z_(HMn_M%frk;0HC*OMmeGG#DUmRRsLl=-PMRpk|zXQcw|etoCBD(%&YEOF|6f7sjG zGardF^nc#Bp1K+w^@e}F+8$i~OnCML;_&a_JCTrW=Qm-KEd{Cy9OXE&qicv0pu$KU z;>05GLIO3j6v|Zl!vF!-O8F+=r8Id8l@ltX6ouYXZpL(nE)8e>h{reXdV+6RB+|Aph>@%tzsTeOJK94!mOcYu|CIURX zXg)}QcR)9ci+!9Iyf!}{^5s1JyOxR_Yzjnww1>1>E>;uA!h+?UB24yf$uy9jiD_zL zV!hMr62!?=ghFc4)6)}xC~pcQS$az3O(K`4B|@(Rb;83EF()i?)lL73vICjG3_GhtFyEr^92(z%7;%G3fK(4iTs+@^my)I7%}~iEkmM)!){}!-AYH3#yq!LS(Yp zt>fMi*wj_xVxzOTD5PuWEERuDoG}>raBiAw(Qx@)<0bK!McD=cS65fJOpT0)FILO& zwf3emIT0ae;?m9d8a9#pfqWL9qwzA<0YsC#ozFPi4#Y^6qb9~pWXL#+qd&PS1_@Ho zV};(tR9di`X6QjbMXFd)SotPxe3nPY-6h1CLoDpY*C^}{&kEMkg-E*^5Q_OYGzRGS znq@Q6!QJdM%NLYA8wGti-HAp{7Q=~VD|N4VBITUA%d@EpfC3PpGeHFBVk^{m^0e{A zv@>WmR2RdJeHgKCW3=W|HepgJS1Ey2%E0|5>wo(Q6CA&~bgHou=_)wfE?BN>C0Bf9 ze>qDB;mW-jhy3F{Jo(U-d3rb3<;nZ`51Sol5U||G)NL)glt6jnNO$ym5h!eY)y<#@ z;bnE;bh#OlbxoG7=nFZ^Crt9p<8y!Ia$Iflxj%t~MG31$BsOs;fi4 zxy`oh}ycw0281PLe_g|wfaFF92ofU`8bXkHMC}9Q7Z)QK@iKlH zeJX4+(_5q4`cN-T$nmqmq3azeix_(oKq+M;Z9^S`|(0JrWdD@p(B*bK<9xqBFz@uQDK2P_EqY zZ^JN<2x@s$!9nImRq=E=a2k#T$mDmp*b(1T`)Atm$ea9~y?6jMdgnmWNPGGQvPM5N zt)RM7lkj($0JH~N1P+&nGu`1J z6P12}j$hw^sA8WZ1x$Y@tBAVSB@kH@YM9mcf`fxPLbY`e(9OH7I7hwl2$^*d^eom4 z0nLKL8>zu1LvoQNTlrN>YvHu4rD$bwJ#NCnio=S#C5pR9(e_$;&?5rsm+d0DI<1i! zbtDzzi#@pZ(VVQfP4m|hM?ab4Rdt#tLXLRwx1fQPN`==xoQn}RaGA1o&gjNkwUBBf zRjW7-xXs>f2jTOWg^cz0Vl!V6nmioS)09~MM(ChGEu9!{HnQej@4e@?2UbzmwTjjQ zPRD^_lDsIJ*+r?>7_k-(V5I-pw31(=k^R*H1Sl!-QpLn6vYbWL$~ochlYA@Cyq#(9 z)%46ZAO?!0b7TLxmKOKAKix-dJ+Xsy2herr5~=^9EtihJx&yUIlfT=IB-?<$3y;sp zCqhd$3n>C<>my)@q|u0BBz1>dH+&F-E4PF{v(kmG$I)tu#<KPkC)}QXn&f@EaaBp#I?`&zoefx+0=2&>P*x1+$B4pmq;Jw}`yRU%)p`sy-_uikt zu1#4mz`I2x%$UkWspe@yl^t%zT`?7A%iu(*_9Tg7`J&LEW#B_s1cTLf;Sf*Qbe)qA zwdYo~H&ij1@zz{&Aj)x;qgg}Y0RH587&X5F0~1&?>Eo7qxj7m5C|RnCLe+g}JMPb$ z|7hm*MaAvJ|LzXtWT0zSTdPulQ#Nn6kYvr5+t14Hym@L*6E|BZ2S&J zYOr2r{pqBXKGLu!Y?V--cTI`hHR0T$DSK*znR(&nof1?}nx!66G8)kBth>xfS)JEJ zI**uQ*;}yg4=t%4n+fwKT@y98&*0oYLy;#kg93q)8DwQ?N$FGvY6IyQAlO}=ohc|O z34Pg<(bB@+z&V>s);U^+%JDGTVJo?1C_^ppTA>>#=;7$&h^CKq_IxqR|2%@1TUz9E zU|RW*p|Xobb;2fFNFH;j4p7S|l0_d*Dv%(zG6k=Efc7B!GcV(?Z`MTV*E{+ZA=wDG z;f6*I)Cqn-Kbj#JA;Z}-xLthc8q~%d`U(wI{%zIck6>8M+d;0LhvKDn&795em#@&i zufE5qF+`lcRq66}2AEShu9nA-owqeSKen(iD<15RRdY@mK3;#V1>il7oYb(x;&VUK ziknotPDjk(VGJ3hmelC@oFo3OvQ$t}SEtaty1qX@Im4s`2LN_U6o2f0oYGG3?pHhvC=q zAHe7eW%GaY_RPA!zo)088#*I}ajrLNQda+N_Pq7SU|Z-y8yt&SH&nZXLF%=b;h+KE zqy#@ih@>_UMe2#5Ry;}l|mI;hjyJ&kAt-c~K}+$^$4Gd<`iJ3mJw?n(I*M-)c0d5|P}*FY>d{utj>L3gK@fz# z7)a&C<|{ZW#c0^EEdU>xUreDbOX$Xw&F0gd=Zve;%m4OIH-R6mIuuq#jfLjxZLUX5 zkBASD)X(+09|=v|R7bbkAw&!m3d`4TfDGYnaho@8@lYowVV9Va2_VjkDJTs3QB{o~ zqVha>@D5`bUP2G-7Cto>Q+$5>7(LH=Jb7|%>ep9bdN4BTl9&LoQS*p<;03{GxMoo9 z1Ntgv`7_rPwJ5Le*KZk;;a<&8*_=>Zct}PSsR?k7FUc{`zif}${8%X<8j6mV(Y2Y| z4zIRti($Q5!+*@c-UcTiDB7sV??@J6TTNzART*;hil|!m$E8d)E^)g_J6s8%*EEze5BE=}!t{L}6v23f?6sWJu;aEaWk&k6NxgyA5H^xynL^ z&tg9P7G2KJhJ3^N4Xy0#;DcgevnSZ2SjU9LGujuxIhk(aQ4^P8HxPYQM_H#{D}mOp znIw@XYnq_$E~1AXnb2%nPhc}|=Bg{LGVwEqx(Ft_R79RHWwrQk*JW8$ClkgZhF$_}mvJ;!W4YlAfCOc67de^ML`1 z0+yLjY7hSLN6V6$?&m4_RHI(|wS#xza~`i2v0^pq(%8vg-4;?8Vr|swsU4qvJVyKi zzTRKjudTgoFu>pHd%Yj4R&r%bhn7fa!y5IQI?1{JjtsmrfMb9oaG)&iWAlcDu;&lW zA^9EiBtY{)Q`ID8VP1mYB_8`NoDnyqy$>dV+!^bx{HTBUn@(%Y(&5*SU3kqOeY+kC z;Y0NO$Vux@O9uv|yW<}LL{e79_{~M?wsfH&da(}$LhztlL{+`~0VOtKIDo1@)LveF z!c1Ot|C|6TEfDBux2g&UhtO{E>;8%Pgfvi;vl|&G$$7BwMqe`=pt@|`XJ=^OBzH3W z|6vDv3iI?Ppr^n^;j1XeEC9rF{0UZHtWMUHp$9P4(pOt^b!8abCy+9j!ik*UmB~k_ z=ncZIjL9*B3<<-52Hx?msR;)V`*5m1&|FX>_(Vzwr4#Bp`sy19yWIUrlYIbj z+*Grlp)BAWo)6?RhK^}6z7X;aoIs3>eQ&`;MlPWgk29yR;o`b+L;8QOlo5x3apivM z_UOT(X(rDs{O6w8U-p+4jz<~r6apyK`2O}kO~qer2rdLIEiF0b6lzEm70urpY5!yC zy~!b_9wAiM>R38Y&BIxVm4%)yqUmk``nAZu_bH}lRwOloRZ)6NgU+nG`LNHI!x++A z7*9$RTQjk+Q@c~li+&=QaP<71XK0S9|0qyE@a~EfY8iG_VAS+W%Kt; zMEnprgvf3Sy7K=3sZeqg5?BI0zE!T&XODs83U$t3^z`(stgKvE)+AJGPV+Javgmg# zL-nP5TSMjB@AeqmY0t*%S=Kb}`qEzIH0%OSCGVOD3NH0MR}9p@sBYJcwE8!1SIP2I zSig6*bH^aD{e-<{enxeH4>;7r>t5%iE;AyBQ)Pfr5( z^Xjs)vMS@FqY+V2KF7srEG~3vdBJuqa;`=j8qW_OB-)OGc5^`iV-)hf!h9wgm8;qf zNf@@ByV+ znEz}^082kf!9I(09HDN#8T1n~6_wRYfuvTgM3>p}`nrI}iN>w5 zE@_ZMZZYw^7yZEaP->;M6L4}6fcnS_5|+T!(a@OR*g&}%lrZt(J4O6eJJRj@D8}J- zpkNCDs#t*W#pJ(4Im^*;!WtXD^!8k~^4Aa^Mob#|J_s>=lkw>PvHw_-vDiMpaKLJ6 zR)vQ~HnXz)khqJoXjk!*k}D2#j)dqYm*VYp&ZMYg-g|x78}3aMA|L`rj9NM8ucTB; zn&5FD)kxc{ttV&m&1)zEy&wddg*F0A9t+a1;#UlkKxS%sqrc@DvaPF*TyJ}4sdF$< z@umM)8I`OrK*>A>^${gU6MHdhiC?MR67h;M+X&-4B*ol5fEp{&n@#UAIAG6OjX^Me zJOKeJK)7rR6&*Sbk6p@NQ+H@(DpXXoWaGOmK$Zg^8H1d;f(YTf}5v*pLJN>Tbo_7-Ig&u9Q%R7fup8o#QY0Gmr# z!!_DdFv15Ka>&?;38!83+BZMHd}gO}kVh|&hpFg%toT5pE!(WovdMT zeGgMm_&~***FwCpc@tdy`U55YVY4vX3-W0&0z#AS)KINj3(;gqgO#+?ZFJtET%3@R zPw&nF%lP0vEtY$7f6P$DO|E?u_YoP}CzwkuTnscD^jORI)jzXg{4*Qk-`NHSpWExF zrj%B)^NWk2Kc&*ayl5oh;mwxiJ9-_v<`2zV9v3=d{aIchQ&vOREk0(Y?f3aQt1|rS zuTwur{o~Y^!hfe)xd`3~EcHenqnQ@vWbGt?$hP(*+)8_JIkufEWE@qfG|9zgtT`<2 zeq`ZDU4UbjcR%eg?8Yu>#grD?6W+d*;jga{|Nl;SJw{qnu3oD2tETEZNV}s`fqSH` zXk|fDsF|{G3AbM@L$vY=tvVAty2X0^&BEN#FyrpMck1@_2CCR()1=&Bf=2@sb+5cS zs{i%%Y_I@aRnk|Bqv2SBYa+*MgrQaw6k>shR!BEDw+zsyo*9^iy{Q}v6~kbPI+Xph zBQr*nHGmjT^j_0|1B49y8N+i)l7zbnw8o28`+<`H4C$S#4*%yYT|zx|x0;j^bXT%j zSz8xYY8x3by+^Eq6lRgOy&#=b#H%Dv*#TYckGew69!^|hoC9ml*Rv)LQKPSiI0Qi7 zFcLOFy}H{PD zLbuz8vj-gk`v;q)oWK9a#h=b=K+|7|ITLRg)d{Ly-kO}(xxt6+_9-)7z3$t3)xa#E zu4tW^nc29ZJ-u?(Z<5~XRZ_rnw<@~2Tf)Y6i1O3_EJpTUmV*9ZIf33$11YnxpO~4L zoPZzi%WM#vV&h|D1J(^Iux3N{3!1)I)Qp~AzA9t0BV4nD%U^ehQIrtxzmD#yI{l&-OWka|A#I>$zEg=BnWP0h%ly}&os{0bNH|3fL={19ANf>--J zpHfygJ75LR!;W544Y`(*4K&(Lud9t6dF*GWMs_E*HSAf?WTiapl>YD#5B9?8zJ^}csN@ruqcCE zc#aPQx;&+)Rh^I0Ghlz3*zc~-Az;>Y`FL}RfJJf4e282jMmN~LAVB;*zv=USsW#y2 zh9nj7M*WuUr2Su70^4-Ne0uB!61{~hlBF`}27PR%GU|iwJjDDV8yFm9?mT0=MgVC= z1w-oV>npXY2mI03TAeqWY%yqDK({VH9B;vD0`%C}!~1nCbC1QoM+VL*30r`aXUPDP z8j15lBYyzfiDj{36s9L+jnGv>B2Xl1Ih)v}`+%mpihiJYhj7qhA zsgd-r=b(OivU;>HtwFSAGsTGj32?~|Gdb;9S|a<}TzBi3NtZ7`nyF8;X!^s!5HtKk zD02Vem_Ym%Wgp=CBM60M(QR%3cPpx;x5MrSh4&MSX>W=u{3!W(0c@qt#$5b{G8<|d zeSFdOY0Mp7guw4(J9ygX)2)+#yhC<91H9aatFEn_YepUUaHv6t=1>pi%YT>(rPFG+W)rY)G+tAp8F+pd{?`i{+OlW~eaa(w2GRtjF2q3F{dqxH~T)v`Z3V!gk zt_3{P%imM`|B&|9L2)`&(j?u3mMgK|{(15He}IgnUBl(Rm*$MH_kRN!hP~JLvi_nb zCdX~QRf*>GNuzw9b-}#rLK2XxMVTTCg};y+?>Qh_A}07%2slQseTK04JG0J;R!(-_W)!>ABWV1N`+COzL)UIsDtnf8Pu`$YF-C z($4i(8nj2~;bhh%P0vl*-rnBKj8^=;4WKT1Y{;J`o*yNCLHkkdD}Z)FWd!p^&nx?k zQ>vSh=l}m8on{Yskx!K8D`j(VmARAV^2ywKEO=%6aP&ekAG6i;G+uA-?V%9G($S$; z2w$7rmRG~|oGVAYvV!DPkl7U2sLn{@zhk`?GE~rD?9Dp4GTpM{<(~tEJ1@OlZpg*` zPWH#+ur9Z$>HcP~wB>Tnf)yGV)Cz$1>z&-RZVPnR6&Nh=77kwv&ZMKtCYYi0KiBwn*@WE3S+_cvPNQ!+-^v zgk@k>Cm}`yv5)Gmh=_=76n!Te2zra!x;^Ar&sqK%2l=1b*}lwG8)<2jb_V6wXjxyM zAX8h~6J+9<`hSH?hZ(JaE<;n_wduWkM#ff+=_t7*5Wzau_4M=*)zE-0TI7BHinV7g zKLe%ZlZdU}8-Ibjtu(b0kY8^K%qTAscU8~Sm_FF8Vrk0eenk$f0ExLEWum`u-W%Fh zBjOW>7&y6k@QN(wUag0TfbBaoHMf1PBPHl3c>)Se|AAII zaz5!oQ#V~-$8mj&LtM9Dq=h5CC@E2l>n(JDj$j9p+dJ!pTFfF^bA8OAHeZBMqrsse zZ2u0rpE}A+kSNfwpEiO_xQd_~VWc(&~U#-1lt`cn6&(zso1ia+0ju zRVxynZ!_z!Z0}AMo`fotEl1NTo}Qfn!n9EIU&Wer_GKxKo!&JuhjVpzJ#MG3g`fKg|<$QJyAmW01=m>aqdv(+!QCY6d zPEU`L)@MxeJ;EH#ES_=k99M9SoPK5*7YCCzN?jaxs{~?PJ{t(;W;)B>XweZ6occU_ z@fRxon@DTDY;L!2qD+E|4ilKGS1Z$3tY1lEma30FePHO@)+KM*9(q&(hu7M5eXE!+XS5#c;F{(4_hA;Qc~m1ka}gw>w~ zl!+sM((7RFeNrZaE_&4S;{&mTcxy519tbU3$jvc63R6(5r4W`9G^ZmYx$OT9N`1Z1 zPJf$~?z{4G_CJ(U04mJh>uuMQcqIqTgvZ9$Cx*yp#rJE>21^*<)gVC{cL_f#2P^IF zjxt_AUZnJ2#AotCvzpL&@p;Y5E%&*TgFfoC&L0XiwQgeBM7Ttf_R#uw2+5UMA`kO0 zCS_5GcWvS`VP3FcG;ZIN7suIRsE(wLUzx=uwK5)w&?nf*5{(#NjF_#Q{sBoKM(pp; zmbG6D()?*EQP}wxs!!fw^UZAWBjLuZ_uCA`0a1zygrTqMSpfXsRK4pJgtgx&*p6a@yH{a6oa8Y`2(Bwty z3F|SG$g#4rl8FWja8<5A6;lk!8M$-1(qtr+@nZn5!appPTi;w*W4k_Co8}V1Ufs!O zxUG>RFV`oQD*gbo4g0XU{8;9`cpA#oRdmx-r-l6(Ky&B+g%B96K=_o?A-eB}tc45d z<5GCOeSIq?lf-!d)bRl^3QxWJqZl@rxfzkCqT2i3-4X+nfS|#Al5QWZ{J%-8@zPgX znVKrqN8vVrFG$6tk@eXB$zM$jJn>ie8vjrJ3dPef{q&H_S@uhfX>6SyZg($;Sf(AE zPxUXMMsYlJI4!4zJ8akvk6GGy`a>?aLtK*0c<^fwKqP)cjAPb8!(pJI5q7~4vBW?} zK0i6}`2xs*DFD^f3dqj)NXPr%eLcYCGE6#?6uqH$>zwEWZ{JI z8s?-FCJGx`I^C46ssY?ZzA%uK(Md?5^Y;9vHGjKZLkl0T!IWzSm+CraN^lgA7dtQ_ z)a9MjmOs)TNX-`;EOI)uXvUow+p`rWxpFD}knoKkVPV~9^?=5<^95Pda(2h&rg0wY zgF!pc@AeJueH1n}HX6>>tE$l37WNaJZyoUTsvxWVcY-zcK4v(_3+UODjsDU8(};IH zMH|M(OQe3BG0NtsA|?@(wcBw|8^yob=M~BW}B7M`U3u$9u~tln>kU zfLj_6%!zpHUwp{ILrLMXA;yzqc&@QS&adBz_^BP%a= z`_04DW|UnTud9I%-{zuknPH6*d7aIlYhdxd{aC$E>24{4tg6<1jhHmrkOWaW0VlY64ADV6pI#u>;xIo4g7VOzLo_)b@0KT&l8XfQaQ4mt2s z>!0d|^V((k-QVY<)r1!NyFaOE{ZGcu&l%tiLSC6^SR80x1(y=_IYYY3M}8qK6fkg`pVdNj)ca~1eG?yKt;_FEVm;)Q-(rJ z?bz)prv`UM85`O#zel<~!dYMWp9CHZI$o6W2@MVX9h_HHh5hQ}xfv@f>-SV%r;j-S zv>znZ3K-0|MGNT)!I*by5xl>zP%<-3BH9dAuY0@a>fi0$f>O4wHjq9(!M=FAJlLl* z*ZAkm`Cyu{kzdyY3AgUhw|R?uBElobGG7u2dg7g~uN%gCJ=|R*S^}sKD^TW}&fweC zA@@eUh@>QXXl5_)ONYV|jP<#=H02v~9RS zaF!Y|S2H$56@Ag|C-hJ>ZSB86!y;Vs1!df^ct0;w%Uj+2EdYInPLrj&t_CeOxEMg+ zdNRL6d4Iy&2`K!1n6t}YmD_FtJYCy#B>?*%Y)1XjcXyuK26T2C{V_~e{YbuxD)kmy zr}A+pIq&ox(=jD6L|YyTUwyCc3vPSUL|h$`GkyW zlE|L~?u@Y-Qh*;BftAr9CG)lL*%nO@BnO*w-F&Pm?tbGMT-y|#% zAgr|mJ4^nrB!9u@D>m|e96`L&UYy|n7<6>JfT17IBfQ#A;1aacbi*^(eBm22~WT000kLm@t1O;^Gyo|=e9fN5g6t)eaz*-IfVmy@hA z6ekVjRsx(Fzt7QF*mcAP5SeU=O9{<-ItGb-@m|;>_e;XEyjlcOl;A=81J}3 z^efG=iQAX_&;NitueYQmh%tv~?`$ zvixH10~$U|Ct)JUV7z$EB-(UMi%ZR}P?V~wFFQN&TK3H)!2VKzCtAI-UIbaj^K!Fg zd;)X!hxg5lj47j|ea~4Z!HvWRkBKtd##83gf#J!99PN$&QjgHL=i8U!>awywyP?l@ zhPquUv!#H|ZssGg#!gOlHrXTq50$nKt~(Sx>WYF>ZwDs0&zOx=w+=j9#(9oNt0q8x z4H~bpKoK50wc7nWNiLA_aOeG3TptEg9j};khUE^Ff2!N+RW@($wKU!9Fd5C>*XUpy z9fGt*p_~T{Cuo(&Ey}FMZBDq^0YTPExK{J02M7|wi7(!ul%#>WqC2(W6u<33r{eg} zuC5*iL)}-KHAuqPp=fSieP=q*O4*GgX8Nk!Q0bH_sQqVmmz6wPZ?A!BzOWw;;Z0lh zb?CDAvxTG;%k6njyTKZT`{Rpscl-hzVy5XDab;FeS^2s_SWWr%?rh|QgPql^Bj6<< z)Gom5lJf!d^?1#{pRlR=DduAZH70T-MwyVGYDs12wYcUx-?b1_7yGSYz;l6bJ<$=3 z*n4NWZc3uG(NnWbik$}Zax$5xs`DvvuOXU?elY6&*7~4C|uC{QUhY{%D z1aghHlI!pLYChv!G<%ma=QWpQA;PKSQh}DLGRv$4gB;1u%1J^K!`lA0=+Lt$YFXNL z@G9so*Hv}CA3FH)&H7>b-)rOdc)B)QIB?2qL>Y0!KwE^}dzikUh>N{x17Ac-rrQ4- z$bDPzQa!z%6xzfwk5+bCzutw9I>fQ{21?1)$e@I5EsxGc-sw0}!Z4$|-qNYFn8wD{ zl?(YZY69l&w3~!#J8;{5unuq=5!W7kw(3Of;(2l7(UahBT}S4dkm=}FhIG6_Y*7M?e{Hi8!^NK>@a|6Oq-6>ED#UfU zuqG{B=S<`@edXxsRX25fdh>;n+v1k85o}F%K~n(EARQk9G_62Yc&l zT77Ae%>NH;4S4F-tT)}5l{4DFxfvY1d9c~!z_Mu=Ha1z^CNmJ)i@VxlO2k3C&yO2) zQvx?{7L$h!@YQqC8&EMj9_X1CaF<=p%UAE0TU5(Aq{_>y+nz8pB^gRroI}VS*6kK3 zTk2_DJo#bOd0HAjfG_9bgzOil#_rr`vD`9(!#52O(D`q7s$KRIl#|CMj(4Q$uC%@S z$TbqFgM|zpm>X9%02c=lMu)lj`SX|1xpVxU`>gXBo2Z^M5nPhLD;{g-?}{h(-0^J= zW_G*XWWhl8XEo@a`P3mDI5ac_lXd@Dgocj!{(CrO$#DOEcK!OG5!Q>8%-@R#C4p&YPqm$7<)=C*$-{Ee1#CIQ zzxW%bHJop)U88ns53!H8w|7twr%lf>48i)U%ce|4u@S4xj|VV7HU>=qAdOWuaG#6T zWX_3e@KoSY^Rb?4yjW{6p%uUGd^2X>5Fg1Rg=EUUtsBJZlD_?yUhr z0@ly(mW>g^C5JbiiPq)PtgO~wzkfH*^rKt8c6Ce|w4!*vX^~Xqh_J`8<;U7Bh3Qit zd8c*3NaW7!q|li+Y8C`T1+BZ;Er@F5qLq59FGjZ7R&MuZVMXLnGc-pm`OGn5J~N?q zFc4S!&JP-tqyxRt`a}c2%h3Y|jT47=N}+LWY0g$Z+Q7vV)H~m{1Yz#pj5&ORN(EsV zh?2>?2q%hY+rYKovXJipUEAZAhxoufQLw~YLr=6xAREN^HKGK94N~6uln=L25CDmp}l7!v=J>^N;G^Egt+OV-N9 z{xolYpT^*Pxvd3iHve#DT$FRVqjk|S5e=^wy4e4(D5e41JJ;s*@JwhEbm3OQVyGJ-#@uH#;oEVc{<`+W!UR)9Jq@0~je9q!dVhto zWfMLFG{nA&Yiwvp5F?#>eVh#@j!Gu%RR%spx!H&+0xqqr&;LN~^y$yjleU8uc;w!_ zA->E6PSUs3h{>(RRXp8Hi(kW;edQ*H`(qC`xR*L)MaH&jv+fida zJ=8A|+YsmEZybRC+YiA9lucR(m>L);DdDhu@a_z2d>{~r)7})o&%Qcp0RcHP;N3&8 z{u_5afy(>jOH+!{C#&zzk;Tpj!3@#`HhZVx@f@?YhE(LNR@aQG93*$<2upY~N^XYg zH=MZRE?KxYJ)-vb5WbcNu8;_<1#t zPV0m#zFCUuNA{?fI4k?f3~F*ew8mx;PA>%=rm(1?JSWn^=}6HQ8Q~BR^ptD?td0`4 zKcQqX;!474rPk@5WE2yys?Gp^yR*?9b_gFPeK-_?(SZNCCjx3*#*IUE?3TPR;fm;) z+vYN&nOsDE|uYOrQ&S$!XD%i9S8GeY zpyG!?TEV64J-dr9@k{zQ$a>mSpT#Jz)qJ)=T1|EnaXV+Flhep+KNkgfrLjIxnjNv6 z{lMPaU{qh89V&-exVdrIluNNF!U0n2Ln`P{gdMy1vcsVT|BGgaWsMBs9S_W~>U-~9 zy~Q2CaZSH2Rjm32J5|6@)ba&V!gb^;?-dg17|J@;JEfaq*urR341<;huhNZx17R5q!ioLjpd(78GK@$_{0VgYe5J(!Gh7yH5>-YRB zNg1Nd%nEQQ%$d1yF!8UU^S7_c3JNany`H@n7KQ1Gkb3_{NlC`8)kkKa{9P4;q7CO# z<;oYXhQ-Ev2B=ZZV96JAbu+r0=_Iv8tk~y?6`3-0$TVx1qHmNTEn5sTK&;}aqygha&p4)O_i}agvWUw&t29) zUte_8YVX2o{#)_vlEXV`)A^5ziY|9KR*9mw@YgB^y~P&H2YlSD2ZPt{56r2xekUTD zS?-l*I3+a|D*26`U;NF^ZrC`)Oy~QV@7-81n`#NC1@f}csnA})IUeMkqzXo+=8th# zs;cy>A0$dz>)P6)Xe?h{?hGE2NK0ePRsSfe?ffveX=bXkyk(ww*AOx)EEgs{VmQOm zGMhhN^-&YLj5l(lKS{4Pmu-6Y13sLu@bO^daJr|{wvV(m4R?wr&3_#a_rtKfQELWc z0m##FB-78%%6o_n5-txH31pk3@Q4W6O@9uJ1Y@u&uI1@F zBSK0^HvQ0QYuR3gKj+`IWu+iHg;igk_r5$@@|~@2UA8S=+DL=eTuvCtmR?N$0zcH~ z<*LjGQS`RD=5hA63oS)dLB_%NM)PAuB10w;a=WLp13HEfgNAUUYR0lNM8@c>laq=O zQ@%8Z&p7vuCyK?kUnJ9wcQRfwiLI%!yDnHh9W>J1oWW-WvO!9pC7Z^&0d{t4s{S`lzjty$^btvM}2*APRWjiPXvv>&VRo~ zo71zp{nHbWB3O-ZCLU%Z%iVmz&{H)^T+EWXmUt70?l5w-_<$m(zF_RV$h zl0cuGKMOg-a{2UI4_B0it@Gc}%A|zn?Q@q10kZP)+WyvmqVFwEs0Ryf%i@UlRT^-X z7?0ab#B)lQyh9r5O%BEcM4I&EUmlIMyIawad6!VJnD_3UubX@o;yA&+LpllUu?!2< z)gex{=uu52uCp1syCzxPVf`C%G?4yqG-i>%2Ei-V^Xwgiz~G5){`-gT#6(X1u_xusTrMV<-qX(VLOd4J z$9d)TbzvUIqe3~TJ%N(<*qple6QiKQD+|pvae2Wr1+GGN80=7;(Pg`|r^7*{XUv1A zG$%66zQ;r(398n1WvAR!fXJ`@vi76?82WgBJUqPZ9GAg-mYCI5eTKB2&&btG+IWWF zZkOA9xsp9M`MLCZhCvA!DPpv=W~s5S9Cux(QK)%waHp#^)fG5gdSd8LkcLZF4lB_b z*AA5ye{VP;^xSM}jaF?=PNH?GCgznoY=~*QO?xGmp?-eW6;X(`ojTs+Vs0B3@>RGk zK1O(JV&fQx1LyiXE#u%|%cp}?`@+WG`7Xi;1_mD7UaR`rbrx(}r>A^N?^8nZkx2Kr zgOw+VW7wQT-?{ZqN?mi&r=%427nXII`Hhx0Mg9u8Bgb{A>Hk4j`qebcTwGz%Ry{-W zF>nxMJ_ZgD`cFU~1eHMn*EjxgM`K5L4h#EhJ@8(CCYJScG-H9H`i}jk()P-|z!>_i z@+6~;4X)ZYZt{5j5J#HI^qfoYg;}=s*t$xVZfiOYj;oepO>g4@)0xQpAeI$VvURu+ z#p;IQn1!T0csuHVZ{jkJlgaBfKz9ut`qjVs6t>pk~^IInS~;eXHHLoMvBrR_?XpHp}Q@wIAH_Gc{q@~kl%&WWdO^*QsWI!_S2GK1AgASs+ znkVC+iDMq7_MOY@!&P>R#W><0`>>vOK4VR%fJc=Hj6ege^oNec94KJ>=5^tBg$59thS%H0WI=BvG=zrw`Rkvqm=yjO3u!W% zK`fG?hwI0_u_Z?w2DMgWKkcD|$!FC94XJ97Ig6S?B9q43wO`b6JlqS`!n29*#in^Z zudl(?*7!?)h2A&We(A%rQ-fM1jmSsb>Zan^nur8)J@#ie_`|BP3Szzp&wq56njT+0 zyB5JRwU)?B2qrgli)W1P?LrX{O1h=n2ZJA)Tsu43FTgncWte@{=lu^`&?e_%%lj3p z#nsrZ#yjmslVF0-@A3t})j!@R%{jNjaI^1-r+OhrDks+{vwC5K5blYhH=M`pwi{Zz z9z0yV!omik930+pBUg+}yUr_~;O@@CX{*goICDZ+4=6hayVHyWqp%qcN(q z;kIb7H#g#9aW*#8JwD0>@n#*n+X=jm(&UzqN=f^qof?(KEckQa*Ev3>)F=e|B{A32 zVF|4dHyrM_)5$aQjcgylOd9B|IGQRZsD3sHig^9{H3cQ55g@NcKnV2rS6>N1Ec%9k z3a$G=uR&a}SzskjMaz3W^yMXSb*pgI5@6-lGZe z>u$GFtc6(8c5L4A$eDK9t=DAqeIi@JY)o3cr%OAENy)@@!(bvefL!)De9Euf@9~P!;aeLvb^YXl#$72*9kjdrS&<5{K?pId3S z9h2TcU2rz@(D$8%j}lA`xuo9I`y@=b&!uqJ52c#%Y)GGNt(+(H*j3>$-A*iI6X0&= zWiu`PbT4zf34nR;!)re)@U&oRW1bF|ADgw}g$ZF0Z%vMsE36lJ2{A@o88172 z2c#2#$0Ifs(06)0IywrU)k_|-f1MlE;eg=1cXc-)*xvkS@Ixu+ox#4g-26EWmWi?= zQL0yjljNp+d^|P(-pbU$uhg{`B3vg;ENY-evlKceKAd*8k!ZJ4*K@_sY9Yvwx%F*F z-igP9<|CyOv?7UXArk$DEWcHbXYR$(siLblG}g$%LRK?Itu7}guk0tB^8r^`Xqo*& z^UyVCDW9ys(MWOCW$9l0&f1Nm?U1BknfY6W)s3{cgM;H8toQYdY<8T+kjXezD-E7C zLg|dpLK(@cBF0et+w+$$oo}w3rc>q%IEkHZeQ>%D@~S}P;W7`kE)u076WA8jt>nb_ zMw6M&B%YX(kUdwd2kKJ}%bC1WjB6Zn9Y`$Jl=EJld@4WC#S33~@CWDh#*gQ#dazoW znzq`n=4JWV*J2gv-PUQ*ytK50g$)q6*eaNp&V{zHgava~8rV)C zhAQ+|oyFz78?G~H32wHUc)2(I+63z6Ivqd+;>^oo8lLn+cu8{F*?ZW{K0ch%p5R$4 zE|bJf0c%2Tk2xCN?AhSB1O4MIE6K+}L-YA<^fjFnuk-o1EE1S2x`-}Ia^8su<7_Ug zLxNzu@n?O)N_a}6p{^@#p8v(QsqsY>wnoclZP$(zGlKItqEYkfCouH(FBnSoJaNQJ zAh~UzbUE9UE&3d&Odv4Vih>f1CjIeaW@e^eu2cvC7upTCJ{OxJ+sjV->A|>Wdoz`b zwHE5T>I2&K$TDx^l=}v2KoHqA6;~NrUPkKuU8YDwaS;kRd=^?cy@C*UFbQNkX@O{A z6V+c{po2zRol+WH%a!oGaXziuoR-fzU50a{zw8o7@#?AzF!_>RTVPdi5ueheg#TuX(wP8o_h55U@i2g1w`DPfbUaEFA z6SK}tv0$KM4(tYar@IV&joogCsrz}pH!V*i;So^S`ybKgI(7UNu(m3EDYqH=~urm8c(eVePobjEY9KKv@q(4*DI z*xbhZk%MV$JnbjOW9s|RFeze24d%pgr7Db7nR4uQfeR90;YM&PCDSNvs7gt~hkak<)zpZE3Y)gqM=HmmRb)WZ5<#$N^jU!&im} z8n(gt7}P148SjTdE~lTUA@{4rl;tT^0Tua|zbM1@h#jT!vh2BWW^R#PYM*a8_t@@! zIoLJNO=*$UtTX*$r{b`Wd2_z+7v}BO?if<%1q(0h=KZ;p3ICm9fi;0rL+nT-ZF4IoEP=5vX4aK<3oWKv?AJvg|!>&({?g_j`p zk9L3$fFWc4oNG1?bsM;vyB72`CUZ!2H?{UFb*?UOICv9Ij_)L6^kD#N=>Pv~<6_ z!zRnVrImmhv|N|6*{`aOnvQ3wNj5ijn|b$I^&-HN1F(h5aa`Q>wVbw~_5Jdr!{&XJ z+`{Xl1*L@<+f{2b*h{XFh9%LpKTXz4=d+DFb+|bt9|~Vz+VuW#-|Fv{ljp6u(fAhXC^ zr(CJQhd05YV&0U~l?N6%qfDIal`h5hbL~}7Hy$a!mY~|TwhVC(-?6ijID&yr$GWGi z^DyBl>%5Nw3wv~&=iZmFT9})&ym)L@KWsSS-D{G%-tLys9nVS34`PT`txkT+uMeFr z9I;g6GFa=kn{N(YyzclJ*8F9uz)1szgUJ5M;~gH`k_L~#=`IePvi51nWE%h3qTYEe z9D^3rbALYg1$P(KFQz=B6DR5tv3t*<=ymS~=Mc%6SBjBYZWb=?%cl;dMIpNtXFbIZ zdzrnbEx#a<&J>|*0dnyil{&aCF$Iv_KCk)Mqe(|*;>8HOC95J`q?X;V2+hfC79agv zeNk1x@{chZ(b*}s19raR2gD05@ku}E`$C1vO!k`%4J)ii(z3|KW%EZAlt*lv6xQlB zznHsr1(zfa3jXCLB%cmRQ8olv-)YmS<&s%amSfk=^#Vclhg4O`pw9(oZ6FSopRX~;q-r?(t(82aCcc`F zOA}sI$hw77P-RjJ&w@BJ8;!&0rdAm0U8k!Gra~-T6TMyJDa6mu! zNWkk~Y8%EOF7zG|ly!s|p(Cv>O`t?f4n{qFIUg@B^ei;!E9F;u)sp?Wsy$$G!?-O! zARIe)R#8&=K4HD^$B~R&iK3u#}95=TcGNu7}!LYPBS&}ND>;~)W7qyJawl3Qb~4{@ag)0vZV za5~%XWjg^k6$zW_`0A%^)o{(xJ<$prl#TzuH9YTn?PnF@8$J2Yty_H8vbz__0Rl^T(N&tz*Gc-Z3?7^aHGKsrDf~Buqi)^1j7Y#zE#>XdAW7;ZQ4m zP^Fy(vrH5%?eMgFGKW^$lG=T1%Ft%y*%`8V?G2;&fc@`q^QtekoRbW;6X(x0&I%R< zBi7u*c_FSXrh*p0C+X#;-51#_S{mowYY=WV&EJ328`=gwNk434!N|Qen`wc|u@Ta?P75x*Hb5$vh|S(~sU=Ye3hwXfFbZXDcaSU;7$OlEo_kA&Ai+jEFB_ z(ub8bkyw(iZmvq+%Ti_)qXe-5V+jw^^P^&P&pWO098}5#d1zAA=Gn=Q(AnZmjX%Ar z8eh7$)-08A?0@gjDfDnOAY1CyayFY5jt<)L?|{=JHooTP^YUt8k|?r-3TL?#s;qqB zL{F3UwOT9vE!~3#H2p^HV9t!@if1FEqK?z0@}`E_PiW2dZLw&7x3G;Hjz>_Uu3iG! zqz&uMErexLzD7P)HSD4!9+QhgI+!0t2qt+Vv1zD!c9!KAhd0O0(ZfzEVLauYFoo0m zCrq)qKHvI6Uyxdb<2w^4^J|Zc_lLW?1#TZ!9GYEh*e?L>7R7!{S3u?yUyT7)GwkPt z7N78qMh(R+fT2mxC}jV9oA!w!#9{(cUaV3VC|z$3eY>`-Ktc08B!TwXBjUI+^D=6>+I z4s$iT4=zWD@2nVsYVbD<6fwir1!LOCX&>hZA9amzp&et(LoxjZ_Ftp9>sfiEt&C8l zX?PL8{MBR!!7`pQfSjQ_S#(hx`g|}65riI0VJ*b?P3lk-;cUMIQB@bZQpjO2)F^%@ zv6#;aD3X)+hKDF(>9nP>)EvhTm1FwItj?XpJ+Sw^xNaC*IrvO)Or0d_`-axH@iI18^WBANb4-=F5%o z!B3S%ZHvtAyBZ*(S@{XnUBT79>D;i8*X_t8yk~VM9e%GYUD&K|8s5Gw9(!R3S*$XM zg2gB~NcFa#Dp~nt0QZi&M*y--q8Jd4i`)TUl*W5wBZ4&XmrVXN>!iGXO8Z1iqR~AO zla$wV_i&qICVu+eRL;L+_p1Kb@&Y;r)l%)3$jJJ8s{|^|7TbUh$%gxS(9ODiQZprp z(4ORLN4-~d3J9x=jmWmVpPQ)SGKDrbFu(Y-MejQSr$T;kuRIB6Uv;lj!4Od>|$z zvGIOLFebrnoc1PStc<%~9T%G-*Ht}wrWNsCCutGAk`+(aAfrJ(P&{j1Lo%UA@XX5FXy-$ds6 z3Q9X-Wgk?KUOoN#a`3C=xSn95LBx)y$}*Y+hn_giyWf981nFPHsH<6PzgdZE|C)IX z6pFG{y~%a@&y2{3elp92&3kOibkEbN|PMAs| zq9#=MpL2;H`3i&eeNk`5$b0w77MXH4qfs5dYb@S|J!2Di*SpL(xj9-kyGrBxh}%`E7w|gBSgpfj5tlc|=~T znQz6P?an(>%UG81?y5KJ0=Y3~AFUnzV-RS37h9Q_K!uoG$N992>Q7~EyPw+3@!7b% zkbt+QNulY?;-kLVaK*O&PE}{}h&40{77WZP@p+Dr_%omX6Y{D{iMMoAbg<7kvi%i> zP6!vq*E6-7!L`~iC~;oqhhw2AjuW48Z6pQMc)dElrq4Zp=_q1!j^Q2l_CdBtLCMWh z&F#A<%1@h_7r(31gV2NgOu3J3fLSfvh-LC=f{$YcRwTIbALeaZ#Ih=iiuQpCHtXYR zHP%FE--QY6xRH0et3Y@}*6O3o2D7dGq1FS*#_B?}NPzPkWm9+>L&fs8zt>oNO}};#A!(2Ma4} zvDZPdykBN@wn$z4pbLLV52S8nr!SL)rLRENOO03su6^Dv?1S_GI7H+Jfqfvfg5vGxxdTy`F6{@fq zd_0Z$@ot)x>{>`UmAAlB0l1OUkv6)#GFr|!cOy4KJ)s0W`y>NM_i05QY&<*(Qf1p^ zzkX5B(fybeq7r3LYXiJ>0E3?|7@68*eLcq0vn@1Dt-SBOQ@`+4PY+Y4%l*32iLs~E z^1v^KHhwR2SH#8RY;I8D+R)cm_uBi!Tu*<#diU&^Aeoftd!>BXcAWvj6EK8MG^Qs2 zfUz|+*Q+X|LYM&?<{6vI6Zwh;1_li-CP#NS)ThHlLwdb6Hq)s-fzRz%4yH?Wn&5kY z3dcbUFky>yVq`=f&k)XKzO1es-Fw^lVRobCAw4aneml83+%Y*^V&l5PVuI^q0g+~s zo72%mehmS*CSPu(E-!IDvI=U?!+JC3j2DN%OGE@rHwgn~x6O;k(<0m4UatD~&PJRtC`EtYXk79h zkx#x6Gc4OwI{6<80Oqc7<2KFdr{AF4ZbltuGb6jm)|^2hAH;ELG#}~wkR?DmY%4kG zJDS7vC$`BCkRXua9-72|?oss)A_s(~gxIg3O9Z5h^q06)Lzjm&32yc(%7 zF<(DUVGIq~_$FpdIUTwCVZJLM;IiZ*hf(u3EiMXq_xXyw`D)497Iz@N)jYGnk$WAD zC_M8D^@D7Q_&*CQIMOB^+w{~yU#=6di3MhgP0h|;hjJ|~BOrz*v;urFIWvyAT+V5? za=v}=Z}VwaRQxIrTd>~t9mC&5R&Z!}vt9rc_5+V2TToG1xk;nPsjhNDUm%0`&5kP~ zh=`~YM1&OyRouwW>W6>KVgfxISbR*OUVzdc4Gm500E3uVTa|dYuaAY_F+rq9jfP}# zE-bNRk$85pnme~Z%G6Nnw$v?&6+L`bCGn2M(%>*d%j`q0-$a{zkzI+ykB1t|IZ=x8 zA92UiV={MK&CUOW&LL88S*Y0^macwCVj_N#?F`_5Fb4wU?!#YUp8FxWxw&Q3U~L;2o_C*T%^*SfAY175&l4K&tw}&) zU}o+qBT;$KbKe36E0UA5voVUr0dtfnX1K*EOZJXU+&58I$Qc;!u|Xs(hSuqXU!CbIkNB^wQjW5w@UuRR}hUk18RW;GKZ+E`QX$lGshgBuWqPHgNc zv-%A>`ai5EeQ36lA*BN8>v_yqTLH8A49>sddmOu89fsx*SAWK0Qoh?vvSH!nHvL07 zYCYHesyuapZwzQ1(YR@7vD8RZ&fCEplG186yAIxG|2}hQkYI(f&o5Hw!Y=52nZO`R zz(s8|Izk{ciJa^I0^bzF!k6Lh1BBxR7L-bvt$_cj@#oF@JP;rOr&Bc0Gh;#@cDq&4 zdj#wC-Uz@141ZmXh}B|>p%S-Tf~JU+6BB^ff7?Ei1ik9LMfao~;X}<~|G~Jopd6~^ zZ$f0LPawA{N=K8g`SiTk%c8o+&MkPFQKwNZoy%}FiEIw;95w+i#V-xQRpp$V2xIbJh;b9Zf=9*l@3t+7F=rNZzlQqzfvdTMNAG~fl*5Lnlt;Gr zG3Bw+VT$Ja7kjnhkzz@5`1}tN1mGEJ!D8;*25J<9awH3whhC29FR> zfgSoH_2~n9Y^3v!@!^|lhP;{BcnEdc#gr5H^C-D`hA@P~b|0?EU^d}o;cT(Qz20`LJ zE1x^%oz9d2RjSiS9We4l>9$JLGQ(pP%5nS8d+3B_01Sconql_-{{HgvGBEWoDGk%- zdHqAv4dd`p2Ulv+UY18$1h3U-DZ;Ddg%>94<^#43(R=*s72E06{Ds%~11{8!wgD;R zFlKS;SO`#o-v&*vrL+yc$G)Fe!Ikb}e>bPNl*b4TkZ(ZSDT$r1-+Qd@29&@kNcso6 zE-9a*7U)B(&vUn+Dk8WOK0T;y9bRu8sf+w+m+NyFZu*)L{;>l`Za7Fwj~3&rbO4x|+)e&(ZIm?NYczqXrE@6hKnO|2KSB zS*{ivRmfaAS8d{#aE5u*OBnR~ijN#5n zj=nD*jrMZ`El-~}xx56LXUxFKunB9vbdWJB$Dg=)A>UiAsuugdz_7FbBMf^H9kWIZRmrtz#M!$EW3W>3c+*B$ z{>U>n5oINn9gf5}B>G}yl^T}JI??@}4F_}nY~&y9aD3li?XXO}tDgNH!SsZGg7nH| zTe#CKV~uVm8?Q`;X4`Kllm8EtF~+w%il?@htuwdu^b|cE-&F5S;_8d0==#wP?Ai@1 zv9X}Mr|!MJFL;4_B3}%f>M|bt zoF%_}T#d#j&%ANRG`f(<+MZPo*CD!4=0v^uPbkSciE?h4_L}TVm{0r%$~z(eBwu<= z{Yo#7e`QI}n|_Hm*1Vsn2sWQm_v20D7**=+$&%^quZ8&d_;S2JD08&8jg?)(7*)w<|c1U0;mzv;V`01n*wX!_h8mA@CGZK8Nzs!F6&^*{R&(ZmP#z5ieL+`kV zCOvbxL@mi0H9op?yM|IoQM%RrF;=dXWa+Hm^wI(aG}m|j#@Dm?;sQC{O9NtXSpUfOLSZAgsrmh)Mlb@bqoSlQ|Bz(ezG9rznOI+$l+d;8Y!g~r zn15rW3IRn_?B5v6<@3L2vQW)z`woA^6x{GgR^GfXXmXN7NoiUS&+|F6q3F(FJ29(2 z`N&h}5%M~^I)6RggmnaMVD^CWUnB%*Fd&S(>ZGaqkVDjfK$qVq|6T7zzv_I9aQbsXhCP|#Gan_{_`jk$LPQ)F%^pWld7kyc-|GV z*N=-ITnhgIwC)4bR)|%NcE`PjV6Z@`w~LF5gM)*%cFMO5lnzJ1?46~OrPXV3&$UmV z#2b;xi@y^6@}TY*Pi3rqxNTOK+vHtJ zwU+tJU2(ium(~^k0Ywz}p%zp-=!8E*Q?F}Ma2$byu%XvaLMq%XxK?0f0=l@Y)7QBY zbRPu%>e?`Yc`UV`xgK$d1m~b>m*sv>%VwoYWkkQx(Jxx}$0}wq^5a5#5*&>;*?~`r zA}KpZ9lP7Y2>)_Tut&~H)R|~g7X#$dEN4MbT+}D^7Z;)bH{Poz47;p!eB!TCs`{zK z_CHD~Srxr+&RcaKqU67MX9qcoSnnC`(#1>ce;a3^bM8rHs|c0%(Wx!^hHQxNqmFgw zOYDlo%5?0b>okqTKMNBmrflJ6^Ew2Ol|7y+MFy@d-zlYppGr!?JVnLC>>2kJB(nnE zH~`4eEA&NsH!`nW1&0^@21dw#fDtdp|2Hr)d?Acsb3JMPV((VlL>u_W5EAwPddnO5 z-&gnp9&TiKxQ3tl+x6R+k&o3rYK=5-hJUFnN$N@G4^P?i`>bSdj%ho8DC--|obT)Q zBt(Rn|16XL)?jfC%0t|{eb#Jn{{+F@^_?0xxhM&iGyhe==LEG-6vRki3K)%-vsH*W zpg6OWI;~+ocqGaTB2#}n%6|J3*$d`Jt`m^=IEqsyZjF9aTVg79ad^2o*`i*!Xy>_9 zAS|}!&tUEAgKcPl;&aTtC-dp+z;nBf4f1=8nNRYv-YMWgkrBh>J=wpwA=DGhHeEY& zz)_J*TJtpnEJTd9Vu9QZg+hES|HerD8x*4X^_!8Z2>OSS`hS2z(}0k=K#ilP6=_@S z>XJzyizgr?3|rSct=s1fo0TxVFp1L{sC|Dq=2DrH(_+(Ng+6_=wejBnQnDdEwPEL6 z=yT4)`P0XvO$O{}aUG8V-xXi-&qIyS^J9>>zbVga0hh-AGQ3--=;D($J7VI4dZr!0 z$3A04-vX|%WVT)?*j@XHnV!+hH2;-PAZP!DLAs@k8jN%l*em6E0sjp?Z^qioGmHU_ zDFtwOIf1>>J81UE|92>8;(tUznuP!bVQ@kFs?Y1FsnICz^kNQUt>8R(JD*cuYiMip zi&=z{t}8f7s1iE7fx1}$bD{T)96zr+Ea4S`C&uyXVKj|+UrO7rw(bF!TVo@NEDgGG~R}8 z@|Pjj=GzB1RWGeHa|+~n8J#Z*HaM!jQvYu$Ro=42^aMEx`)duBbRxVW9S_TZ2#C!i zz>0qG__KTP^w;NYb3RD42G%71soDoh`zVa&_t0%)%uOOZ#MH^iyv8`aRZ{ju^y%E~ zGUe}kIPt{WaqoQaE8SVyEM?yYn?b$jp|Ia#Y?ytpCGi-#8PRJz=%f7ccl(I&6tPBJ zRlCP&1hZ=hSg<>yr-E5mRu-Xdz=FIx<+^l`D$Yx&K_sHi(edTkNqn^nW(-+L+FAoL z)i2XQ$f30HjPFm-h*OzqrNJ?eG0VLu?OCcXpqHl0Jfk3g2_^=^d@!AF&VRjMwQR4!z{=?>}q`yX1=w^uXcLoo)}VZ_#aC| zAfQBK1tbt3W$T!9as-Lrp%anc=mbdk-Hwm31+R*hTTWLkb6R#0mDd~_Jmk;cJPw`u zTf2edU84RmKIaY&A>B!zV(kqna)irakN)r|IPghkzDn8jv-vfDD3sk4*lJd*$(=CVi=24%2qz0GJkRhd5pM!|3~>$b!+Ymf{3+jEe!fJ0YBZ@+1ZYc4q(^W8_l1frA!svx(>WviP@j4{>~i2)&VAAdqAZ$H#J8i9VZ z(b>kBwt0SV`NDIhe{gWF&Bv$S?wf;cgsL|&g$0*yew{5*2|7@77-P9l%7*Q{ zas1p1x|(`i3yM7X#P4|yS%KP}^h*z+E16hd`pV&nM%`Xcw?T|MhFY4N4c_e4LXTyg z_nijt2QJqE|Z?X^Cw8DLKv&2b{`b=iE_ORip^1U!+N-40j7@EN&zct9@h zg5dYCh`XAegZ`Jml5`{?fxr`WrDh!%m*ttl7BSE|MUds z^5Vklu!nfb>+D+}mCrD(;;@o*0eWr zMGsHa6ma2Do&;e+gC)INcEdEdq7$)j4`00dWm+tD)K3TUe;^GfV83XF#}YtHghm4D z$b1KFOK+;*_+eoiv&PL|p66%3b&Dy*rfdn-`uJSx*^ASdxUdyt&*t3YV0*@X=$g(I zDLF_)SzNS+7Q9##*?pVxwCY6TH>x+o_|g_6jp{);MjR7D1@8w|1R>Jlepd)~*SB&* z2?ID}@pn2`F+tL_<*A$;rgkKNyZ&`xDHKKkaYh{gkow(o10y_jd@1hlUN;w8^)Kgx zH-k76ex9K{g>LDV&F|e(jit6*D3^0=X4!QqdTMXt@ZYjjgS= z2&=_DAt<}elI=T`(EX; zkWl*g zc`6e}fPvCt7d@J^A(8l3>h(gh0q7&5)#z=uY(py~0F4lH-{1@eAY*UlJ%Y|l@iU}* zPuuh6mi2vaempDDG@j_|>Y`(0+`2kwldmFK+DJQ?LLMEg1qDMGRuYHZ_}_UXJWh(d zjg6bRa)Z5vUO%`2?Rg+jlu8vbDta90Fcq8#;$YC~qfhdhNZ1-h@aJ+p?SZ(F3wa!9 z%5b6;GQk)f^?W|Wf1?Z*2>xZ8X*O`S>^=^gM*t>zP}|g0SKzt19v6DA zv<=rOf%BHMV*IJ>o-~yR#+JnGg$nv47cLSQ7mdzP%muog_|a0_xhjs96TD%em}9Wt zz>Qu{*ZmGl0bHB0D3s%SAD}m7KV%8F6ItBBTV6bwLT4T6mDV5ijH2#iTo7DJvvolt zK|I-5QO_6|L+BA326Wk$Rh|pjErf2Xg(7N>9|!jhH+>|7j#TZ#6?+)bFH$<7W92Cj z%P6h3pNSV}8oN~H<08j zqOaEbL#Vt%bspQQ1wsdjp7k;OS^wa&AKEjxm@NPhR(f-(Ju6HsapGTn{qhB3Tku&o3=vwhSyPTGN&>kFpaPnXZnzdjsTs6R}1q6=ZIYg1wNjN%a77`A}<(|D+-^W zgehmO$+(B8BHMkPkPUlunENY)lE2ydkS5G3#FGGeqfw*!x$r#q$Gd|4Bw1T!ZBX@P zNHT(oC0|3M1p_X>x($qIqhw_)(%J}6`=oLj!wF*>FLS|@9_v>=H35ZdrE5c%%ZIgr z?1IB>mJM_WPMQJ!{$o$qgUY+d2E%Mu z6K({72nWAxZ$x2zWI~r3%EBe@KKb5g)0qq=<^V79ERss%1~fke{|H*VX%q=W8% ze3760RGSSdn=lC~YT}9&pz{<7hacxXHYFR|chi+{PNIn!Gz3$U4@w_ zG-+~Sn|$%VF&yoqOM|97i4hw8W|w)m!D+~G%G&Q`Yra4@QWk0w( zf9`fs&uP(aN)VNG+{4(Da1lm+G{q%OY>E|D^nQWP%-9^#AE$$BJ4o|@T90us)uswQ zURwzo=?Ne%+Q%b&ev^EBuYSor6od3P^vOqMW#0;%9u6#HX{m0-;%^TyEA^c z#h^GK?t1tgPb|b#<2TYpP*`F?6cyj(L?m#myw*s7yYe>4L8;<)8-6L}MWhcrXJiuhG0qoMcJ;i~<~t@!1&O)TXMv)Nvf7(*dPxFv+0Uoo^A9ReFP zu3yKH(DMsOJs^HD`TCyrtp_Z7#}|6;tv}|Sz$qBQK_?E ziq`Amc$W-)vNK-x%UZN57$81&0zDTo&T1l=OIC;#m43%*-(+pxi_=opM#Qw!j6fVpk%;PLV&y`D ztJEw0E*(QOKmHK9fSXvnnT*&tDc_7m6T>$8a>~O;No&g@jAV+XEqOjOi9Y)9jj&A? zl9Kx7^2F*jTW)82__F2=XhfmS5kwwxN|dJI-&~4epe?)@_3IRd&}QB7Q2KRQ*PJmQ z?-XC>tGt5*w&o52v%Sp_B2C|}V3qP_pm92A@aRKjf^kZvPa=xM;)j$v z>S5h=Np@kH>@Ny>B_;z}Xo@vyn#4dzRTbXD9W>%^v znH?E;A39ztUxT>~POM0yZe5Is{0)5p!wLkjrJHmbXl`lK zo=RPtR=m>);y33A;%w$ZAVe~aBPcQxH&$2hk~me38;<*eX^g4Sjl@ZU@fJFB_QR=S z(6oElQ?-494Fm)F->E_ekPl2cx8}{UBxzze4}$Y&w@8nzl_5y5Bm^c%%&oT-?eKKZ z?Ck82oKwb9LC^=7*mo&)nN(k!CtT>YHfP?g7~6dv=v=XB*o4m{fbf?>Z4*wvxLvq} z;4W(Qe5xcyn#amBUI#ywu9YXf0gm3aO|;!}X1`EZkxZeHn=jyzTaGNGlzea|fnJ=gNFZ3XaEP{Vo({%U1l>A8(QqQ2keRBYY}e0TRz80aU@Y-6;9W3<!zuKaHgr^jL?zlmPlp5bHYtiii{~w;{o#cnVQuH%s zcr&qgickhbC^orlAeM+HQ}F zjpUVJcxypHaavjv(7|bce;?==pFDl~rO|n3uEq)g*L&Cev51HuYX*!%Vo`bD35P$z zSjg}LQXpX5WNv0AD<=maGnYNkij*6)xa==n0KmbCkK(SWIkhpA+||_uIGJP6@3ZS^ zaA4r>Qrj)CK*-{?`tr?*787WwEJeZ9laG@2fXnUjjgS8dik<=Ct$klhYZ^2%eQ))( zATcqq!i6&y78Vx8-xF8{MMp;i)ALX`S2;OEB_kjxHho2>5B(|xcwV9zl&VLGB%FS* z_V)IRH#8oAW;MQDh8!PvaVSxHI|6Cuh%7NNv33V2V(Ns=15LJ*!INU`1{@hW?&ASG zcvoYXjI1%q(JlQGrWEK~y)e#!x{9@lCiZBDr znh_=#TipQj!2ptFQc3$Qpv`=$uAatca|--%k6*olTCe;h&8BlI8x`7cyX6Kg#Skzs zy@4YgsL&uGc`8vYP`uec;-a9?pLZ2uLBK_?+vlQ9DHPQg@^ zWCxcz*mFN$=8j3s<^-=F>;~gL|5IY{i6ome2l@s5qwOjZzwZ0wDn2<3W6Xr{%$0kM zP09wcxda0TP6r@A?F*YLr-4br)*P!ut%4}D8wY#pQY~+$*>}IjQx;vNEj9`8p(`6_ zpG;>zP`M+85@n*%uqi!ah-D0cuA6LIq?CE;FIqHy-|- zB>Y)~C6}aPMyQez1^!7EcmpWz=-x@nxW-y?bt{%dDjC7AtKxt~!4w9|D4)7&x9p9} zhV-ZyKMu9j6!b4E$5{S8_v44POR&h=GT^uP`1o*E>lNY|I% z))3tG*QXGE?b`{?bN1gFC-WZ@>s8*@azd`ZIof#qqtkydTrr_1zc?Z}*;QV`M*~TZ za3tMxBe&-sh6{PJQuen+GB^u*BuHyXX{nNmN(g*%d^~eTJKd$X(_9a5b0uU zEDj57)sU^xEpQ5l!A!!o<&2E2ut&V-gM$3sKTt=}f}rd+cN$Rc7{lDDw{NvKp?bpw|{-;faGMXy~# zY?jb2+u1Y+#A)OZ|0as_r-aix!m-tx; z&`y2cDMb7t9=jK41~+0Hv$`mPvAnUry4tBHZrm~UE9i`3@uzhynI;5ST0zr^4;JR_ zEN&&?FyJqR-UXS;Az76wAgm$hg&Hy~6Q?$mszeBlWPlzSwxJQA39V6u8v8vv&tI3$ z384zA4$p;zw5C7m@Yr3uouJLUk7TH@#$sbID=cN=^(Za9lCv!9gIV0RysSV!5TAj$ zHfVCe#G9IaA;wfU6jtw3fzUugK8&e4yvy~PTK@ZTOI_DuXgWF?+70M$-g#3Q%xaQr z$qBWuPAQtl-xwSny*1y_yymBce_E@BR77Z5?i%c%6X6HC(2m>eZ;qtltdeIP>~^B# z9*AS$cOoSuBrFfRroBGViFkYIIR=kGX>>eBj=}t>9T8ax@!oP7P_#7jqnlXsThV+g z{>axQdOs{0$`Q~D>4cIZc9S?LDGitERJUI3i+El_6mn8PPrWn&`L zGu_<^)+!lVd?u0ngzPF(-#Fm--}jyRQcgz!V$qF`OUw$Z1XoH&z==_?`$|zVhBVU% z9x>!&Q8YrQ#y?GiZ+WaI z39}mk%lmU|D=bc;dDi%Prbqnr5FH<-;k>8hHq#!Z^GjZZ+t0NC;7m`#hythOP;Di< z%YK9@wOM;qZZ(q89e`}SEw?8`fQcA_g9uG7?pf4la^SAZ$6U{LjAkW6q7U=riHM22 z<{NA6%|;D;GJfhhsi=rgTw;B-v?5{jK%Dw`u~OLJoWhNn)Sg)QA|lIYHd_1k+ev(V z{b-uPWWNr)u=En80iCJme8I! zCT>NvlHPD^4jxno$+u}~JH=krU6>S>(suJhfv#e@rvqD`QH}E=adB~rU`VJ>&Ze~m zTPLLX8o2m2JroqGEhuS)nlprv+b*U=-ni_|G#Goa7%q{Hwq%!^@`a(+ED+A;j*5Rf zeSF;@Pvv7V7r8ki#$h-pS)DS966Lt)HT~YUM3P~w?pl4{r2}ShllGq5PDg(Snsl_5 zXxb8XK!~dt22C&$Zg?E$B7bP^61+jpo47lPZ5>vAFyag&jm z^rhhC)vWz6DrSr&Nib`U8Ju}e9G)5{e8mel*75i**VPW1Ysl8p5oe$!X?9U;_D>kT z=Vw32P+Nb6a91@&JY6#}gm>%dDx=RnmDh%^3Fp@)FqR(5YtDru^hJ(I@&bT{vu~>d znQ9kMIlVQi&Ex`h?FhMV+tHo+S@J$>XljxU6GZjb+O*#8O_#x&21mQOVSF7JY>r%% z-VA;zIas^TqT_b;;(7B}$r(KRM?0%S|+1j^I>qXeknaF?s&`aowGQbv+07aCvUs8wDG{|XywFF z03te9Lg)bolWFL$jJB4|#nRdN+w*Dc5>3zZ4v99sD}0Oh+YBsiF-qCj=}*H4O{jsz z(1=L$X9vDWQ63)rVAwoaRZ|Y{O-sQfX_+9~?21wvl=7MOz7}S-IA8c}`~BC6fe3dv4#p-eQCm8mc4ZR$)a z6zlvQG5IV}zifWY4G#>wlaH8UYuuc9X+A|^?$>fKUw;rwBja(p%bboH>8}3KZsf#C zHUnjs;JPcgEJnq{VnzN~lU0BCbu9W1^n>8!PdNu08($Zy=?{LMT1_r|MK?$;P=*Z{ zApbd(96Fk>Hcqa2YPAtz7DM&xYj)~p+!zs$z}AEG8^4U~;L*o|c1x|#Vhv%=BFbCC zkd|^)#66G8IRKd`1*>-~>HaHbNyX=Ad_?ZAYeOtdq>tW?$3o*i;6t&!|rU)m#M1xj$urDF{7w+h&rJzsq^ zQKrFDwCrkJ#$-#DLLI=Jlq}D zn$_|vP}b3R%3Bu}FN`IiA`UyUdvAC!xygXB>Xf|C$?J+ZSJ{G-#TR-f_DO|q8~fTB2hop5A8V85 z$66@!lSz;xv3Z10wp`IOW*7-_bs(!7H#zSFAFZ+U|+|@da$F~Y|?t1e2ISNxF>wB|GA;!O<&!&wwFDhWpqW<9>)|oGZm@QmUH$a zh(l*|-iyasA$_8)&Q1$`RKpqEzk2xh=CV|j#hs33Cbn+EEm%%SZ$kT-1YFO$kk2s5 z_-RFs@r32_E-@V(Y@cdsIyx-vhf=Cx$7EEndGqAL7}sa2QBsba?Vb}x^~8>$;7oRK zWWQ{RzWg-ALW9r5k(DqfHU#6MVsRkVeC4o0IB@U0<;Bo*EzSg78-&>h?ZWTGZUoB4 zmzS8|C)!_iHnUW?YhSxwo@|4f-zkdlreQs)8Z2A{@&U!gi4i&`!G#&mSeDs$S+{NO z`y-;1->G;`4;e`-;!G(GkN2t$k~lI?J8e@ClQa}B3W<-{C;oE1*}?_Xqwj7_#&>^R-pZ@l&KxhS?svUM6ID*t@(VuwFfrh(NX z4z-4||YrPvjX}_J?p{=8`X;nb; zndUP4+HxT%#1sWGfNL$Rw{YaZ`5gHPx^Y<-*^|jNKUn3|Mv`I20qk4Cjn5NE61*P_ zl}gp|8Rg{*Z)AdHLI&Q6XC;hX9hCd5Ps&;;o*gkij(O#(j2yzHqC_gT!t5+X*@~oh zQD>}zaD=yty)%AwoVZg?Ox*EMSj47I&tF>I)fJ`W!vp(g&sJFcP!GxPP3^7GFC{KE z`@J_ZbQijp)CA6!(Rzv(i^ed8n+A=q!+s)Ht#F;TuO$oZXXKJSK*fM5@T)jwzv6pu z{)Tk1r@#*dYtH~2esh*wHJ&A`u~PwOgS0L=d3jS={CW`%jsuXLI1eJPClByk5xWWs zJd?dL%!+zJ6~!02ay8OK)5ofKof`SoXwa2#Ff*f)8xEDF0OhUxF;Q%&kr5HzjjVK$ zad2E~6_XTc3)pw>$G>e%CPhI(X`chezd0`hwP;E^TV7ssUIjRN=Ux%(XERm(TKYC$ zzzbK~^U4x$iib>HJ9-zW+FbBm>xzoLPaFCK1?Uf-cPtC>J4SyO%eILT$9nP^srt$y zzIS5V5GnEJBr8pvn2o79g4^tI6#`8s?*IbYEVTfa12NLfTL%*9I$;R=oFbM5zE1_o zJ$~QB03E4Cn~`scqryZ+B-YQ{3%2=>zvj+AlBN-Wb_e zO9u5!96ZAhUYv}|&+(%%rU>vaH&ido5L44gaV=4-cGbmCF34nS31~cR4<(MIx^H3e zTI>GN119vcX7e^1Ye3v6;xgmF|HNoVt0D}P?oy@l9Q~BCAyb*smAOYHnfhAu?RjSL zy|fz3Ne1gNnaPyXh~n@Rq#y(PmrM1}bwaCaDh!EqStG|S2I5g`NPi|&N|RPN@ley1 z@artvVR;LsiD=_%x3Q|iD}L@CVK!S^dqC{IHZ=F01h6j3q;gO~;L6MwsRd(^IJbuy zdNlv%2oBT8mWVK|s~whvl)`{N10zHr3m5}MllDl|9K{C~rj>YXn4Ay)?E}7Yby8}0 zu)*MsVE1vw0R()X!tskWY84m0`lHmINdoLe-A2|c#yfVaf)o%&FCU7TE8VddMtXp~m`nPXLcQGr bD{8Ny7BKuL=$p60pAO280 literal 0 HcmV?d00001 diff --git a/reference/wiki/boost/boost_settings.png b/reference/wiki/boost/boost_settings.png new file mode 100644 index 0000000000000000000000000000000000000000..c20c97b495ff587e59dc9ddbc59df99aec0930b3 GIT binary patch literal 28231 zcmZsDWmFu&wly-q;O_43?(P!Y-3bs}g1fuB28ZD8?gaN>!Cis{d&9lo`qumL7Hbwg zJ=4`yU3E^Kv-ds|sjMW82!{s;1_p*GD|W(0Bycj8u$^|McS9c@EvrQ* zP3(=0jqIJA`EMd__f=XNy_bG(m6d0YIVB|}OG`^9CnwL(&%C_6N@eUAaJ-9ONDEMu zfkTksCaPa;ZCf3-iGYCH+uNKft=gHXsq^I;{1z%4gpp#b67m0h^E^mIR~K*DYNN$I zHa7OhLWlcB6qG8K6?Vd6pqL0LiZFnM83$KEadmI!g2n}1*e;bSG(fBm4O~Id(^JF9 zsFuo!K~$9*0|G9vjjWGk(!#;1%g{k_AwCgDgZ(9WW3 zz8#*k)6?q8QCK>9`n#96pQXg6KZZ%o8mp@rs)F`gwREzn+=kTpvl{Flwi4tsdMo03=o%3zC z%-D%1H8(fbC|GSM9uRKs3-#}BL*^aEz?yTQ%&v#$ztb($fL ztA8|mQ>6MVmb{=fcsMg~Hc9=&VnSF1pQM2pn3$PZ4z&%Xu_xD=!PJTb8D~GT3r8BR zgpJw?tAEy6Jq_PL$(df;RmJ7Ld1uUc|??oF0x_siqZ zf6Q*Eb?kfymB-r2(`yGVqUT6u=dntiDT==*XS34ey?3UrJqeJ~J5MUVSEc#47@IY2 ziT*(%SWHch3v1vsV$fg8`E8pYEQMjoN4QlHqAjZFlqf%jF@b z$*6bpFgY;l{!H9=p1wP2pZc;?wyXQ55xS&hf1#r8#R7yR8?zu^EvuuZKyO@4CyZqL`g4$zLxHS)mY#73!jjla{aG;r%+t}3#hDaA?e31JNq<#4{nnb zyh%-F!!zRgtH5q}fmn@Ucx(opwGu}O4=ub#f6mTWVaHms_+p=nq^op09M9Fd$mGZO z!^SJGbMM(c2s{D>`0dEf*fj_36$TI8f<4=nNX2t7 z_0AyTT(vp|+rKW9&S!b~uaLf+v;P2QC*=`wL7trG-UT^bFRk!2vMFwB6rLuz73Kwd zV}=-1P6cfRdxu4}+K)oQ{UhWRhsFpkYg>Tt^l;-j>kz%rN;jX5I5Q!gccde+42Sr@NJ1cy>pg z^b^ADvQLRz@iLj>iSoe+BKN_k1 zXl>|fnLD$m*>1W$v(SV0_UPX{a3?;kezJKUX@GVxdmc#@*5nCy#TLrYpUxDS<3X*1#}jC{Fr;M#b#!B=k>9iJ(e|1;wfJHAX~uAqeJsBkeaL8 zs`4|uJ;*BT&03eHAB@Vd;U9EoNx5k7Q3<2h=-rp@yNov1jaM6-=Mip3BzvwcsShnQ z4#3^0^Y55!X9<@bOHAvrARvZ+!M6c?ZX+fwFKT7y6lK!OZFw}4*JTut2o4m?!EN<`#4 zU+ykll@J{u2^dHdGcynh|NGnHSR%R2yV@5)sEVB{zsF9l)2No8T$N%K8lcwKp}?tk zg<>&34!L_PsyF*TM%EU-2>Y%#*_byRoSu~BQ%PrYVa2l?{X47u_`C4ss`umF>CZ?c znef}+g<))?SJ3%<@5}avpTK5XR!|H@!<3jRJB*R79Ezjpx_9082NmR*Z zf%UKZ>0$*=F0#;*@%d_f(bHzT%V-R)_|iy+>1=J(=U`YtwtE_e8JvGR z4kCtzhPG}xM~@BdMWog?+pcVRZ2Qt{Rv#}_t)yxhwyzj^kE=dJ!lG04#ovrm=PTq3 zQ`$POuFao;z@gUu(=P=7wDhB7~`6wSWsF&6) zuPWc}(`wLjos9!(;}Jq2qpyUdnfQ7=oXZxqwPjdqu2Z_tBO)Sd8ToRy{OLIRX}0gS zpvc{QJpj)mk?0CLolN~@ocwD=~4 zU-`nsGr4AQyq3qag`P|@KXseG&K8KGKI|rlBlRD%R1Pff9L5j}6TL`cKKy%JHH**5 z$@!eES#e~8E>EX;B0(%h-Jv0L%i}Np|B~7GP=jiRFd#010@~*aX$?AD+aI^QWq0Do zMsf5C);pfYtDEnx?TXex?|#3q_nDVGO2+|C}Rxwnn~2)&#)3cv0mH&mBTs(%E4 zLrTRHO~YZggu#+9tW?$Zbhw_VK8;Al;!$!oX?LP2gBBWEe87Q31A)dI)viax$jN*L z!fiZghTxgnL|P2#$=PerV2FLLZEL$ns!_-*-X1o-=%qEK`W@yuHPmr}rjHvMSoOH+ z^2=m^BDqV$jm`*U3f4vd20_9si&HjhcG<@D>GkCtUKeO20v?~IYfjtczgOG69#>n5 zk6$NJXmJP`#=v0+c5Xb$7UK&G;RFb=u5j0OO?ID*pPGir9fFh8KYJM_;wlBRA}+K< zHoZAv^zm=yvsXVmFN@|F9Hui^#T5jkS7Bl>dfm>J30-GJRe3Eg?yq`YE*GKV>6T$; zEYFb!=@|+%#X}I4O+Rgt4Arqg2_qd8`{^_dKo3i1tH|n|aW9uUf6jM{NT0dVQQMz5 z_T|6%AFrbs71OAP(2o+?Hu+pN5oD!q!v)(t?rxf&L&XN<`0UR!VOGgug07s24EUX+ zbR;2hulxC-8X#rPM$I$T8DxMW@d44CB))lkn*VVg_F)0G_oqwtrTVo0J>dn=ul0ZJ z7WzU+4>C7UCq#`$pr}K|*Y1oqjruVnkDnmZr%>(c^x4q#j_CovU&An#(UiSfs!?(({<|Jo}>6P>ad5ddgF+ z-hY@wBN0v$bRVO{{zGjHIt_s5yBU7u5#fl~bY`O&uqg4EtTY{5N@c>qMYnOvbl{;H z0lLcw62*3{k9CA;(82w2u4ZF=Y)Nt#CQpIcUZcukK#(G~5m`8L`f=_bk z9@eagrQAyi=eVRwK9|cAS>3|1PvcL~%DmNW@&$zhG07-tu-N+5Q^ZbdSy|Ywu{O+* zM3epd?T>eCNb}VAi-1^+KcSL5=XHZ2wEc1^d`df-cASQZ;k%|~M&jnft;M^BlKVgNIC5k9_*>$JXg+DJIhLHF#7B*se z{PnW)$}z~*%Dz%0DT;-X!kA=8Yd$qKyiHeZ$}l^H+9WDQQ{;27;Pe33tMiDosm$8v z3kUz`Z1GiSB1=}Gq;n&Ijtrs)N1cO(6xn1d)Plnp_L)BLMAp|aZpwdyIlD2{`t1!( zP3kL%*>tW+>*5Q#C=rU;nvHUwhBEg#fuxr#g9*|^-$MY0U@s8Ze9#f32<+r{htnG! zZuEBzbr^aFgKaZIEW=*}ZY0R2%q=V;HTSMqgb}A{*g4nT#Yd19_<8+bHnxpLEddgc z0GCb;%=NvFCJn}j;R3}neL}kb+^KHzdZLMi^zmEIvtUW_2usu(` z1HG*@np>)w?A7EE)?Fu-3O^A9Mu-{PD<1`)?5Q^T^-Op%eG{Y@_MXz`Hg=(W$|7d>2E3{q%X|Dl`u>DzTBMAor-WP0pJLK(gJ zxbW6GExeXFh&RkRzF>_0X3-F%3X@Wx!-rC+Vu>0j$kt7W)XY||Li_ZOO~59BGV~fL z%32UKLIbcvQI;BoCUBGcTsOiH6URfuY9%V5H+7;SxP@bm5ld&S_Kai zB9W9NLx(I`L-is!0F$zUjqk!mP`#!`+W2?a#$Ylii@0jg4ENamk9-!5y~<_O9#lvsmiSoQp5QOLbyU zr?yK6>Y;xk!1P{MsmFTucuv(TMFMs;5d67j*AQ!il#Z6Aj4bR83@t6gCNU90>1+%C zRh_DJQ}Fz|PD-u%hl#OTuGFG-z8e%uE+|M?deq`RYxw;DQwIL!@rqa)rP@{Z0Kdxb z<)U@Xk>75WC z$J721c|S!xHt|AYs}g>QGcAGbyM1;69i>|{DHt!pZ`fmyr0hb^`^ltP5jv^9qHUac z-w$zdklJZk1zFOFoX1H0p9YD~<(m%~)QLK;dOBy0Bi_YW!YpZ`^1Mzf$}3rxBB85% zif_LNJp+o0;;dYE3<7_{3P6Q(53SbC4s4ruto#B-Pt;5IoguHoOL#AD9zPMxG;i2S zU-WpV@hhIcKR^+_Cy+Ot6#pHOGkP}tGV|lUd1;W{Wns!aTzU(5yzsc~|B=aVCFXPU zdiSe!9=!c_f}T`mxZoHMOq@NAJLH1Q4=F6k{(uknMVx;6p(MuY<7re_DU)qDqt@9} z3T)-@Hz*d|34{q57X3wAmIEuar5rh~uKjtU zw>XXM+!jn)HWE&wYl_Y9a#7fAqa=9V)2?svbW#nQ(n75q(R zeed-2wD&dO%lTZr)6y+TWPNb=9k1 zFRI?wsa*Lo5BvtCWt}5OG`8k&yxRTwzcO2%0{`ZKzcb#^g&7i0&@zlMZZ>p}$T@^O zZ?XcCcS=IwMNoZERv`-cEIA~!@rg)f3u!)#Si03fmP}w+*VRKWG z^B5#6ERm6aTbL`BMlT2}N0Z2ywUG+I1#IPq5H+b5Rml^HvAx+WAgfWb#V#docX~J| zY?#FhhMn{$P3*a1wKSgn>_l4D+S+0>q9F3Q7S+D2Z^udhCH$dpemmChABOoyu=o3& zC0;ds>S;L2JG*A8yN)Z&Iu$BKl6Z#ujek3Mo1h;knpeMa*xUGZE%)C2wj1{{v5KH1 z%ld+v89E2cclIiZRa5Cp%Al0Wy?T6S8P7vr_uQ)o{kpboMp1?r6vxrHlQXisy6^Mx z_Nd%^rxaES&w2?PbZR1z0Z*}q$UOlHAgr^-6-ON2U!N6VVP^<^DD4mhD*2oVz6q78 zWK7O-4yxBA%>6Evn&!D48Yv*dr|dW#B2|cN$|T-5R~6ABe2zi6c?UDu=?ly-ppBFr zu4Z|5yY7d9%$=eZrBM%x-UYYc?(rRpKvh0SmbE5XHQx390snH@$-EAxs8CY7QZ`O=ijU_lMjy8DT!9Gur6Q9q}@kaE;JCq zrNvnm{~l=@=s&2rJ=V0NLwrjgW2mh-O>GP|{awyoNnjoGotk|q{3^gLA&wQDV?V>P zDBtAuPrPv4TF1=@{`Zwgyyh^~eU`8zonfw%Eb|ggYAWQ|EMCkSw2En}e)()2oB?ie z#n?5@RC7apd~{6QO|l%J0?+c3QFYYJqVGJSVv#o33ioBoR99$+wi%}6BKXsmZnvP# z9NQhsiY3%5aY_*pAU4vg^N~rtt}x)4MvzUEiJ$uu_wr|+h@{)<<>HI@{kw6ziP;&u zb2rlb?%+h_t?2W&)wws}npE>`3YSSSZapy78gso&hmabG z%=TBsH9U|y85@B(qY8lt{r2 z@os+YnuJTT)S?s`P|$LwZlL62m0FhUIi(RR8wKW)BfH)Zw^SX!<;~wis5)5nv{%tY z2?TFvODg!M&Uqu=_xh*r=|n3Fl>UNpT_zyS7b|R@MbS!u z>$rd@Z;Lp2eHV?*s78G)awvz(l>9Tn>LskK&5Mvw#>7g#mVO$|35Dr~8BNpifR1x`P0G8Vw zF;qGQG*1lB#7F*Rqp@20r?gy_Kfx--V`W^MmLNR*?*v~<%TfzZ2&VYn zeR>$DS}y++SWVPNI^#)XsTO>p`HXOjzM$ z1iCyY1GVpJc9^Z>Vcqr%&o1DcKW9OVQH2%g3niNM;1`UD1RnIzM--0pL;f?H&x4P# zWrV)y@5B}I;aOY(;Vyv@*P>3Qzkyf=8S%~xI4k4=O*VP$WuK2_?)$>5y8fX&Q@aGqty8ASDtS*3mot`x zPu+!6?eU&Mv$pc2;0U69B_K*zOfH6y9}654apn*@_BtiPBIIIrge?f{@t6NQd(K4$ zvNcZoGFc0%q3nR%jg$8VanJjrZ81mElRAZ*L9FO)aIZfiuU#)7$O%Dodpq*Yr%nHDFTXfq`X88{A#bLNC{qyZy2pfHVZ!X9Q2rv zbVY4iiQ%Peh6dR@YU`7B4CR6wMQCQ5^!84vaRBfzb_Bf$2?+*redwxLkv1`9=|6)} zPx-2iF|-(ZvqXCzEZ6;^iGcyv=8>r8eD-!r&}$jy^2Z};HSyjSLS^K8hMfPzZ)+>f zi@ASmh;3H+js6P)mr1HRJ!RLMP9zxbHg$s(tx{5kfe0KJ`sR{b9kqdxSk~lJD)B@4 zJKe2Bv|Nl+Et%F&ie}*IC|eXo3-T5~e~}GBn!=18uTOy0RvSRhjtKZ+U#N(0+$)td z?6wg31E^P2LvLskvF7Fv=$NO&cB*w{(*-wJ-#m8x(WbS>H9tI$Mw4M^xlmOV&5rq9 zlyQ@fa$Sw?w-=zz@u5B@V<QDnFk0_9vH7>6kDf*NK&efMN%Bpt!UG7cdD%xQ_D28{Jq2(%Z@24dU3$5QG}^{ zvP|Ar#M$T&V-0$qsCdU97}eZ2AmOgs1a(qoQOGM;p2ce-UOIEKLJpCinDvvY@iL}ru%eCYf&ty{D zW8r}MaF(tiHOf165{e~OiSkl74b8Q_scmX%XC{j?I#s4!tYV?BA)R$bodT-aBe(*s zCP1zg4V1oVY$vB(XJq(jL zovmdk2(rU09^0ibab!Vt1|`zIujwdhh=0zau#J3Fkp2y=g}hbm8D5|v&{wu;t-pNH zXR##s&gqodyz*tF6>a;UtVnp|ik(D%t`X|g2-)Fb}`_Q*zq{BvrX{NT#G0)0zEPaBPm1-`mdsE93! z@*Ih7u%WBPXe>Uq0XX|f8x5;k^(_VjteD7fw;alBWmbyF=TV=9YMv5=h^EF5Xb6x~ zH~pCmY7r+#gK<1AK;uWCxkKyM{6Uj<$p$eg5DcLVY(jr z*caB`Klev&a`Be5>3xBNv2?<>$?kusct4tly!8K>lCmK5%UmT zO&60%&fEUJ=~PM4SL9`-j3!&y9?7PvVPDUSFP8;RqKnKgL(1#Fji6=I(bNV`vYwr%k!c?Sh5;l&_9ivQ(`Vpml$2B` z5PAA#>A_>a<~8HHB!w8EXQJN)!$DGS}vlpL& zko?C`*Z->U&5=xCdplF1BAR+ye#=tJiT}Zbp7|t&v?|sKz^OuZ;nH|_1Uw{hs$ILxR4#w|Ds+d!Z_*HEfbN_n+6b7bAZnHvE+HeE zeJ&POxGs)~=qP#RpD@yDL?Zfd9)1vG!9xC*f|W1!JvT4T+Ft!53!kue0n@p|)~E2F z$n1gZ!0%J|k^?3`5<#l~hl@K*Gb=A=ICz3W#*HZWj(us3d?lWwG19u${;5)UYB8!g z5+DnQ^Zr;vLtRaC)M7u64z+BYN@0W~d6rcSnOF~SLj(hLEWaGv16xU7O;9EZg^UrJ zeGZDckR->A4J*oq-IXtPIdBX0`iIr8JhW5EXe`-e80u1O#}KfP)h}X)ar~DFIT|rz zj`tQisWJ!sE#4Z_FOcQ9uV z{a=mB2k@(Jp)=)Xh@7O1@BCX4o*H{cWt2Dpgf^Y?Mr-P3ZgimGlOQp#LS@Xzlh(557?b3&rb888+sC5n=dSGss3 zwaKz$T|#itdhIt_B7KYl1+$2m1WWT(Qa!iP6hkxRWoa2x%O$eO`rK$48HaI?O3^Tv z78esp9AkMx24we}Y}nC?H#Zkh=SZ4dPI_)*1v}d1BB2x6w+InRRZUYe@WDgODhJ3R0p<=-?WMoJORbk==tI*zp^-%1I z^~ws_sF6x`;XASE0W7T5*)nNmEEURkY}Mp3fm0Oy5nsH^=j~$yaFBXIT!93og)747 z&z+p$Cj-YZ6Q}*|x#d_J75{7>0vV17&W$SPhC9L;Wi|Mmv#3dk%wUN2;CX{M5tbYs z4Yg(ukBrDrk?4yibP{J^tI!!$m#1jvO0_36&~`L>g#+CUA%e*N)u~kSTi1=$JEonV zt~hPgqO2}0#w}ZvO!UgCVLVUtAX&M)B0iO#wL%6LIa)3VKjb$=c%(t+kZN8hFsxxP z>(>(k0h*b=P>?s)O?7B%(VQd#u&+V%#N)waI=fAutB|;Hjxwr8%5`BdG-8yQ!A1Fm zkLMES;O`~$^75Zwfjp;gg!>h+DH(Z#Xl;+Eu8$-e z=!>NxYs23J9|}paYKE=iL01hkra~5ey`IWshs};f?I##hW2CCiGAT($q3j#GCCK`2 z(lFm&zEFw3XX`?0pe2dRcDUhbmo&` z|K)Nmi|bDrUq;^hre~->eZ+gKJLZ#VmgQ*ZePm|JPD5db{&JPkoK*CGrncxi>`nf^ zx~esQos31f!kXnqXJWC1Aby>Xyhhh`p#XD3{iSV*X-JBcu zjx+rxA3?0PlN|rIs2_Y(htIAFSFq%$nrt-^U`L^d`RCmOYopbU`E{<=i{pG?`N|QZ z9XEDCE>DJu28U(2&QUFfH@*dzlYQ7m-z6{E(py3sTBqA)2NrBJGspd^5VW+A1gDcO zr$nFEva4(fFA=2swi$4aK4KH`%lk5H5MB$S4@j~tQaChd4rC*~Q`*OAtgo`r;zmv< z4V1!~v6Ib>%R3K8VV1(#vx&;;5a9c)A7e1FxTI@NG|S^^vcb-*_yTBpkQXi1IV#pt zRwHnfN?;&-8wKsHoDeW85nKR3bZfUpw$qs9=|Ut{D;vYi2@N0z`79MN3>UrD2Q?=| zu~e+w=|jbcn=(N6*~yq8PYFg+)lHr>!5W~Ns31MFIE0YK#>XmN21f_b4Fy}w4~nSO z&{eIgd-mX?T}53o|D;hkQIzwPzUosi$q_;%E(D*!RSt%b8grtkNl}}mi)dh20jRzR zQqAC{@X z*<3x5W_8k8BB1#X>hL)gQtS0Ur&WBI5ElUf{9AcSlUy+<9Lnw*UcMSa{*VG#N>;hd z>L(Lx?|;=0=`~v+p)2J=1Hi#shWDYoq)EBUT^1zFZh!2fWtsuYF8Eu`Q? zNBekYY;0^wVe%TFPvk$Yg(Nm>_dbtaO$JaTSzTX734%UC|A{LzP<*h=J^;1^nKqn( zT!FaB3ZfeUtVF<$YmmmJfA1RxITkXQC1*6eVz|a%C)btBHwNZ z`s!B_&s$WIHi(z2LX`O}2cZAIlQJBnh@2+hK##pF+n@clbC!GST_BkFDBr(lKPzB4 z>!SG@mo$t{EWRKd{~QELrniuEsW@Ur5IVK$e0lB1dAI8@yF79Ifx@v# z>2f#~SSZ(^$I^mkj=@4<;5<%=0tSKdNbstSGHjOT8UGpm;Lv_E#Xn!HFc&cff!Hh} zcakctO}$(Kp6mP|AOs0d)tS%t5Lp86U@j%s3$f;2~a3BL7Z zw*B-K`$-%XiYsHUn&2WjjqYTCxZ`;`bmnxuBM|X|iNVsPR{%pbK)}peQ;*^S zTkSRC?rb*xh|>yxB~DK{(m+T39VK8Y2Ycj`uuvmsrtug6sZ&G(_{gMgm{4mhv=LRX z8d);vzA>&r;f9Fs?*wmxgizY7HBP8yJL-e?E7P!I`m#MJv!Muy8X`v#{3G!M5W0ll z_Y-f`lc;eF$9r3an-GErX=yEm$r@Ss2x$PZ##_Og^hxrQlQ6-OuUN9UxU#gA3zxt? z#%O8ra}olAIUI#x8I(MQE>9kF9H?i8nK(xU^F}v{R}>|)t~yOs5vprt9!kjJ2p=9s zNdgKaH&StPQ3gU*`Rn!!)er>7fe_fwo4cA-t!%$6t{StsnM&bintgMX0A@+~%e-r( z+Wjrzy>ATq-~|w!BAj!E_6RY35VAnh&Lw} zChSS@Dxr^OPi3QTBsOc@0u&|B{rbm_BlxSK7lUKeUWdPA1WlTNIf4QpM$WZl(d3pS z0DDlbz3nSYlbp2K&EBxSbX$ES)|X=mz_m0E3`kZK%R@F4+>$wJK^n70z`@d7c1CN+ zLTZ?qi)sSj?w`SONRFV^Dx>8NB9un2MqzW@u@l#`dD1z zKh?1`Gpdk~tOMOPl!b zNC;QpLQhommiwVYLd`IxW0f09J#(hq38b7P8_!l4vt@TGSDTzJgnuxjoEt5FZ)V{; zcX#CR@@DI_wB!gaxVZv~Cd&h}MiiGe&Vma^jO$WKtv%Oc?T2wmjj-)UG9vR|2jH2H zAtoJ@yPx)=rCKvPV^e_)@U2wAoD)%A?MC14jN?%fSdXexaI!0%lK|j9N#N5*MjUlY zh7+wU;$B0<@}lSho!beBOhh-ydfwXiqUgy|zM!%0DGs+%rb$VwFPMnU&Jr%@sl9wl z8FLnHzKu(%R4+0>*(qMIW)w4BA5T#1xkFPkJF|uTMBux*IGT)I70#4NtrbjEGRK4a zK>2J^8EXTj0`_fkctw&-Q&}=yTeax)P%pr@7GgW2Za!<;uiC`zf4tOpg*RDCOvCPiU~&`g9j)hvgjLIA&GPD0o0 zu9N`*E5~*q6uzv{z-ejQTy!Uzxko0gRALjU$2MAEFC&$^GDd00lU_7bqziRNuivxE zPTbcn1=A^^Hs8-MdTG<=gK7J_?SUBwA01u@mPD#1a3}`si}RF)T9fglRJb+Dy-+VV zim|+2>EwZ|%kuZ&`=10W3tuc0UV5tw-7&yv;iA5_fY=7(U=hihl|#GevU94WNa*^t zvyb-bhOW6Iw;xO41bVh-{!D8Gt1R3+Ui#xtZwsn)coNat67+Q-7CEYksxM*|#lBmv z=MQOLi(5;4)YbmR|OW!?-(?V34B^$SHO+m^-w^WoYHHMZqLaEN zixM#AJ69w*`>ih%o;J6OI71}xCDY)1zmYUkPX)|0HJ_yo&zIkR;ut)%Xsgio7OS=< z<_F@TR2eOv1mhe@!={ZL_t6nN-z%xK^@8+?L@f!Wcp^TJ;W0dkg8~& zZs3lahjjkC?jr`oP*4e*I4;@7%y83Bns=}JWZEcXAlI660DA(cjuEj&VM2|kEk`F> z#kSV}iG-OAHFcezpL(8u58r|I2X|p&K-&1&T>~Z3?u1f$bEXRlroPw4;|4L`CQo4?}Pn*;fZ-cy3Pht??n1!ACYT*YkK73WBNZ1Zbz_!q=EfYR2J zEqg&s&s^(z0+dCf#`;ZjBoCV|U(N+NnpoDwZlN2ANy%a|C4vL8%=gCWLFbZ?615vJ0^&-k;c5)Cb^4qeIgXC@LNGfwDEuJUMmWcm~($a1!vz z(?mL+X85oAtRqiES2^Bcq0>dvG*jg~bOBplXN>yzH2ET6UmcHG+pPe26cPIt$@wy~ zTiI`#ww3IUuCIhNpv8ZjV;T0s>C+PtD~IZpv6z^GKqG!QS0Y%-vfMaSC>>YL^7)`ew+ZNwWB+)Jyb-97TvrrMWS^G=6Fy2 z9Ow~|(EY10BW`(L86>9)&>{6wEI26US26JIb1+>mRYy2XVQU$Dn96^!Xp5nR$Hgl< z#Yg%FWhM{H8qYO_)BRpU`Gn+rAAg76F%5{!`zDf51QzfOEl2QxdJ`#8ok|RoC2T+l zG9Uuxu(|;jGt;~wcxf8$SnUDN1hAy}J?&v(lPBnVkBR#6VN{KhC~GVQ5*AKcah(9z zN%&c!q0p9_+;* z@}Lbl*;J5EW%)5cYnhh7jVrt>?c%^5E#UoNH@kD#FKwBW*aTXZqJRE~+@$B8%)gKO zywt)>hiefs-~m>Gd2Mj{V?}ikv?BPyC>g$@9Yv6m%EKa@{UFMYpcEUSdx!NJnx@dG zkgyUDC?9XMMWl;(7GfX3+kW z00E>aPKLmijSkTfeW2iy`@=z|(u80#%O7o8nLngk^CL+_d3q}#7*2N7PlcgYmt z@e9v+JhFJjS%oMvqyPr3S|YzElNNoUn;~>ldGI`?qa2%+hLo=hNh2JgOf6q4#Yk{b zSh)G@ShCrzkc8qkhlZBFj{hZHpU!}XMv;<2$;}1jap!>)#Bg($lPQ2_u79Ug)M9c6pP$+2BW<|f{L-;feM5DFZM&IhWLbN#PY zt}4(~9WWJ(be`!rRM$IKxuL8o$`xlpOG86)2!0p|2J0#QnsE|n-q=?V-&2o zbPW*qjuER}fv4r$KeEdS#c>5?&?ya-GKbmA)>*Vs#ELQnTv1 z5x%GlCF@N}_^%U=?2r(ekyLYMl=5j{NQ?TjkK$@1l?zMdYDGwx5V-(wm*QY}NJ>Ev z-A1#j9Kobr2eu8fg*#!YUl81v3dHx}q8koSO-Cn>D2U`G`jaS=XTE@^$Sz5q zDJ#l2*a52dh`Y%JaWl%zaTkkC6+rnkRRi5JWpA0QjC#}W(TP<3`1JJjxZ1e3i&!c) zp(013eVYq~A5$>wxvZd6B?m)FyeloUC7~^0&^VAfN=8sXU7<}Wg9SW$KovPlc!%z0h6%nF)^bSxswE$f_#Ccq3N`_3*+BJ1wUzdbOhsuT(52nO&BwP?fGL zxJNMURwO$0*QF#&P5yz>uR3zgHo0QINmT@Io(%op+)QtGq1i+&b&G$d@Tmh`p+Bp~ zEF6b!xoW>vIYK2WM+6mTWG*AoNR&IU4n)COo5f9gUUXThMA!w>UhpJ)gLAC--f0`;UTwq*?h^uP}4}bO8>R+SB8>FP$ z1tNP|*8Ae=IXn`3zi1m!)2!1&M-BBnDN%J5$B9YnO^Rxn{G+~u+U(5G!GU!=nw;y$ zDrFcDgvj8NyBcyHsW?Yd1kGmHPb2}|ga`u6o#$*qBzB`|<&~HaAkEAu2cOT|W{(KF z_i(N6M!{DtAO$tVVU^bSn&uMSr!ohUWR_JhSF=`|01S+A=kvD!kQ`0avH1ibhPvh2 zg+NH{c_lF0ez>$cMkxf0jx|)|6_sSw@HMq1Q_6ZDYH8?x;g@ZFQudYqgdCOB|I&qP z=C5immEC@p9xy^w;Gl?YyyMI>;^!*s>URISLIl(?XELQzPwN~ZE_XWXe-Tb)z4&Md z3lkPr5&rDg9f`8anZ2UbMU2wy$>#qTczCdL=WmboUKDwEEdpzP@Eu(#p}72ERzzMgtSUh_76A#ww4 zy>WF?^2KV{a5Y<+YqjMC{->iA1iuIkCLd4;4`judCJ0Ad zB|R&nY-NIKX0*oo8X=LPUvPH%MSDpab}=>ugQy@n7~CmvFe?c{MNY}U4&eVH*eO|B z#UDeV)3EHCd?Rt-11WNzXM|+ER*pApT&0j{Flq+=G8pRN%EC{lPx&GptzWnmyKi?} znG|Nf9cE_Q;N}HP{$YNenA1MlcrBsUZtiK}LqU*I4Ua`Iy6FZ9EYH=wZicZz93N$G9|1ZioBp&Mz2?$(h`=|+(5 zj-gw+JEa>2>3R;o|Gn0|*7Ll0-mqAwW=>q!+55Zq=Q}NI6i=;MS|6TFKcJ;<0~O|r zX&#@jrW-L#KlaVHg5K+G%Hsdu9K-Ms5^000DtXPL$*bAXp9XScO{Mv4k1z-AFbqWo zCAE`b(8{8DDi?mDeSX)!IQc@)>af2x@EQaaxn4tBAKy4^my}+LquDdd5gGfNsh|o3 zUB3BDo6fV>Viy5fgL~bXXFnz!2}PnaxZ3M4Z$c}%gav+}M2;oc_Mqs&ZEK_*LlN+p zGrOBQ{zV4{H2@`5JfY2K@n_D`RfZf;0Byde@Y<%3&T!@<;cC(h#D@bg1GXWI(+1t0 zJBfT=8+AXa`*0an-%m+7@0%;{MZ1zpM(*8x56Fa{%*hlZsW(x*J{!4xSYBVX?Ivy= zWn1K28x0_*28ylD`CP*(quiY@Kh<_&+L*bPHSIGdS+6x1jq?4=!V@`e*jQVoQV350 zWZhWq<1GM43EK-`51;2*dcW~;0`)-M7(HO~(~AU<8BRA0Tqhf?^eL3eG3g3VK!w5u zcU!8D7|!?79^@LC;r+jOKeD+{@HybOXJ3PR2wQIFRQInN_7Cty+Kk}ozb1Hn>~E~Zny78Sx0?;iyud!=--L#UTCO_)`?82DrL zb;rSooz`&)8gC@e#3I9kk z;8M)L9pPT$XlZk4vDrYNJI0*AE z$W)Xm38?p^%LW_SU^N#MNcuPMRqkoz0+0K*7Xk0ICbrH=`~BFOcC$CnoUi$n@Ap2U z#@#fPzjE^`KnEJPuKj>b$gt)+KV=_HWIJ%Cy)YK=QDV# z_@{bF5|ZS&rbtKF-xz;oEaZIV?CM1Q*^qQ{cnNYQQ*PC?o{MbL*cP!-NUCIb)4o4M zWhtUVO$EW;{xL{pLh1dLDnHQ!+^O2lE58M^Wyq?2O6>XR!N{#w`%FB^NFvAO$A)Ss z)HYI6WChQII@Q&Dlu>^ZAciIhhaThx&*!|53t>bq!RBAR2)yGS|f^Z6xAZ)GZ zN(1{26bl#-`x_DksL(ME%W6_a+3C0K2gi;cxcf|9 z_AgC-CgK1od@(&-IQTV@jumjYbRQrteU@mT`S0>Piqy07M6c}hx8ua4N@^0BCO)y( z#EMRl5t>xiYBY9-VV0|x`nLlGdzbADMXHc_VD&e)#v)crY)yFEsN*@tISg7T7<)q} zf*Br>w7j&7YJ4egzHxg*`$kd8Gi7mJB8c?=^tT%sU(WPq##Eqlx{3|&_Rj$qlh~1l z7!-49iVdK5&P2!`y-l_U-V+8=kd6KkzIf{=`xs(+<3Q1U_;*m$fyK1-A-9rU5RKBz zZ~X_M#Pbz=kW?`T@glx@@3b)Yfcb}s9&Q?BviRXi>CV9r{l9Cu**2|)v51P`CM9*H z537%X*C`j@p|Y$0cP2VZq_X*9Su9u;PnYFABrHK1ED37Qebk}{*fNrjp}aTz6&K2k zZ=RQgq0$>)5>TrAw|WUnx%<%Jy9$HKe_S#zYJtH2fIiW8RKVlCh^3qROpCHhiegu% zb{c0C2G0*Wd}%}vpvRW!Y22iT6%g8axC4*Qo{tAym8^H#iuF{I9B-VuQJGu`y7qP;Ay z^mEBM?%o4H8G~vbRE&~H?&&PbuSBc*CB#)!L+uDYq8fr3C90^1`4$n19!9`2;YxtS z4Owa!WQCQKm0_0sXaSBsl*FMOa4BmI9F36!v@#65!2t55;n^SIV5U^GuwY^Fz*pts z137|svhTy~-oCAc#hSzQMY*5CM??uLPXMNI;XHClf_ER{GytLhM|eNA^=#QPz}5m6 z1S&}@NQX#EOY7VA48mvM(R_TmKc@-ER=Cus{h6fqH@Nh(lG2rDOK6dvaW+mmK|o3h z$?fr(J2GN&axzsu(hK8O&v+SQSG2zqxpK*0db62|Tth-+owboeLqm7HY;A4z^~uqg z6=%!-h?%4SUjkl6l|g5%`5J<+4(pbn6=Xpa!T>z54ra>0T@6Oj1RYl&)A1f2Z^LlcIyVFKf`2ZJ#2R3Y|)~7_Z zBeBtE!fo~8*p2A1)#nbfSXbKA)Fd56o@PuIv$tb$paMq^h!gcMd}$T~L`DkMgM)+f z1@S_p>)xdFk5ycH3nIyOPZwD?r;JA3hi)q#J3y$?)$RhM%@Q2%L9QhYl)%FvD@0Tj zXiIP0{3)kNXuf^jPaT!UYr6pCqfuu3-uX(IBRc}#{Z0Ul``iN~f+;U-diP`Z0ofipdFwlpxfq@$7No33F|7eFo#pV1G3+^Z>>0{tq)n1V-$urwpN= zhFbrBY9i?9+9eeFh~>?2bRp2$L;{YdVd(dv60%ehwC%CLcRKy#RCUs+8Jy_FqyaDN zD<2qX#|%&6FUys_@cJ!~HVO>ZfD)wgS@E>N+A$ll?Gi983z(X?hmlfire%=86`R9N~!-Q<>J$!IX)!M1X5}Fkm z#~w@63uku3Q(&GK`!fe2TH;|z;u)hkh}CQwu@SI$yf#=a!skO=fUSroFwlX9w0BNN z>G>4MhdThS&QiYwzXO`j3iC5$A0w-;fJs&vt0oybGzA&qbnW{;@gvCCvAR! zFG+3D`13bK-o#~mF@~cYV~PJw$nXC8EGYx1sh$D=s5_tpL_-M+OG*GX9~1O%OuX%O zzxWx@BG5?2LHN}EcnTN?0bLd4ZI8D=-xq}vt@*bW4R}<923*N(pVxfP(+J``qcQuB zWq%t(;JzbTWcT#Plh_-cR5GSjbPvGqj5rc|5?)nZ{JrvgFPu~WN0z(M3RN2WQeRoe z9=Ce&d`eYm>)~@2s%_Xw!vd*8#=m1z_!9;^Wf-*8lwkPi(Wl za=QE-tLO>;Ls$50J%W3?>V2+2X%9?YT{_j~g98(Ln%SlB=-g-TpD z`nOQj^vhgm^L1jYE`VV|{|5X+vVwRF6nJb8CBJSZ_xbll|7VRsmFq@!S45W6)Grut zq=RSBHPYR2qXQ-%tp4LCNMK>8dy3-q;R~Ss{#yO0^jQF@!(ms-3;3)_hh~P z$;E<;C<|#=4_sQ(y~Ws~b@N~+n)+k@_3OAnX?UHw6jX95D?`|eD$p;K0RTNgy6nw# z>>gE@`YoOu{S!qhmSl*3W-4iFQ5vuz62Dl2Q{>Z$U`$XND6$GRC z3JoyheU%vd(w>3%YF!WwAv`Be4ekHlC|VBKAEzJtkBdUS%UjoJn5Qa}Gk}K8ssE=# zaKnX%Z!l$tx57{RtuX1Bd^Hd?^*g)FcJlyMlJ}opD{aon#&J$Ff3<%Hz zn`&H?-1v^;cXl@LhRysk^v9039ps2mQ=qGFeaYp92^ItMFartw(u)lB{xDXiF^wLg2 zk1Qn6fAZ+H^Wad0mFnhA=V)J{QPZb5T%H0LDVJzY~>02G>+I@3XeJw@CW$nZAQ ze%QT(GhVzq80cFIa!KpAj)KvszVps@oY6ApNLYVE@ZHx;KPm2Oa>y@QCD3S{GH@!X z_>0{* z3D{trFOgPB^>U|;-+SSnq3zd@-1|DJVo6*@d90Kx5LVtsrFg{+UwAki+CuBLWnU(1qxxbGWkFj1)EtHDN{$zCqk*c&ih zo0x$aM+)j8dA?OSUXKIkme(RB3*-#~TT}2#E*!)iFSbRB53T6?=RsNkk1%UB)w=P4 zcQHm(1|We_Tr94fCV7`Rgy)cpY>le-7lu6Jd6@2(8hDH~_$L{803a{T30dr)@)u$P zu551+1b)SOD^%OWq!m`iS?obM1^*YQExZJRu@&A1#?oi1NX$?JNdBvt$oFL^qv?@p zdc?9B!^MT&&6&NV3;L}n^8T+x4Senw!=nEy1^~H|>A{MGbKX}aX&}}^{*43Fl}L~e z>%q0C-+NYy^BhByS|qP=K4i%(;J$PLfxAB-nH(J!@j$$!h8R~1D31jegu%aaXwB;X zIa8P!w3JpEeEIaGFFbcJG)lbUMTGvq&$NuK`Tm2M$bWiq9czbw7iJDIms$VcL%g7; zJ)laLAh3W;vu+b__P&mn>&()1t3$M5)%T9J!HQSCYNKQOe6o_u%`s6EjFbW7PQsW#6=@VFN%@95(zj&_0TwmA&V1m5HuGIKKb~Z9EP3IVPL)T}J zq*;Ezgn{W$HvKP)O1Cu|{$eElXBa_rWJ+)1-fVehnVWLID45P$9N91fNB0(d)AZNY zvmkhWLv(25r2!efkWTE{Ojoxk3^0>0`1=B*HK~7ZCPHn0=@njmzU%A?Q|NEKFe!66 z9qc-tEjpY`@No+u4nCg+1HMo-4weJQ)juGLSaaCbH7Ij{Iv+H_C_7bvoq}}CK{Zr1 z^#e+j-a~XhLI2wW{PF{z85|YUNHBnma=Gak5?m2SWjVV(Gmlw{x>yRw4T+*Mvtt3S z5x$TdyK;J0-RD!Z;EyI0zI?eVQK#F=G)LHo(_eZ@o;OxSeRaOBo=;^~pZ$%loe9@{ z=t4e)JET8*+?Ui)HkbS+{g=!FbzhOVteB^@>>MOMQwEC$0Y+sO6_d0ahn}H{M?}^O z)|E@((i);l5mTF;j*}H;d=vUxn6W`cgUBHDI#`~pN=#M^3e5+BpKl48xTr5FaP@S6 z>;wtl8z4sFWLtVvv=JmH$|Q5X=el$sf?KMe&$@mBH_=;is1+kzYT;B*vECUA*j|pY z%g9JOu9C1~!Z4*=X5pwqe!TjB2j0!2GE;idU#KBj^ZNi8#MobGfE-KEDw4|Vq(FoB z(pOThiM-VNkVTaigp62v&p!s#-Z2Bh_n~4G^MJa+NyhZCy}uGHMdw%&_gjo2<5}Nu z&n|R+c6NL=o*ELttoaGP`ELkk#iJf_HdBpwFijW!{clM-c|f|9xv^hVOMzf9kbnWk zNm2eJ`nBZZxt{>#BN#D&EJJYF?RDoruJ4F&H7dO$Z-R=A?^8Mu}KSx^}8PIA805k&xmL}uWB8Uj1@ zRI#i{>WcFXQG_4bpxI>{Vb zc*gN&h;&8^C2|`HMUD&S!&53zy7O0Od4Clx0Gx;Q;1QyPF)&k2 zcJDS!O#e)HW4u4b3M~Ih$e;2Sk9k-o>E6!R8OQMVtW61|z>?@=3Cw2v3d67H+&ocZ znvWYCPNK{2J!kJx#6`yoS(3RzQVbvaM+7Zuj$unq`PQNASU_;8UY5|Z1_gjs2`ZD zXkHz3Q8#(tIN}gdI6`f4%;*u`OoGR6^9N7PZSPH25~SawvFaSMEglw}|FwiwO$h0E z#$&!q_8akNi4t5m`pi+4Khu?s()c}BAv}_avRFeSy>^z(nu&mUm}JvFPGi=ime!C70tEO&0RgF z!5=j|HO$T-h{iSHe1kS=k!<6Y4i2|iC)_Xw(ChJFX~|EFPG6Lvn}HMOW4z| z<5<6GR-bT$>6vyMahF1Mnnr!HR6g|*#xl*8TpJe$J@M}*ho|}%zB_i0|CWn*;&s4m zpIJ;zAf+|@nz+8#pnD0D^v*HVtqGzKs8D$0z63#uZvFAy!Bf*iSUEe>ue{K+m8zFV z9RC8OCsOkrpxBZW4es;3B6@l-$FEd61^ZUi$nrwzqRLB1wrVDtM?sn88SGYewkTIE z&88!S)|^7WRs=uX&QS|j~g({}u7$5yb>GrO_KN$frZhQC3DIH|k-WfvLMD#fy!|W2$J-s&; zhO<*q?WF?=yr0f9pSZWIBo7?Icfa>07Oc&hm~{wR#<5=L;s zYZgAFv>;(qAu&Ow&$Wc)Qd_(1w#Whk>EL#?6U!rxtGTOZ?RZ z^FA{e$s&wy`V+>ZYb~f?Eyd?}gZxML}(Rzf-St{W2X%DYk{>|#za~04n zD>3TQ(_895&ji1qRu??qD|1^d9>&|-h!Ow>%Fllg*aZ;?a!;G6mtml6h$pA1Xj3uA z_jdH^$4;it#TMbg{=WTH!)J7K^r!RHD~1ceHpTU5;pn%jQuS;!jd^V6u76K*--*k^ z5kAHTUd5Gi>%_c^@xzi+MoV#euc53`5oTM>`hlC9Z1q=1pt%=sF9_o3#?O%)zJ zlO2($xLuU>i8ALW2`oD3DH*gA{S+ahcaO&IO%+LtD}G7`8__FANd{iCrMB0WS}RaA zZ|t?T^E7U7r7MTvdWP@0y1Jw!tx%0A6r`ZVvc&gu`yAx z&1!VUCxZlu83$`p;;i@0N!y*`69N)j>pyhJi`zxJc8W&{NzyovrcH3RLrv zCmOum*9Qmplu8$lej^zQjb!`p_cr>maq9_1&MqP}KkdZbTY}hVY6U_*_u1ETPfa2F zfL>{o$xDx_`X-K7@AzAnADu0(HUYA|p~>gM)q{R}fh9?g4jhM9P!JxR_tyGAp6RRm zMdvx!PV?PJKx{s#!&If1S=X&g_$P^!eC%P+M9(|-m6;NK9^VtRWUcug(lVWDOVzVo z2d_5J9m~)R&+J1B&x@403LbQgQf_G4n>Qs!>eGTn4Ob*q zWaLUnif)T+l{|bj4o_h>CSTsWCE2@He4sK1+?RWLdiw2UnUWhWlc({zmT~0W1h`W} zg^{l4Q|_5Q@+~|R74I^S;_exmT*Fv2PRM=A9(4AQp8h@j3o8)Xl)uW)O;satjI(9( z@tCP5PENifyDlC&BxU&CnQpm+;IIQF-7EVCI`;Z4_vMSGQ!qj9^1moQSBCxDX~Ikv zgU48As{M$|RWJb`SOW}}$^%hP>N?RkxAzs^oVPKu%zI98^eH8KPIUy4-TaY$-+DE& zxMSc=+@6paZ(ClpP~or<*M-?vMR9*|&o($vN9Hl)ARZVwXWr>s-h6skq+a~$HHl4$ zaI3%vDZ1$BX(mW>iEe^X)iq8Z_MD|>zY%XfuSsxDA#vuzQuH`&SSl4Ym$j|d97~a1 zi8KXAL^#_Fi`7Oh&FeQmlkj7XC-bA2Wwa07qmAKlH42<`93e!k5>md2hTt-rj9AI0 zGQG;*Mq!htN|O_l7*YJ41}Z=KAiCq0`H8|$C0*k-&W`7kFjqeC05zZeDS7?UO}p1g zv&*cl-;?G1#Cg8nhoW|tv4JpdxTNGV9HXhft8BSxhY-&p=?~*e%r6HS-@pWkYyA@S z$HFpxPe)H9{5w?147kp(ip6tvc{niY}U*O0AzV9pyM>9V4mWlcor#@~gZabwX z%@?;lljg5Un)_y0bg+CaXXJ<8py^s>uN|%UVeRogBon7Y`LP2^IwX(PubCCXe3LQr zBrN47+g3_CCSq>ji=hF+!mnFNdDb-yT1NLekaI`Uv}y>I=16SNU&@r|uP<91MY6Nz zeUJ+8%r32rhjWBeyZiB9k&42r-s7qgzgPqh+N}+deNPiwXmOg%!1nhh-$LT*T7$_6DF7e{q;k}t_`iiTl z0P%JA7x3+Td@FRhbjWAmanxh}^w2I`zZ#ZEIOFusfiB(Uu}8&dNr#nZ5L!4MW4_4y zC5Cs7QiKm1?X294%1a^HWRCoYH7_?9%*3j2ZwWhxo(+ndPJ2He#4K21YM31 zpfa%bm*=73=Ssj>mp^dWKbaj(VJNF}+ekTDW$hQ**1Qelh*#9rR>T}pTK@KQ!&io% zZmdSef8%VPGFUUww2;Ckl3MRQuhMEnEMVq6R|lPwTe4eDQGf4qx+3kgf>yyyRQCad zh3+LfY+2M~!glTlf-sMK)+iNbx;OU;?R5Q4L>@C@Q~8XZ z{2fCTJSF-)KiM&2F;TGUFvl&Njc;898t`+SCjDxJ zai%)j#15jnI-1pQxGC45`Wc_T?6-y`6)^1bV=*&z_3JH~7 zWZq1Q?sw&rLovOT_Am~F?4bl1H+^}z|bfn+*1ucwx9R!Q;WmZ(BJLD9sU+2oinj;k$S zt218j@RKeIM~2Ipo3f{yyY9XBy?moL`eUvfQ^a#(Q(O|YVCm&aGS1n8KmZ?a&4c2IlNZyy5-d4@ilY9 z!z=hg{ORa|FcP@+*B|khJa~MyNy%kZ_QH@|^P8p&bcNM|S5%*gtYwhRBcUeAf zcUT0-ysGAvaay`Cg&pq#d50*jZV5+8M{Z8|u>?~Hf-&s7`+axYxRp$}_mG=h=6B4q z$D0H==qaHkmz&7m#={qUVgCL?&`SfpRVN^-3kTw2ly?%E>PhCn zyxkw%J5NVywJ@J-=PU7%>Er!7Cy)Y9eG>82e#xzjoCKeX!oc8LKaoP~z`^*AOjg`V zpnBNIv3=m&dob3$dmY<3j^#DwO7CYpG7jfg>7`hMO2M)G<7z+TdaydPFy$4P80aw`+;`KCPcA* ztPg*sMSJu{H@&ZXmYMGm!80Q0~ht1CR6s0UMevPiHBIU zsvaY!c?Y&Xnb2V~GxO~eXOR`ETr&mSUq2@I(od*Eh4@ z1hNh0A%jdMORD+91*&@^t4b`TyQSR<(%te-l}&`HgDn~9d8{SQ)h&;>J3Q9~bH6>O zu9pfGPu`C}Y?8O3sdfK6m#gcrk{2ckk6xi>Z_I0fMMj#5dhWx&WZ0D8SNguSN)n@Z zi~e$;-{xT&$6+4Z9n1Pxl|Y;R4gW_ESSvUA@$dpD zBWP~G)DH~KuX9TzfJdi}T{OrsyLRT(vjs=O3g{n#Gg5lC{y9-+v@0-majTpmi@DgW zLvMBd)l1QGS5RJuB2m|dC&&y9ZKEfD&zW(%do$cqgSqWnIXw;S^C?0J>*MvBNuv-% zz}xHdq+_gq2nsTa6#e9lVq6C^i5`hyL-2)=jtOW}VG-wY>4iCik9+@g3mk}-ueHxEmpc8TAnj|fR@pR_Hn99^2%UMwQLGOK8+nrlwRJ!s*=i!o^$rzYpuO*V%1gU&{2s|VPIg;738HgVPIgBpzk|mIOsPtO6=6oKd_#f za*{B0Q>2H`4=8T(`kpW_K-{-CEKF`bAq$0?6$Gvn$~s#5X3`S?d3jto2AcwO>x}d@G*Z*ke>GT+mX?;9 zaS$et!r$7mnn7PP0R&h{m5q%aFON5~cFpDGwC!%&gU`>;e<5AJODr1njt^}NTM6o+ zujN6w5_ROF7Ka7!>Z-v+i3_B2yRD{Zbx{7c-=N>1xP>)TYmj%l7$+odY(l7Nv6s3v z*b&wdRu{Ncj04|)bmWki@4=fUY7V_@3M_#cVb1Ys#nI6bAI~!(b18>@?M{@biHV8k zi($2k=I|<`6bmtM|5LdB{F5IK@!0tI`^2WP_LVP8E=+nL(B4-b*rlU|>f_^MPm+*& z4T9(dav%^0VUl#M?IdSvSswOB_ro^@hZxn z44TxA1J&tkv^qGe@XVByKP~nI;+4U}o|o@{*=`jld_EPbaZC=?4HI(; zMRgmN>#B6pz^n6}RA;ahMS?o{l)-`8awZL3`OAv+Olpb)m(|#ma4Q8Q43MoJ1 zT+2>DPX&OivW)JoD@iX~Ij(vj$7P5tY==RS5xctg5;? zARfUxpA*qyTD*<>`Ozb$>Z2XO?b5+#J!5AUesLBHu6F?Z(rXX^NyO^8IRBvz9jEj*eEt!`)ms3=H4J z4jtX6=aEdEHG}@`NJNZWbgs$^h?7>;f#VSeBgroJDrdB|B~;t%IZPSyIZ|G%n*iNL z_}|4k7q_ZfbMSKI-S_qby1+VBd6Vy`ojbXC>jvDVb%S2EeH|kmnKqnHT#o5Ka@ekk zVvx^p>NFi4S2gMI@erQP+E!9LtCt>Pv?e%Uc@U9&Y2hS z)vsO*D@OL=*ZGg*#;4_%-vb;-o%!7p6k;wZ&kGe4_|AQXeMPT#5JbGfi|w6$pTLA; zl6&)s=9yQdJ50GBwn5ka=E*ym%p`*&5=*I12}c@O9w5J)@G92477!7ZKbM&bQevO%({^^CMW;2*Tg3v7T?+I{HFf|4jK0 zNc2U-z5B>1+zQG0P;jm{i*C1;5(bQtkJtHgvw7FQ;eSKYTf}wxWDOEU-0kwB?eusZ zyf21elFu_@RpoG7KdyoYWGwuuD(&O-ZDIW_Z435wwrL4s7Lejv$>z%#l8O5A^vigE zEFvPE)vIvQRGYPrhMsoCc(PsFO+L1SNmO8z9HEndEd>h#hMBW7<=|kO&OcS=n+TKg z*!wrTeNRtMzpc(6y#E_Wx1o^q_mfR*AA)^P+hJyrdPn=+ z%oK@Ewwy6ulpr1k!aFwO*3Rzt8|DWekZxK+9Ga+_+INXToa|vn`1Xw0da-_rUKt*= zIAH^|o3)@Nl_DRd&73gJCko|+z3+KFAEf*c#xo23K2N>|<)&yD8O?psD)j3k`ULzc zQQ2GD%)k6|#rYQ)z5l_5cv?)zD zF`TIYVBhBl{qUCde=c24Yq2SluZ`<)b#-xP@+^2mpeoh4sW_=_!XJ5%#|;B-$aRC) zFdWq!#Bpiqmh~?Tr-`o29N2TG87Qjyx7x4G-BJkUAD&%K0lu3tGjX-i>rFm-gd94X zYI(GzjPcX$8J8_*I{i-%xLN669q1HUxO5^DQF`#K?z=C*PA^*WX-4Au?_;pSLo^F} zK(SX+IYu$YfcPd`?sYg4R|#XKb#xTQuVh| zqvM`jHvJz?)b?48J8bsm4z>CQh0slgm8ThxHu&qeKQ?__SJ%>QGT&=EQ^{?1D~SFe z_u%5u-f<7tZsRP2zIp3b;8z`~r0ZH43%&pu z#m9zoO7eTk?PQv&6<#0S{cxndX|Veg`{RM6Oaim^w2GxZ)KObTWLQM)YbX~x?C4PP z2FKrYEeUhCQUv`x$UmffxtNC2M@faHiAwI(&Y~FHhUWio{k3xUkx)gH^Gn zE+YBuB$KE}@zE!ZkQyRWHRF77`9vwc?3}^=Ba@K)N$1Ewd z;EB#xy~r26VxRB13yyr}GOXV!mD*hBNcyT9vW6r7%Gp$(TNMn}T6}4pt@H*t{}x&` z(E~QS1D#Uk?S2QhK$@TkW6S`!J5|(op`^0tGr;8X22XBT`$b5X4uhQg?pmW4;TGZa zEy9eB!Hv6$`nBT4o66N)7x|&b+dO5d)p$bk$@5Cfz@7mshP9NC(0f?~TpntYFD@W1e0@(*u zRpfh2++X~rYGgW(r7sLMWj$U9)~xIL+sUZ)b=`L}Soo~FsqzxyyIJt@_2FCh<-}*( zLdLaA#@YraFW6}%!_pm^WRc60rs<8~J4n}AZ-qvU~TZh%YD zFLlydzanprH7O{@3iHzM_l6n60rv(!P1xM4`@P&O`Tkvh$TD=nmL@m2xN*DK8UFZk zZ2D(1Z>h`oOo_e6Yn044qoo@-#NIy~bUuLe&e#hZ76Xo6CD&_&$W~x=Zm;jE0%cT$!t_IUR3U*K_VTR>ZNVJ3w{BqmP5oFN{_D*NMI z*QR+~&)vpTRYl=ou&2B}zT=O#TRv=oRzHjCdy0?GsUzq5Jppt+(u}OE*1qBoPEsXC zT3VhDd)dF;>w0btMxyv3UcdgmZ2r5rew@V_e>!cW=-vOFEdKR;FWWRLJNv9@S}Z2h znP~6sc*%711-0 z?aF9j!!DoGgW0lJ)HCQfMeo*sb+HC6zJXXq0*)@G!DwE`#plPRK3T71a?x`!Jn40+ zLXiIJ^)I!7W}(Y5nrJj4$qa-);Q$~aI`OVnh5PT3Z7|{8b_sHo&x-ZCZgLgBADb>+ zr*Uy{Ha~ORx5AJ@ZWeS!18?(r9SKl)^OrJpO%8v7W)a5t(&^O*z;mXva&6=anFu7p ztsCy6WB>p_K#Ru|3dKWV;Xg4vEkTDydHOcA+{3vqzyUab1;xYpRlCr{k1W=R=w<14 z?h*GP;xziI&LFjujh?6L!(SJ86e0K9{(lPSkK$5RYr&Z&Lv#1OH6hiVX=Zi$QaY9=m;f*1+2^ zc!BL-7xvmQJE)7X&;=$0hz~|dJ%2(cot0PJpLVv^tsfSt`}y*RRTr`vp=^~mW7jx6 zuG)P>v;}h1+Mur3)lY5#vGr)Cfm#iP?4ONWi=~y+D*||XUzD;nH_W5S=Cw3?S@odN zTs{m}r-470xE-rl{!;|L7qjXR%yo7&Sk!hA9Ek=KEZ1-?=p&?8j!z5j;wyM zdtKZgeX*2M<*9?@kI%n1UvJx>K^`APq-pFvj8BbzriE!l(g&SQ^UdJ9%)zQ-)*|zF z&SB*V({OiWuEQ``eN~F*Wud$8>f-vyMRAx=8h(ZraG1ljeF(l%{iXP^R04_clHr zgZ`B2$A{^Ub^PZ=|DNUO!Fb!{(oUswq7x%gTl}q=;m>%vI)-Yi)CKr?RD9qia)TAE zw`g-AK;~i`Kh6Krr61~#V>NoedN5*k_}@5`NU2IV%(VNW51nS{L_^?A>~EU+Z2$)U z{cICv)LZsN=htH6e~6zQCvdlsx_p?p3H^T_U=y~k`+ics_G_&*G|@uSG#5ep&dG0F zl7TDJAI-Lg4gWo^2JkoF+WIT!YDauxOWs}^^jkX(V2mD3XTh60$2ZE9# zlzgh#H$-~7#DQDuS|kEnVKnd|YG^Q!5EcsT7zPZ)b4~3~^{I`ZtI3+B;QQ@pviwPR zWVlBX*KXK(G>mwKoR1GbOhpW;zJ0TeEVfd|G}N@M3jOacvI(0^ZZIjrA4`=-c!bWN z?c$!;^^BB6ja%h6*?sLFH4!v90gs0jn8GI<9N}T@TmhOZsqyT+gox^u2*d8n7D7tF zPXF2Y)YF(E*bj*Fe0UhwzhH@iUR+3By@+~Js(ySeY%wuN;)~J{)Sit zLrc_+DJ-yMwBnk>B8-f5~uu2xa-KvJIAG9s-CQw~;XUI(v`bFA5 zswVb8dwF5!G0IvtHUILo`A-$U8PKZTsZ>?|-^qr+<&}XC#pmS@1Fts;MHS*q`f;&E z!`{^t?rhs8$ohFe*G_5_ghENrFN6k{TcARE{{CL9|3MnfIs+4%|AWN!@H9F)FcZg+wZxy z1iTz2=OAA1c}Mmm4&2t=N3lVv80nz@?BU|iEGZTS5ET;AUy<)jVt!Lzscd~WQw$RI zDvP)c{Ep6_B5zS`_0>J~*`UMYOP<>SZPUtSJUXgE7R~2YH)j{gB%vb)%H?s}?fS}N zfonUNe2-s5?Bjn0>;j)JM!EYE*h3X$^by|@*Lwp>zsZ8+82{r0v|nisR3wuz2|XS6 zS<}7ePI*BP@1>G2B*tE$CDZ`w#_|{QqFH=qovlKzd*U*zG*e~8lyTS55?@bpp)$nu zsb_{0$R|<9w_MX4dM*D`r|&e*b4~=Nd|dqHP|e!f8f_vbG)K=3id>LI*AI4T{@E6q zDW2dkF@ZlON|KUKx>xEf{dhZ;%iNZgC9edI0M~=3K*GFvPfC zBx{FQp*(yDrBC=t*KjU~O!WMq$t7qJ)S*8zw^jp~G3PysId+_ zt~-MICdbXNq-3WP)iDX({8k^G3d8=s%i^X2QhNKBT^|8*TaY$BW+a&A#(S2*gi)No zjS{a091r?KuqSrmw{Z_djYivdsKFR1e0E=WVP~z%d3UPJ4dt zUSHP}>8)$*?Ck7T8(wNCz|iOX_@Pg=K-%;|t8Js30V_FKwDGuh)TJ$Olx?P>*2MGh zm*+oze@HC_JF3yU_L?)DNjpO3RfFPG0)d-(t(9wJT8a*dBh!D6OHcra@py|NeA3T! z?KvfgB<~`wNiuwbg)GDevyL^8gTSc(<6V#Qnq!&d?)E5xQ1L0#w)QVL$l_{c97;jeJiv*A3jLwMI7j%XCG>>o;|+zwkv-TXohCoGB&iEz#rw2T&4l z*i>r$!v`32IZkgmnuOnzBjWENKuTb3Hun7ei6?HwoD;OO zi|FSa^$2+87p{f|6*H-m3*RhOwpx8XkoV%3o^R;hC%lwxOI!JkUv5Z<@E|XyC12N( z6eASk+EpbeZ68p$B*QBoD!F>xmE|;|R!DT&zx5KU|r{|M^s1<{xJMTWRMaPOn=_HGb20VfZpt+8j?M-Su&JY6$WeePa%?#_ zJ;C&TI{)~3RfIwI+?-=l*mNAuY&ThSYC>yY+c%hlpB&9K20>?OWN~45 z@sb?}E!g{`>TKwvb@~!U2b;-!hnD*v>tkF>ttnH5gWg$TjB&=#+O3aCC_qc4;{vqt zq>giFwIXz_xSAHHF!85|QJV5G5#Vx*0|;fN%8!lw#q757>j}D^l`H)at!E5$NkYMW z597eXcfT_QV-G~|{R`iX^Sq901sifxZQ7v5^vy_r|MmPAHEQvxs_^NMv658(=OZCK zMJ0n!<`~>B9UuMket+)90Oce(01|*sA5Sga9`Z zBE;_Pu~eE5;nLw{aTh6_?R-q(tx-xcTSKw9iPBs~>tQEXvgdTtf?gaGt}fK@5)WrL zu_Q$wdNfoO_|7=X;;Z8T)kl_~Sv?urq#~wo@e8s?LTJLg2Dkf-u)0fkq*II@T2$7* zEvlp|2099^JZ*Kg^IxBrfM0b1d`~*#Y2BeTTliEeYJlH#zBJ+1-9Om6Qh0_BV<4o` z>79C2s85K6&;a-2=R?Qir=$7U`hHiINWiVwZv_mEC0X<$R+~Xl+Ab%cUg$v%C#5AF zWz)s`PL<``Qz&zV_c?`5`8!+hI0L0Y=cXEZZ?@+)n-W|D=+<$i6%S1X5GDf6M64bx!(1S<$e+E`cp?5q9rrSwylSU zgcm!H{|T+IF3A66JeLl?;j!^^OP&M&uVuahl=;hG zPu{EP4ogTxd^;jNSw!OKd&v~d^bw$``e=p9b@uVH6oZW9QhOftRlQRvSDe8>gn^zP zE0HdPVN+eK6UmjTLXyr9@DvFIN(!=L7=gr^R9(4v<1W%jVvP(2yaTSmw+_dL>z;ak}B?p;~xDmfopGYR_EI4lLNqFQ-A zK00fGnVvx3)*O24sd$Zi%`+5zRi*ewdqX>OV7(T92VB`G)z^JXWX^Yi;pgZ{hT&`D z9~8i>--I^&omM7C7!c%664RPd(UkMd$`uxiSlQj(b`_u+mhXY=&UDBv_eKqx21U^M z2k}9}&GIDT%;XKZv>Wqe{hli z8|xRn^j^62$w{&?^~fUnE?re9wla;4jr# zJ;8^po%R-oD~_-ho<4B4Sfj0(kA?6nYwWI*WfR>@uBt`0{DPE4C+JRsvv!*pfH^hZ zuMX*ciO_7}q{-dd*dk%TDuEhqY9M11#(`*ZxWI+4n`wgnti%m1sJT^whoEx^&nAL$rB~+B}y);P>xzDe*cZc7+E< zxg))`v?a7Y^tsatJc%w*E6Aw@z6&?O{pP>dY?KPQkZ+ERW^;G5;+=?5iD>?mR~1@jSV{h zQ;J1(D9vBycuB%wv|kdfb#)ik*CdJ)izeS0m|-H@XaC$hqPgPD7-^2&Z|$GRWDave z>O~BlfeN;|d`N`wh6we`Sd4aR#J|`ExfRc-&oJf@MTW zhHS5^$gCCk!Q?NwQ|YU%*i{P-ovk{OQHC%HGjEa zA}LfCr2OZBD!`dy5(-;t`&fsL1+owIo54ysoe7ie2h`8&TZEvl!F+)spE+4+f(`3{ z>UqPj*s|k~t#l(j^q=%z!G`knwK48%$j0Gi1Rh-G+&C+YhITSQw`1Zv5Kai{aK9G( zQN$z**ANJ_i z6?tt(6hR*vl$(H+#yo)EZ13GhVB2O$7m3v3?4$-L-Wk5cwKo(1;h0?^P+xw>Pc;FT zqk#qSaZZ_oNgwQTM}d8|t)$yBC3}~k0t1Mg33$9dBu^uv=Yj*UB$Byc9XH^9Dnr3Y z{3%;%q8qYEl`=nV5Z3}juthgvQi1U5G?*)hXrJW|Vr@nLWO)^tF?rPB7{Qe8oL=O& zgA(P6M+;XoLHR@c^}dWStW&|q$uOMay6Fx<<<}?Z*zq1?3^e%->j}>5VK_pZ>|tf= zf~x&F+9s+n9t^v!tDpDkf5J<&h8^f&85?St;G%0UjaDC9#yV48#vQ|D;6@)$ETOG> zkRY$vHlPo^K0vx|AT{d0+QL#U69H|;B&0u~qRqS55na>Z%u^}SiXkdSj%T>@A=97> zz-R9m+3_vBCQ*c`q(tQ{a~j+ZTxn-#3nk8m0&oiBzoRwT%AYPcR;-Mp1T_E=mj2@8 zNET(Ct@pd51l{C6S^eYuK|4j3Y?}0qR*kC>@jP0F|NOE^MNHR}S=H;TFjqj?-ImyYK}1*Ck|1Pg@ze}+Ar^R= zva>s^u;cxFe*u-t(Y{iSPMqB=@mti_N5rl{HU@pP{(v~M6_smCi|0hOCnlUJ*B>p{ zTLuPx#vz>7KG+=;dyZkG(w_JOFD5lg!9cz;D{mTH#>qf>WbrlG102#8E5p_q4Ay=R z>qQuwr9tA27Z%-pPoKHtVS2v~BfBtrQ@~1<44`?V znPioY2Wm9P$}i8i(r3S4;gihXs{9h)g6A0avrVGuXZ7V@A4g~S?b^)grjxM|9T&0B zta&XGhz68hl1%pf@29Fg#z6`f&ealexu$DJ>8C1BjWFXnVO43ykgV^W84G+LVU_js zYwi9k7n2g$nQDMM^=2l1QUmWtOMjNCws3+VJM72c)#b=mh=K(yU%1+!xf=fpoD=Z6 ztLbBAcn%VI@M3M2H^?Qc;hN7eO!V~o+t8KlrtXOb8%M6!loRR5}EEs6$iz`qA7$odGK4?fc->Z9XjWuTJ9- z29bHYiK^CMiVCyOeg9t0Bwk?1SqbFBDral7nDuG@jgYvC+^u`|BSpNE_R9>P(%1e{ zCpH;_VWYbs))scY>{h~hDw`_P7I;-P;lqB`eT7j!??FXhCJrlIv2&rtM|~`X^pG3l zwEy!nRD(kVOw8awqUVxT6Y&I^re?ZasTv1m{3v zd^i06q|qsjBoImEGvqnmNJ42jNO&D_uwfg{kHyR&Y*@8@>V?*~%q*urNfyclKSr$K zky_Er`dLyb;#Y5|J@(=_-;3!8Z!lTcQJ(JbUN3?~LZ*(!99WeR8l&FHt7sqI%ICN@`(l9D1Cw7q;5Z`=os#?-@TmR2bhnaucqY)moy=W;ZAVK(Qo}ubpCZ1JE1(F z`xhA&R+lO{a(`&cSPa@nPEuE1_mL!CTi6OfFy2Ij`xNKgx`OEB-P*97SfQi6ur&qq zBS-c^VCx*#ctoE@V_k1r_t!@qHVwb9r1-3Rtl=b6K)kGiOX{(A3)_}%mQ0hm;b?Wv zmUx$pzHiX2m)7tTRK}ejxV_3|1k*Is)nVixL$NdpTF5O?KMCK(kI*{L2|UEm%gtk4 z{*8Z~JpYRwJA7P|I3QuGysPHmYy%nwZY%cN5DI$G##pZtxk$GeQ+YKY%VCIno1)BG z81^LJ7`8?-iUwS7;Ui0aZZM7=oz~}g`tX}_!lcK}Fs(bz^c7R-Ke!X`K3STvIF+x6=zO3sxFKhR(nK7Q*KmC;2hosiQ1 zlZxkA2Zp%7Q=LkF=rIMnKwIWCU|%zC!r03Z9Q@e(ax3E+fxTs2Nvwq@Jtrme3@2Kf z%V3t;Sp&d`<8qd{piJrO>yTxqN`!u;kHu9(2X7(8vHRDoRK0uUNxF3=$BU5-FR-)Y?v#%@E- z-zjjSC3a(a$Y24t>xKwXMyf0&jp_)Qz()%zto`R{Yk(e!9sc0|xmhRS`B3#~`C; ze0W$~xen26y7Zq`^DE;INS_H*RK;JsM#?R|;#X;o=sEus(l(`!SE;YyP=8J zGQ>LES{C4t?wc{nhZVwS)P4l6Uf#@6n_VXjI>t71I+k{~bWeA0!#2M_-MhUx5U%p= zy-U4;Vf);rvy&0`lp~<(@}EsLM|#KE6n)=5&Ou-H*Ai2!d6}_B<@M<&_w=qI>lul? zrzC3?yh!(F+D5U>r=eZMOe7#MM1i*F<*h%9iVe8+q=}X>EYF%x`E1OHvPbInTK?g! zdyF*En>wb4gQ7f-V<{n5R_nK1IZ!|$ddi1os22SbDG%tvfjp;tEUc2MX=C!f2Ev$uX!g65QNsDO+Pjh;cO{*bS-?#qNNyH0gRo(gv1!n8sBY zXz2lERt1&4{R>B}`6vYU&{iWmy_I#inEgBz_-D0Zyk0hJamC4PH#&l+o?gF9*3lr4 z-71~UoStU(*QZ|9*6pWd-G6JazovxG)^!5)DLg}9oh;O}+(9Z%jri5{d|`I0d{|Zm z_kx{fv_gKG0#4uW+))?nL5)A)Za!myth2{!S{LCkfXF$DNVDW$m*Duoir++Qn|*9y zxq46QrfX-n?>0Buaf3UFT}|Qpz4Rw1#x;Rs-<0&h9|LvPL;%gAhC{cIH-iE^t63Qs zt(!rI?#+9wTp+Y?WAwGq%pmz686kvv6VLb!256n3R_m^{!OR5f&nsZLB6J`9Z_4Oj z6&fMf@y0C$lx;9;0R|8_k$<&yQb6XdJ@5%1)+>8d5k&D>sVRRE?v<6YSnT<#jo{Df zgiy<&m@#fY?-xg~cB$M7Z|xKxR+DZW>%=6{O4px$wt`l+zx65#v#k&zboQbd(N+$= zvlSD1Qr(%ZXsnmr^0^^{JvTQq1@loizn$DnPfL8uPH$0LqrR=hj(kN9J8_Pqx9%HC z^w`6jm8Yf$0XB~7N12y0h02JmWLXa66Hg?D2p_XX9`(Rt3xQn*AT-*xK*aF`*4nDm)+_K7JSz{Pk^(ep4=W#qg2Nf{e8xH$iQtbtYSEzyK)-N;PvlP-E<9 zFW60*N?17lwfGrZd-Je!I0Y$0W8iyyo(8KZW-O@Xwu67f~!wM6RRpj zv4UW<(e7yNV3@*gwDj7s4G|IW`&k8tUy*B~;JqS21L0Z~@;1fV0>B(Ry~BQT8EF}~M@t`iLGKB6CGC>9ne>l*pBT|QUEv< z3MMYBs}+U44-Yana-1n|*IdQPUBf)@U!Tr!O%x8e8ekFZ+)k21&j`hW?xCJ^d!-5q zZQppaGx$**YKuI?Eut|0Ay~l_a(4%5pRLz(1^g}g-@TMVvl>9M{sxccRsy?G zf}HcmzP!0WPi@eq=9)yk0-7r#pVt8Fg)h{liDfDK$mt zH3FnvC1T=RR^k&QVXOnIhEH=fw;og;32c1>`)|j#d$coMinZhSz7VmO_lk$Ba_Zno z|K>v%>gy*%%1!dZkC65@C8E)g$7=Di&-#zw&L#}X@TBEIEhZGNd0P|#d7dAf^jDn!Zv$1H=JLw=#&X>1CUQ?A)PxZdF!IO!0v-$KPGi(r~S?G z+e@jB3|tGUgQhVvZfdjP#F@^=SLEHTMB(>NIws7AYdiva^XQbzEJF>!5o_;(4y@4< zfp)Aj>jYczf|wYw+9n&(WIoGK58s0mWId5jrdP<>LG|#lBpAnZm!Z-N^I@d{%5Xm- zJeFyR2r;TbPdKKPgid+=g10pf-8P!JP*(#_IzX@PCvpFYf!_C^fGp;#S&=H0<#Q05 z?i7@zUB6&^#YN+bwAw@OfkfiqiLt*5m#n3n2TFkJ3EcET6+jq@Wf;bYp@m$HE+jEF zt6v9x$={Z@NOz)aYRz=7SC5joz+Nb_~;LfJt{X_yYOLqev*pg!udWTj=;28$;so znVFcF%%Y-+G@q>%GZ^5r>KAkj2WpP2{^!<`Fb-y6Z|m80gBtYHqjD5Jkqj0KkiG8I z=U~QG@6d>fDX}pRsG%&w6I?VT>2hd|_;XsO>pRS!uC;v&F?S0W5KZxwEU{@5s7FPZ z-uijq(2Qyg1;IUmcP{fa@jA1=_;T$DDift`vvo3b7c=pqprsCW#KdoO4 zY`_9vDB!;H4k{8n9)HWu%&e-&E$g-#PxC%r^o&>SAE`S?fs!pv5+1E(kt^B|PpMW%)_a}l*Ac-OI>58d=wj`N ziB|{q-#|u&lMm<~8!^BSYh3O#MI9Sf*Pl;n4bh~1kAT;iL3RRi>IAfZ*EJx4~bLvmqeI26Q}1u4-jz1oB@H+zNig?rx#<;fphAL*;$p@i!qS&FZ`i?`N3qa6 zP0kNDM5I}}EOfKv=dZ}3(tgZ1HxSr}DUOE#bk2BQX>0vihN+#!BiU>%aD2oEch;)Bb8%!hrUP> zBU6k@cte}g!{4a1T2(WlR!-a{sNd?$O)-d60uBa~MlNYLW${9P5VZYD2v8D_OresB z%E-#{AaHJosN)lPOTy&2o(wF!%>c(RJ{#ENZ-F5JN4eE$neGJ70z*>UjD8l@sOtw& zpJ*bA^kgaS6dLeumo1aczefc_J)Lm7xb$PB$SEMK_@;jJ0V$tY3a55H+TXP~Ww4R- z`DY2`M<^2rA;6ykj3Rh=9uDWltZ>m7WN{UiCXu=Q?@tEDsbL%36l={!p0GgMvM7I% z9|c}&FwpdPLO!^N9UfX>! zyxQa%BOhp~>!QX{AN7#{OZ|Gu46y^)0D}i8!v$c5VFGrzq+=`PW=e{q684dEiz`74 zKwHIj>48exFsK(41Mu;aE6&10{4ut5H{nL2a?romm)KT9dPQJi5y{T^kk zA^EtU*i<;=SfQel^y9Kb)D!*hWBhQ_j}iJ^ebRj6jU^mhFc0IBIq(AVWjcnL&x0k}emhjg{B z;;o1nFIo*mnq}j5re!~)J!^F$gwzInj2N!`^2!V1-8{SO71_aoZ3_YB*!%YapCw@d zo3x0*_l%MiwQU;)eB}g$7qe`haOdFXOnA|#FLp_|(n!?ryXy^sy$f#IdwlNd1tkrjxW)03Qgzz)CI7v+oo&Dk$G~maC zL7@(~J_w`PvfmZV<^)VhX1Gf^utdaD4It3ZUA#xLy&qSQ$}o51QJ#oxAb*7myU!@_ zS&fCOa!K2C?Y=-vN@HT7jvg}!b2`0)T3@%JlJk34nKU4uI+lIBQOoE7nhl?ql~-(+ zc_o7vC7G;W}hPYSDg2s7lx-(;HpSx;l8Hz0`=?XBC;9UW!edQij>z|5nfR5*6gn-Q< zQ;~OS!c#Ft?&j!{DG5Jt!*ZIx=AfTZQh(n6WHo>SNRCo$ENzf5l9D>Q6CQ|~lKiMY zQ=NV$=XpV$t08$~d zzVi*w7{96E0b@+Azno$#=*V{E&HKCB3Rn+HS#?Yf(s`@DJ5NHJPpL7M)RRAv{Cblv zem`aFT~gCC_oF4}NcBfcYWU>U6oc*lQ*;v>CA^LCkZ1DM)5e7o(>{;?G^tZ$N&SvK z3yUqfU4MVezK^=y^y%M@(*#5DXD8x+oxJ>owhotC)q8zQSxqf0Fsc^`GLG(=yxy~7 z#=>YvpED^w5(@+77eLs!oEjvyyE&zdG16*6s0=1($s@@I7Ip70@Cq?($Sk&@_PEhK z_Xfe?XJt-$GpG&UR;=?k#y!;g|HH_qZGjtOUq{et0Rwj^A$|2%X|hI$X49B~g5a;x za{M_9f}E>gk99KsYp%wc6!B^=F4jvsZhuNA zn|xdyw2E~pBl+fGV@$WZ|Gw_nSK-vUT?BoQe#sjax4kv^`eH|(IQ(OA@r1XW#268y z+4fKP9PfhuA8=47{Y_)8F@6ayRT}B0Mi`fPLt{3aKGPuG`|kO?N@3cbIhlHvsI_3yEz5ndY)Fje$=N2lfIu?6gZQ0X{B(DCq)jzaNy z`RHYc)-r7)F~$&J;?Hncln}nf4JYW4aF9-LDChFn|0(b#F!lec{CSXAmcSAf1p`Zi z3AJg4T^i*xi_N-o8-%pb*n@cCiX$G}!D<@=aG;Kjv>?0W6YGfdFTvllxt>7N5HNPg zF~*PJl66D*(`+Bi1$f4Y5NV7N#|(F$_kn_ZUM)b@+t8;GI&Ma5~W>iIkB5@Qch?oz%FH9>Nd$?~&v>gsGtx4+oq8X9;Q^V)&78>jBm3o- z_#3I(*d=zEkR|3!Cx z@!h+eqMgMBE!Q6Xeb7ZrD3Q5jesf<6rD{Z-%z;=;*M|mronH}khif81$BsUm ze5n@GrA5!r^j^20EuiJYU+`-1T}k>q^Lt!M1JX-8UTi=!^wBCQPSNn6cpC8j7Vm|y z5(r~=%V#&k-XG28tEsEg66XE)(v<}F%3;S=^|FhxyTt)ZTN((g_>00Xnt*6GC8f2gOKHgMZ={T=d3mBF%+0zyEDqO~guYk4z@?Wu<`f0y{ zftYkZx=R-t2;grueJ^|=lU?|Q&ZG!Givy^M9?0XDZuZO1M_QSg!GN!ssWeEJ8T_!p+G-l_-AA%a zq13l9BB|xGhzu*Z-MsXxjHq1KZ9RykpEOdm^_Z{m=CX zKSL}}6c9k>=Q%C^S+OwF#P|1L`n_ezYCQ>MEBnl^S}r`t8WJRHo?LsM5EGPF)G{zl z-h?#CMrE@ndwH?vMzfl|+5BBs?XJcw7QZJQqZ|z>{!Tn*po+HIL<1CDW;IJNZDPo; zoI5J8${3E@eZ%=*zXcGaMV~CCUJrGcanQ_1EC+QGdE52wKg*90TO37E*!-|$oiQM= z+vx^t8-X0XGtbhVNoz@BF$#IeTirZEc(P5_Fq`(qeSm(nn%o_ZAvR2Y$F8c?flCdx zZx)IgfWb1{3LdDX-rmV`5st_%3~KVSDc0FwYABd6PVPOKq?tBxzrARzA*iX=tbNlE z^vz&x7OcXu^0d35ev0AZo6h)Q3P4HoHLOzM|!)?;+$r%O*ypyU9!Q_tH)<1cRVpTxdmw=uKFRw2T`%Tdr> zUvs&INweeV`7I5q)b>_BJ9ue-(N&b^F2Ox`YWZtmTl-|Z^@+0?eiMP653r`ztFG5h zJKdy?i2#l5TVhRhjYX!4i3{8P(J_;Lq~=D&&hfHpbWKiQvFUd&pVjYbkbo;{0!YID zaU5g)zZ}OShk(R6cEcPfJ(MYKdAvJ2)7oxq zZC%szzk|xL0>y{VJVP1o1bg>hAgG6wrJl7NtfeNJX>U>U%_>TW`E%&;^DukJ?Ehfw zEu-oRx^>NsyG!uk?he5ng2TpLg1d*{?j8sdJix|XgFA%a?rsULL3;6>bGm!n?lJED z#TdzgRjc-1RW;{)-)EL`@Z<&NsXm`tiCz^J?b&?9-@ItOp8n|L`ISZAvmZ;^AXRX^W4=&*N$jIb5 z%!baxUo*{y!;cVzRy(wz+tkwV$rL=Nn||BLJ6pRDxM~#QHu`nm$wHO;ab@F$HXaDq zI^LX;rG@e8%8qIsfL`Ky&RO5NXeSUa#)8QOXXb~}PKdOiRHmh3Hh+@uiFVgx8vir! zyPl_uwcbwxZu_hL|Nejqfa=5EekLtr%kKpvUlvF7bCnsNk9PI=Er5OkD%KK>lu7Jc zBR6mg2dtEzT%F4Cw0ZnqY|9`D=~6#UJi#9sd9VL03u9x1nqQcZ%WdLD4cIZI@BI#7 z`HoW+zYiB4#}+ljWOkt}fM#<8&U&)ceJ-j4e@I{x;GO+I6q(LarQcb)m1;2wo}>@B z?|Y9fd_4)Mk5h`dQUTqdC?KAQ0?eFd!oL8^DB9>=28VfzkkHnfNID7eu@{h!44D6J z1Z<>CVI^*n9^5GE=Ri0<+HeMxV`bYIAtZj+Q`wZR`K^G`I#6#AznI&6=8_o>pZLw; z!x+ZNikjOLh=McLhG;+5eQww0JSBsMqMMg83n z1#avH@orfudn_Qh?ev_7%b9pM*c%$!v%FIFOlsd9;G+Fc;ZzzR2Y4AZ`BQoJ;v=Vq z{w4molbD?h=&sK)YS^0^{A21x&=Cd6d`~&PcfYBLJ<&%J)j<33Efavwmq_+@PTLr1 z7oI99(E(V{{rA6FwG@~{#PE`ukw&uze<<2Q_|l$@sff25!uDm1rCBk9eJkR{9(@?kbH1ITBH@(Yx}vSq}nF+lJF$mM~RSX9C$8yFVma!}BU;HdiMe zTI-n@l>=T*;AYC98MZ`lWU!V?V}_9m13`4HeLxe`c_o%Sfpo!7lFbCr4KvdFpgUyAlu>B}ni%N6s_ zf7{Pm$k12$sV=gdI=8~E(z~9dHPfBQ&^>B{BNCDCE`A5Rq)6(SqRIsuXG8aj<4RSn zEf$z;R?E%=BZ$I9$LxCHmMZ&`hQ+ydWZe#$J9ArL)UQErKF`24or4(Tq5VhytWRWr z=N-eH{7!m_U&+RHJXuSjB5f5SnhLxv7}59`qa$cFID|!)XnTdeHQwI!A8=$CmKYb= z40JF@eOxvnm*12!<@5CjH3fQ+!#{=U-K(-<14r4;wae}ez)B~=M&=)9k84Z@c*0!O z0mm^t$oDiC!bm;}OsoF%52Rm+@U6Ai9t()T5g5r8zO}T-tEbXNrtDs$P^>J2rGW#* zIX6+8#;F|;8%*;g!>^J#R3yaz%|HkQWV&m}1$IoMb_GGlkKP`B6$g`Qb3OweP@_?O z9(#81989Juxr~H(UtMF`cS#Z#%wGW_&Z8sxk|zAfQYkc9^q-P@qIQgn_~5qnIipPDV7C1W+15xZc4r`CN{N6KJ~ zJt6YXexH?KuZ1VBoRa1Y(;8!?2@bK~TRwC*^%}KTPp?>l(;=Z5@{hpi0Mbjhxq#%q z!m_ickc@GGJoW%Fmx?B{fydG4(c{d~%uGn8pmQX{tW?OBNrSIHG?&H`t5Cjio=IV8 z-Rqp;)$wXM-MAq?O%jUA6S4$SIPGgy+cBeOfcIXS_WineKQ;WZU{bj^;LA!X5PaB8 z`OUwBDnkCbnHl?-@i!p+j@`lhpj7IvHm{#5{hDZ&&_uKaP7%bT=4(<>Qph(fFEn}S6cU|~F+5{255Ut6i-x4I%wN~0Wm0Kp#Y(cb<| z@{J3-Lhv|M)lwrwJb)`8rlYLsOz_}JH>?}@;?6*==KQIliT~&-P_dQZ`pvBR5XxiBReQU!}`_D075Uz35NANAh zo%AfgG$BCQ!lD8$lOK*7PkT-e5HP$Gl|7Lu2%-BK!Lm^>+l80)Rd6x*%`0j0Vr7db zU1{$MRyr!Fv}2k4#7vF@)}5vL7NnqSbplc6%>hJPlgRkwADQ0yH%eSpE)q6>aa72^(T6nOY8QQ0h3Xoxr50gWP^K9`(|s#ZwTep+9t zRjXLe&4}82>GVUK)wt8Z(C}}V}cld4R#wvTx>&so&D{!vrHVNbi+?N9X zxth@#GicOuDxQK7$dkHhdYYX>+nx{Y<5Us8!L>dCl;Y=rr3{HYmQ~iX zzX!>%OKec47#3ME*f31Avk4oZ##w!J~%jRo^RC|CLFv+?SS|x2n}s9 zp7=``qv}^(4I1_wsAJ2PX4gFhNWEy}xkgP7xoWmW8ek+QE3!csX9~qZL>s-1*T=Fvgtar|A8V5~&AlKT_>J*^zi zo2KM>9-{tABm_=QC+P0Vz#lzcHJC$vHH>tLsMjCg9v1weCKZ)G8qi^d^1-sI6Ak6# zxl>u!;iffE@DutREl#Qjdx@I~!pyR-`=F)KxHc!cPZ4#{bHleK zPCU=87rxt~qZDmJybB=&o$4$`c2C}3SaAHCf;0*Gh9l%CZ+hN4;BubR zbQD+%b0`!XF+>3J;5`Q6d;&yqZx0c?ga*l|2Y_N8KUpGl%njGbWq2t@O$p~mcf7i3 z;eIHjQ$ZrT7)#VrWee5Oo#5D)bxDPtH^m5dUFY-h3fvd18TwTu$$-Jf%L0Q(8Am#E zm;_bg^T8`4^V2~YDrL6-XV@s(>-E+xr4RhV+zlm}BhdQ>XxeYy}rIzB? z3MaZifk$%}x*A!W0#fXb*gI3PeqPZI3hFhAQDW{T70FOd$HmDywFGFTb4qIXeirOu z82LkZ%>+#Ld!$Zh+wStZ5G)v(`L-Ph;+!;2{JPKK+2-}+wH(?cFi&jgfk%r2`&l#HL4dkt&V!&o?iYm;*=R$O zWW1x!2*b&!#I(%PdT>9^JM?#;&$$()?^eZ9;H;*@iNeq-o6)7s1b0fj1J2cjp3eK? zvN5Ch2r0Hn7%;Q3^LHv+BtcO;TJn&t+OITCv2ab18kvjKe3S&gQT4myBvXl_e-z>k zk_Hl9CR?D$aPGy|lSNRIdy6c64PQxDeXmS4Q5^w0#E@|OS>ONo82-Y#mm*OTe##6v zi*=}nS%B2tC8I%Ya8|6|>ufz|Om;BS&NLNf3XOZoN;0lD-1Bg=+nqLR;?^!f^o3uN zw@02$idUg>SSE2-@Xd7-2|`f&gd?9O&O*nNI%+@0Vjtu#1MZjl!epvBEC;QgiiikbAYTQj@IVp8}raI{v}AdAO9B_&Y!fyvcxro&rT3ui<0y zttRFkWzo9x=cT;VZppw>5n5De8?H2zy>%x+?0eDgn#~H@WA3kSR(Og_>)17FO+xKZ z{OXo%}4;>Gx&Pz(DI-d4OK*p;1t*0 zw2r-VxWsm;-(P%Yw@E*?|%lh8AjCrai5kzmhC79G`01^2X5faPY!P!BUG#9I!o z<_=2+zRqqWi2O+@aS0q?SRDRs_!qO>%7_H@F<uKVy6h0vb-0)AZah4p@n*Cka-%3E-df4-S#8L$p=M+e2B zH9%2v!Rxk7!3!pTWj)LrvB9zn1Iih1`=Zl7>WSn`rHNTb{$bFi9p73b=`UQAqAL`W zAJ-$tZy-cKt88Kj&T21elAMQjn1Y@MHx88B{X`I(dYKcvsIE=gAG)fC!$)E%@c^^+lzEIV=Fk6>PTENId|De`P&kNrEq)ygUxy z7HL3=>gA4h0OJS>rKOE=a+$g=`qiJe3IB>%cVys{LMs~my@&yK|Ee;X@~7H=PR(F` z;+3V;G}zWeq*4a$W;}qj6bR>fD|}!2(~D3>MPcmZpXWNi7gPi9*X8>0J)m+1Eeu1z zrBw=QLxd2LBLJ~dU~VHVdO_hlfavI9-2RVXDNDD}Hf_h0YSV0z+vn0kS?xPO!>KRU zCF^nJec?pGGROxI04=5_b(65~5jv+~FoZzXNHHD#qo`VHAa}S9+>>bCKX8mNkh+YQ z_z!5>NO4RQ$3JDDF@EC>w`7``9Q-fj#{TFdrhs% z&2;nBDC^Kc8Z#sn`@9hl5OZh4iAfIzlK1=2Kg5poFbnQXzJ`)cPQ*HU2}+Sb1f7rE zk@b!;oMm{+x^gFuIW0ZZoKM1F8WJSuuT{f>`L^@c{nS2EqM!rlrC)p-xRn6_uBU@Q zj1)8CiN|wk5rx|&@7Iw>{vltKwgLPiF&Qk}han4hx$cfea$qn-HK8x{#fc z+^8#<-}~Hz{!OKnYMCn4ea8O}NLR7Lf*xYUNq(d5D1~dHlbuQ8{Z;N>=uIFAFV1@h z!lEk=`8XsOorswVE$*&L{evh2A@5rLo(Lfe*P5AM@Pu|oZDA3}cy8u~Y!JIlF8YCq zoDj(|MkJs&$A*>j3QLvv+fJuYWCO6aOHW) z3e<_Di;3!=r}^YJC%z|e5c$WZ?IS) zFO!bmEt+H2uQ{_cJI~3+xv8y)%2aio`wiBRLa^&A?LDND$l zwC-8h#7>IYsmM#OTFzo3AM6q11&y|_-DzE}5qZDPf_F+Oi#m{)YnBg$1pY;b2c%hn za2J!Lg7R9>`?*PFL$u|m zf2Uki*^Gl?QC@CK?Ce!JjnWB!Zbx_5{O40vZQuf^=9l}lXFm`Sk*}}-YkiZkczIW& zSbI&N2l&r6=Zdl(ibXqIMfvD0ll4tAzV$mGhJqLxd|HvW3$kwpE?V-3t9+S<{?q%m zImC?Ff3RUm{PPxQtyJgDZRq70>U6h3JYBvy&{e|KtpOKV{=;i{)JJJRLDA8kV`Z)X z1E|fKfb9rk-xaJCU>D?R3ZP1s2P~U%8i14=QLaYfIzd0tx92iuduF3Ii84=42lKsBtLLu9~*~{qq z1U^?iZA$D>Fj3rAo?qXcoty#xxHlo0&1c{@|Xvwnu^Th03zHSvZNgi|)(O zV*#eo0@2QQD>ess?WcIhv+@mbxotQ5(s&zl3Bny?q3vGZAO8u9l8El#tjPXt@^d-b zB~tD&nN41cN@q13HFmiL5?B@?u2fb`*!t4tb#-;A?W^=*S<|YcM9a}OwPM^vEG#Ta zF{SvJb@_sjmy?-Bm{(0?OAH`>lY8U0&ii?o4h9(q-A_JGwD|W_x(TG2O!@-| zr(M9U^d>3&?%azsQ=)S46!7|QBiH|Nq-WUi2>4kRa_H%OTvkFB05E+3vs!yl=fxng zqkUWfaU-XKbt*&#Dfk|+q4Exd+-qm-fBOCX84#rS(3`7R{(u7x{Y}O%m+4*rcnF{- zX5eYp@M5REhF>#aX%&-4;R-1_kbz{Ndj?LJWbNyfO!=u@)sl4bM93mvul<$(v<+ug zBS#N1msKoMbn(w7mNPzcCR>~TZ`dZ%k>8zZehc3jh>Jt9ArR^?FcZfBah$QKX}+>o z;bsva+3f-j;`aa#amhSExM|sziEpA|lI`d9G*EbOU2SoZv#Wr}z|ksax7aV$89yIu z57yhz0c&~?5QRAa5I_J?(%gX344w5G+A7ztsihe(3SE^~cV>P_lr4M6v}@b79l%k_ z?b1WmJW4KoH?H>oU`%dmz5SR2bEEsWd5A}$0gTB4SWET_x^FPu{ZJJv#woSMHsu3z zc`mmLI2}u1DEzZ5%thUR$Jjfb>}1p|&By^Wz*}zClAe;1lAGIgq_`c>>0$t|TN)c1 z|5H~8xcbG1`*I#3`UdI%qVxA}1gh!ixw$zct(k;|WkB&}ZPpi#wk_1NOp|UP(cl44 z%A%t?;%QaBGfx04MPuh4xR$ZKv2kV*E8Ci2@Z6gq_hb{xYQXsC!sHD;kl8(yPoXWta}c;ZgZP5Xs*dckiY zXKZ^?DF5nHY8wwZ4r#qG@s_0iFZ*z<7&$fuUci57C;u(e&MS2*5&N;u=47Kiyw025051?b`P{WTq|_Lj(0>C6R{EuS1F*~@$HcaPMCRN1&Hp0CnB9K& zNN_unio|SyB7y#58Q?Gis7Gr0fPX6+x9h%VS&nT;DnVTzb`vGfE#KUCg580?mp^4W zoM!|)&g3?2`1`J_z15>~yw+Q9fY+mW&9e>(7iS$OdJ-7}00ZS>f;rqmkAndgdz>r3 zFHkGUbsc3GBK0Ei$JzULb8`2_l({_L>eH@P?yxG2IXjsvPp3Bq$~FT71MW@w&5k@g zJp3C#eF^7x?-GW{eV}iucgis%M#-+q8P6ptOYdsCms5C9-B%WibU0w^Z+sic$8=W0 zD&TGCn8!r}oWy?2I^g%^(SwYX7mXgZmu&=5H|TxJabJJ7+IP?02uHX@uWHgSY#s@s zP5jBu{m@>peSOen#Qu{pFxTw?t1H`c*#@ZFAyOo}Lxmy<<=(T+$;v^Y#+>sP$|i^a zRVDy_NpWPm1(dM_pRZ@S0PA1Z%T0A~{5T*3@Ju)K)a~+rt}*R%mYgJ{R@Z5EB()qg zKzRR!9gNScBi2-|QKFnZkS?xGbFzm{D!7>st;gsANKt^F;wBZtpF z4AYIHhDjh6q4xELp>SY{G#3?xax4S-d<+=Ti8n0O z)|AMCzZs@Ppxlzff?QHj(z8I$L{MEFcL}}D4LHyA>Km-?R@3^NAoR;LBr)58lu2#D zi9IuEYze};^+l9g#=Kk{U#?zq01OOJ*Q11|Fc3V*b~AZHeY)s-Q<@KSbO?#lkzsxF z&iUij_0RC`?^;r;>)t5f8|~}9PwL4bF~wpMKV5D-0aUOePv?^Ba#Gya|LPrcgi2JdmD{4OF8bf*sre;ORR zYfm@&90f&Q$R1&Fb3XJw09f@&!O_fIwA((kV{+aDfiV%eblEjw%y@nDBQil#?`uaO z;Bu4jxM85_K=LNE{{-i1$`MHS<2@G1`#uhNO+P^?QCADY;GsAC5nI<2=kZ0lHOhiy zbQ}jM`nwTWtqi%#6u5xxD7rk2?p6XH*n0hHQWnn9PZrS_#nMaBEEb(`EgatOQ?66b zy2ck;8Hk8_Df>OfP`sETt19WMqu?PYJ99U+Z(x=KyahOVI`oCKYQp}CP$hqeg{BUp z+A7UKU z{<2&^z(BqEV8SeVOp``siJf_&o7h9nLHfXhwUC&&&GmjqoVkx18r#R>Fw)Q35Vu9# zC7!w_(Hxj1f#SR6Mao~K99hJC=+Oh@aP z2-U4uShcA>j~G0@*IpB2rEx=iQU!RmVwQ%X44R}UmylyhQSdBhlxejQO<0yIIP2Z~ zxNU|BcoMT>tF`Umnvl>_Uq`Y?tT#;jtND-MtU*Qc?m~{|2#jEFNL&uMYn9dhRa z*)buq(FJ*TdJakXd|NEG9LKjjuvw)bx?S5{% z-;3qp-+#(na7Rg{zAJbKEmGht$UZ{IgHLM|tV$2<#QzsKV>s_sKE}Fat0DX+z)FUx zr z;^N=&;L?U%6wVr#DJ@S*Nbu_;ewjiIX{vCb%!*%Id!@i!noKG0Nfr8iz?Bm%&T(Re z)}R@9_jBKt+W#En04AieQASK(7%xNQyW6ttChPf3P z!@B#YWf^08w&k?{)WEU~!0l~SP4#a~+~F#zw8OA*u~rX8hP5_G5p5kRAc?Yo>U>Qu zo*)m?Q%L(|oTCSkUimMoqgdfv$X2NIiRld*+0gM82l-^J;J@jrh#Z2(J-~4iYYy&R zjmRvk+4KH+x_BnZ$AF^acfs(RIr$*aB&v+ZaF&mo;vw#gwTt<8OHZ<-?F|>d^|j{AetX1)$QX z!VBgwcVm=vOV0vBfe3VX5hwASv3|{By*`#Wdpw<-8l$~((v-{^G*O}?M+7I8a^ABM zOUv^-ja`f&A!h1)JLx%#kgfdA>hQA@gj|EAHByGwo(5hu;v>sJzs(|tu0!t(Hm{AG zIK#nOq|>(*Jkhfh37cC3j1;hR<&BzPr9W54_W(tKzdd zja*K|3eg$j`GK6h85VpEJ^Bkcq7UAEB2Q2eJSuvNP1MP)(F95A)ewq;N@8J8seo#k zzlYB7sD8v9S2@7(2&vdkENo7zt(mAp@vov#;Lw;c^X6)Iia>NbgOP@phQ)z6h7lFtP%1fwdD@lNe)SV9Q zc$Sy*m2ea3O^FZT{0axx-4Hvrh|40S3sSp)nK5Cmm0dM9>w=PDbddl33M5s0go%X} zL)7^-bRMT4l;#Ib_YU{n=lBt+8xHe9?%PWAL>xq9lU@)LYoJyjlW8TVAczjW9~#6k zcw^_kNFrERw4Pl{1>vzqtbmJ17Odg`Z+-!mc2IQpX8=tgq#YI~;}4ub&5W?+C1}^6 zN}#ym@@$-0gRN(wZ0PF1rHTb zb@Yl||46Z*vlltNynsJ4y0@_|oGP7v#|8C7wNNpB$C74_G>fd8BdJqtl z9yX$`SOWBmEMdr|cndG*bkD+v%?x4p?imi^$*s?^+7bIL13uFxgR~HZ)M65I{clJo z6`mAF9--g(V0FxySK$B1+VAEsU~@u=!{~-_Do4AAb~n-DgJ=~UxNTb1($(eM+6`M- z8N|H*X$Ed$HRx1BJMBba~RC9YKF4G+F^9?{^BhU)Wk%;ZGo}ygG z|BNTU6$EvSwMbIu8%q#LFV5Nr#sVpjiH6A-DlklXxBr0O`lA7b#eQtvtWJaM@2%-S z`k#TP?*o*D9hze|B|B_w>5tUsBQBBEt|XVAJSA`N@~O^PsRU~zk7&t`OWS3F!cbwBYL))f8O3Y{ zbFldcBNL=x5;zS!qefTgO$7kcI_=%gi`BcL59QK_xi)DmSWFCCz^9_&=)s#>U~}2T zEk47KkJ0xT4J6HD#?>8Q^(&L6Z7X~*#t!$0no60qV0e5aDLz8NUzt$N>n2)$sc7P} zA-y9h2WPnyRaNcirq=vc261`F%AAXxT5)@0T2RddWI6R`HI*0X9Xt88iR9IakcGlrI5FJ=Uf<+ zO(r*CE~5N?q9a}44FQPO3ycW&IeQ@{k#*Ah!ExY+%C7s8l7@k%tFL?78FSH`yea1} z0%=Cahlc>Qq#{~h)<{>JSL~51o~?zh7oO5i>;vueZ>dVh6$@e8^H@~%3b48vPUxjW zpSX&0=QP$Wy9FM!#1a6a(|cOLTT2yTWCjC;1V>~8m*0r+ zIe$IF*~8;4+a~K{Yrmj_V)iVD&q>FV zgnwl7aUSoeqwXz=Phk?6QCC&-5{CU;FW}BQDxXg!V_;tBEKmJN^Qy$}5NhsNf&Ms` zghs=1Z$DOuWPZ1%_=iFk+!(cxv;+e!gfDQ3@1Y^@z!&DK!CLIaM>?9A%^~64J|*q( z{OI}FfZ=H7L3Xr|!X%4IW@*nd5TV9u&U@H1QNM}cshR||bbnhCO zk=-o!E2uFZg_Qly>`Eq4!#q0{o9TvC;-h2l6QfR4r=K(iV7KlNLd&+LOdf+@2+Rxg zN=4!8-sXYwHPeQiRv+_g^X%gN<+F-DsYh_^cK>O<6T_cgo@h!1X_;7?HU(x|YA|XloDj zf9XEgariC8`SmlXen=0W-7;_p1}~7a+HW#ItJ>%ncIZdeR;lr!2=qv7osXL-wc}|X zypRzwXfya{0LIqez=6NYE|B&OcaTvGZViZ;DqO4{@VGXy29gnh4emqm3+>=-KX z1ZeEChx?IIr>DqsNgo>A(f+%QWPZp@-aLU^unJu}2x!2eRr&aO+X*`n#i-wvtUN7$ zhVmg&422u9F1nXII+}Qs^KeE@mNXsfl}d25FNyCjI#>Kyw;N%uh^Ev<*(PUjAZIDn zFg;}pWn{&g5+B-6r=!2_m#@?BSf%GG6==$en2vBB8cQ5=1n*YQ*1ve1RgMZoNHk&9 zM*$%w$m*#dgBv01GT~xE`s0PU$-G9lxMS!TvJ=Nw)q>kZ^Io>tarp=OxiiFp#qPu% zbIu|xDzpPM3X0FL{U~Bj7FZOn-B?!#zXL7?-YuQw<6_m%ZjElDxzA43XQT^m^~|Q{ zQCoDggiMX?2JMN}>20m<_H>704fD?*m)aleI6mSNsNO@x;hWu8BKe#2*lK2}-^H)e zbexgZ#nt4!&zkf;C@8sxsW1B}9rZN=6=(iDGKYIT?XosVKV1mXK#q!nctTG+;FpA$ zBKSUt$B1IU2^Z@W`Rw#+V|A43Q(0dcM=tJEy$*$r3Y}2KHa0ymQi~Kh|-$6okGzFxqiTWWG z)}2oklc{Z9yR2LG!@Oq5u?fod5+ONu)Q6eN`lJ|p4hf6$JBAGJiA$A@+B<>iO4p|n z_|J4nSxf%jih-1hk#T%vG9V1N!)227Z}s{-kDA!IUVMVP`A z6M|t9R5C8gdU9(7wV4iyoYI%|smQi34|8w}hDf>StqGBqoI`Hqf008|NSsidax~5D zwJW=}_c~j;h8t64GI`XX`G*^X+%f|@T@bg7<+kViIwxsuN2yb#ad`#AgN}J#)D0M5k~X*|-IC{l+D#4*e#59`@4=JBX3Z zb8*J+sg!o0n$32_WWNnC;mQ$5X^U}e9MQioHInEKM$}1#oIiaR?QJHvt#z}}`A6q@ zJHknknD_R(I1L=TycA-H)J)L$Iba&5)!C|e>CM`(F~NB#h%hy@n`4J&siY=NXc%$| zevrJz4;qIR=9wCmg^HG&!E!3+gQKu&TSIBh;xN=SIu_^>lIm~oxNKHPhQhaKU}pDS zGdfzOH1UQ+iXezV${~SF14Np0O)p%&TJhXpO1JM0bAC{j=7)kP@*)DcUg{x%YwNSe zb~bh}OvQpEj_sAT0~@3pjRCLq#;_YMF`dQH!bUYQY@rlU+ zzz~*J**iKUohm^ciAl~hT~0R@1U#w`fki$CKM(&~-7NFLIIH?M#rN>EikFa}Cu;Xp z!lmMOmV`xzF-rfi2nd#HNWO0IOS!!Ci8FDyV>O)uod@FAwS7!(A`9zf&t#_cCs)gD zr7$xj=lrhf63&)Lr=-tqFof|DpK7S3ZCCDQ%7t0mNB`93lMt{xmP%bd7Nh#UDyn>) zY5j3&vO#iJ_Ta;pvvfB`dJ|;!9`}>+j8Z(UD|koNlwQ2X@F_Jkj98{31L#7dQw2}B z7BHj47x!M&NR8~bB&zI_ec0dZi+&-jlY+z3js?9h{;XM)k!28Y-JdcC0{>MPvXYzu z8T*@$oq@QEUA)DuZH<@uUXNw}h>pXHJ}s^wRqSTn(o^2Nyc4Bg(b!&_TlDVB5k9N6 zx;Z>JR7+IXJN-~W?ndb1y)*@AO=3+}fEr&t%8pyhlcM4Wwq zSz`F3=~?=R9lN+QK>;H!^P5l9Ppd3k`n4HX6R&>^RVE+~X?6JZ=iXJ!UWu&?jf}Jp zl(P#&@q>1$+TwLLSSF^YNk3rah36~vy#OOrw-x>;GMoS@5{Z@=0Pc{N2&WZ6WTwBv zrmvr8K$b%)2%!G=VhOntso}&|v9^(Eh6w`4Z6fKP0sZ(J!hL>-+6+T~Ier2%k@bW^ z`k`F(Me%@HlxDsBa)|^gtG*KqvA)%AzArzop8NEAJCb_s-&vPX4!=Px_j_bwn4!G# zAUq!V+wCiv+xBMac!C1cOPg7G*P_>TDu;ZMQ?Qrl$q|sorOHCwKAu}ZuzQCO(V!F4 zFxC1Sqx$}97!rEy0)2I`MGO@=syRmPoasIqyq0$8c!VDnAq;bTvddi!X!mtpzh3!7 zB758R(M|>pa=Aj}_cF*EU}y&t0?%v@4SrspEMHdGU_0?@uKvfK-MgM@h%cPeo@}@| zEbn1oS>AqobLQzkMNhh?RfVm$DSqDysVQkhZoK3#{ngv)9BYd<rLQ0 zzTE{Rw8;qZO!u*{u_=hLzD4l#n3#CH`1<1i{be!;iYc^M6=nwQy{_xsu-!D$r=A>E z6At)-n*ys_Sz6L2Dx04K$J6+eVDLr2(>`fITO?}BfpotJ~sXFaWdB6wAG ze^|<_Kax&<A4cUwRZ2cJv+1dd8Tuw^7q3)Qzes2@C7x zDA6cWHMZl=R4lom|89-(%0{v>j-!NCRV5{!BWG@@2z$r|T+Bgt+;t14R4F$N zHHirV{VCFT_8&i%u;z=rkB@}zu$^N>mE|4cE|wv+I@A=x5}T(H^856Dyl^h)p|N*d z7GGygZZOcBSM-O+!;}A3SNeu-OhB&&{jAFoeHKX}F5>l7(U0ZKyScBv4u5eweAheY zubLmoi}=@A_4U(dO}0I5TmRH`UN!we@6@A_FDBOC-*@uzIcS6LpopSOCP})Y=&cDuO_| z0uw24NmUBZ8!@sZ=&5%Xr&n*4(54d}Y&sG#)bMxqNmJX;j+pm5k)GZKGFU6TytddU zz|a9_-SGUMu9HbBCp5bsSjHFx>_}a+JJ`-W3_Rarf)*jKeMIP)CA)Z2Bl^ zrMWVZ5auoL@e*n#2s}s6KK5=|n*j%f$t7yZJPk`!>y)3`mI|U|6nW0E#SM)&@@{V5 zkq@Rz-NS@gm}4QCs_%xn235(X9{R<|GuZOH&ic=JY}s~%%cGY~xzG@S*Ub7;C8PdD zwb~vu9wxMwY`0aIZrYqUhmL5=0> zT+LtQZXx40ffZQLE|OwQ#0sk&=v0Ks z0#Yisk9PYXHECd?`jrDgdr+~GbQ)yc{DF#KYEj?{_8)$VJ|J^sHbOdBL?|w-JfXl( z1@cXZP!i-ah^l1W1W+G8Aq646{kz0wG7AQIfjC7u^b4}Soug^V=-xsZ5%Cg#zEEJ& z*1VdwjR;h%2bmbR_f&!OFiLVtO1<4e6_DNfm#U#0#enQGwkI2fV!oW9#j6~(>3w#1 z;J#@)2*&{)pupH%|LOb2MLPc!nHYg-dGSq$aEPX8AE=l|1!~Dz70ef1KnAHP6hZ~& z=`l|5Sxco0Bf@-UqasSWfSe*_yLX8bFX~eu*1jgP0Ku)XL4Y;xT zG5jFLo`67H^?k0GWpG83QUHMsFBy22Otkwj3@m7;+TG-klc_51X!tw z0QVDwRG`X~{(emI4H)FKSOZnm_gU^cJ*E)cvFV%UE!AuIAB~{Jc*<`?Qe3Fj;o0jF9K^6)U@y4<85-bC91;rOEolY z@sPWy*$1AtK2abBP21YH@QgAYYjXasmGJ>79eGIycZL3jiBv=bDjWUGNCGLcu>?V+ zOye2^>dXPgK0X4MY$ox2Tarlp&@&`j!J*RhrkohH!^v+STnOi-YL#)<`pFF?#@?IP zHttF)XtM{dgdL!e2elStYf5jK$C+yvTA5M_-|W|GahVH+KE->ljf>9KvI&iPt(3+44B$((b1i9(V}t0m-ysuMS>o*JJ@yX%XETrT zjlKj8Hk{3(bkmpD|MI)`!6%3BiqLx9?hwGV{tZJH^``oLv@Uj2P|X*O-I`tlcs6|oM&!xa7b^keG(TjTMcuw*^%K1Z8fz@x zVR73Gs|#0;{F*HTW0umJMxwE*xK5cyj3{uhHy9DRknG^XCQOKh_ zacO!b;r3ieP01Ae@uAn(mjT!gsn;q6Tk6=RlHd1a3?;=$0u>~bZ@Q*^dpwL5jh!{M zwDYCTf#`h_c^bu*C$m1FJ|g@d^i&RBGBPs#KSCunbO>DVWLRSZ;$%9GLj`jfS%@tw zqBanR1_eZ>X6Z;WdwZ>Ik$fDU>`;%e8K{Nd*8ijS3?c$IVCxyp(4J{!%F_iO{0*@6 zn|)jSODVW50xv;C!BMG*P!!NlN6F{RczT3TdnmA4w`pIfV1d9hMl3QNPmqOm_Pj_6 zxK^)AzwuM26nOsZ!{wwREU-j=v$kC<5DFyfR^_0;M29B5Y5L4BLR-V?+im-q2r&~( zbDlxIfCM#0Ddq!WS>f3w077OGY2Z(h4Fpq5kbj0f&$U=E)5Ms^axSRQb)1L^UQURjRzIMk}pFO zol8sOhN0k$yK~3T@0mg@PU|!dQU#mdpR-9PEReL*R9%%eT$d;`k~IRiC4F* zfTqPtuTV0VF-rW?E_$GO=9))6zAdLo1?A1s+ax9G;8?hx7xWdm`mLJZGG3eR9Wsy(pKH z!-Zv!1DZ?HxIUbVeL|!U?@uk)s_Z?+;JCrcN8Hlqpl*2rI?fTqen_P!@1Om>I|5S* zlOpuq_{;QhSc4j*6-H4BGR>Q@MfrB0Z?*m(T@Hd@k(om?%92@@wgiuDWeQbm$W1OIUq z;7^+piG-~Pq7`Y7#)Cc+GWkuWtm5?3N(wj%nb4oY2j;UMY}ZNX`csV9!X`6f5o}df3EenEDc@&IkBv zD)#s1_QYP~MSiFB>B$vF9z>DA24-Co5&Cc%8s>dmF+pctgYU=l^`6c1_QtNHo|ZBY z=@JNh*8^VtR&x{WrqAxE&94D!*&31l|YFf#}=%}w8WL8XteL+ir6a_t9)TN4YWfGiA&=$Sut zjUNA3c~=z`N6@8_0R{;WT!TZfKoWvO1_%&b!XN>H2Y1&QoZu29xXa+dT>>FEf#B}0 z!DZOazh~d}Z6CLv>h!r&b-JqO_E%qh_g=Xt?byBI4S*tLseX~@yQLA#%Vcoj{_sVN z?{r5hewN#Oj)Qje8f*{Gi?{GaPhq@pmLbXHvv!TlYaX)8ysKEQpkVl-UEjR(w4U>m zr3y(RJwRR`IrQyyXj=SWYiv*~xR@Ny!1G&c=a(q_Hgh)}x?L0ay_t|@&nH0mvs9)! zGxGJK-+Qe04DujhegeavHg3b zvp;O3l5Euwld;-iC2R*loao0&UQdDl&SK*xnC3pq>}YA6&9GmLmmD>kr6HSqJMd08m{LLhV0>3)vu-IOo%0YFTgip_NkKsYNGPkXPy00|kfQQ>|HA8AB*o`25Xj6{Un59+9K~sn) zr=|iTwY43WP&C74iHSV2i*$qn+Kjg_$k63h14{|SMEY5`F^6HB>NC#S$!FRK+{q@kvB_I;DZ4}yOno9-PJmaZ2fbs* z3f+hW!x6Csn6q@s!n@2(XTV1!M0;jC3np$iv*TrBwxU`Aanc6wbhI0uEIvIYhVUz( z`Bpt~1z)d-1Rg(2}s#_h52V+E?R31;x^eP^SWV zg*uf=-UKxFmBG==oNT6ihGHYo3Ky%$S#RC~Yw}A@i9Op$yv!LKyZ`})DqocK6yue8 zOfz0qmkqitB4s0EjBiRuy!%~xc&0&TV&0eM$IDsJAm-*qnDLOmabsMLRA>OrDmVY1 z6skK;7ZfS7OhfTrE+B;QRPxQ|oT@59jR>8@q_>Juo{a8N<&{%L(`%K{=z5`mXESUL za{O*N!785X0PAf_ih8aWEvEA|s8$N+77S*W(=IlXZLLS6Jk2!G`mXO>?;T8(-YeT! z2NFhyt_DVVmVfjVxX2MPgRbZvVub1;`NxZC&BHip-`hS)Zn)+#WE=9q@}J0az@E0<}Zso zm9)LxjpSf3satl;9G4WKjh6eMZ{R27%{a>D^5BopognI`cW2c z`)FO_D55xaD!UURX;A!wi`oUUN0lFzP@pw(B#ejhi@;v$t(IkgG})5gxT2~mO0lhJ-_7g{qxAPI;R?kW^<8~Zff zJ^>ot?rR4`0K5rLi&xq(EtNsZkuHT*`+Hd#UdsUkPf!3-n{Nl+p86EFVP~;B=t`nA z;SR}B3=M(=FcJnJg37z6Wwz6pTA4G0Q|{oO)^+my^jB|*E86`IU6Kgj@6cj#1>kmXx zgPxtU)CCc~HwJ|v;v1byEQ26t^bn0iQC~T322eZ&YA%BLNY9FjAyyPj2nGJT>Iz_g zW*K0JFCxjQGGJx`w0F?{iR3>-|EI2Lh(RHe(JU%`|GM9hTzL+aPDeKj1vV%F8wa2*NW%Q@0bGGe|8BP&YYy0S0_`=`|IeM%D0sJ9RHY0M zjsN|=!?juP^Tk|uS`w6zrc?E=T>8x#YD@hD0Qvcf9+D^h5^u(WK|84GMAEq!XUpzp z7`kA=MxN`4v9#w_ln)CWP(Z?n=-2LQbavAaYdc3JQ+8quj@^z0v%0i z*0;I3<*G18xiQ>`@NggTtijDS=HL?2xwTV|PNi&)U)j8@@EQkjclcXfX`zn@7}9g% zuz}yV3iEZzim7}&r2m@S;=RXra=mSBVlX$^EOHrt}o+5zwUu$ zUUQS$Srij^JN)7IjD}9~yvBB-fcKxy)+5iO(T1JEkD6W({oWG>DZ@r{}p3Mng&xXI=jw8nzIsvYglwfh{-sp)X)!3mvN8!q;^# z4-*4E^wT^0$4HEhz+lzuh`~GL)r3A<#u9^VB+8_P;g{^@o@QnvJ3-;9q@&6?9rZdO zfvT2V-ZWGxp@V_L2V7j656QftD{X1Xkl0Q%oUmHng ztF^fwZ+Smzw*bo1=Yni_@s%5tybdP`qlGlHnZ|!`F&-OqBaUxJLuf^aaxFLV#nB3f z5p(x88#`L$le2I3-J+<)W$cW*sF!`K{2B&ncM@M<=*5>y&wVgTpei`(YZ?rWt;^!P)sWJsRpu{O&*4XoVU;r92v8x9}3zUy)2}zrUaU?$88Q zMnL;U<76!K@!+mSSGMxecz=^z;F#TPI0#L~bY*aO{;1u$C(WLv=M}H9w#O)IwILPs zX3kg{8g4h!)UX#w;J)nK1{+`(!_RW^qOxu)fGQav|J)zE&7ZVxd!*3u`n{2PdZn~# zRYq|7j==eO6%mKLipn-Mv~>w68EMqQ@#alpvP&7EPK*Z0A*b&ujMp>%FNyX0#iYu= zN1`=-Zv;rh&e@juerh7!mpSil=k1tePMijD;<}9Ug&Kv7@c8)oTn?vzc&{pN{rZnm{a-&Y#|(1MjxQcT>{5%|V-^;M3e6v@$ydZL z>~^Jzy){W6Vkf;VLQQBzuu@Y~4QVtIzkOrhW*Qz7;q4^lKxcC__tM|4EZ> z!x58gRQpB!vbmXg>ExOw5hd5{kx|maTxmpd`zb6cDk|lpajdEN_Wk<9WaHjpL(X$2>0?R--m)i0> zuWd^<^7kyd6W*-bx?0{>m~xag990BNtTe`|uP9-I0|VdZJN#pF(3PIM9Iyo(GkaW) z?Lp6ONH**yURcbw^xdsb4LPo#ya)-JE;;ZZ^1y>)=-&2*q#_WJ2Q;pwxXKiQcNI-^ z4}X7{*|#s%d0*|@x9XG=H^hStv3KVKI>g%d9yZDKU#(A1>t`h52I!z7Gc{0Y zzuoSc$WT%edvBjqu(zXNk2?jOjG~Qf$SH~Z30XG~M~9VDSQx_I(?!PweK$rviA8W@ zZQbPP)AwEZnb^r`hwmrtne}eVBUFqP%jK>>q;RDd8>6bOzLv(ph+wmB-vNY}KoJ)c zzoNJd1Z@(t9I9SMZteL8;%pDKVOiDKH9d8%@TN=0x{1~`|Gd2s`Pkc|Mb>sQmPO}n zgN=p&B zX!UxLt(ezk`@@KklyYRBu0)|4l%}!id$VbG6}(|e7fPph{9Zj_n(bmJ-sv@d$L_Y9 zeEa31udH&`Ub9;wpXFct%IWDpJGhPe^>^b~b;+!gbmCcS*1~x5J~Q*`2a6c#YjmFL z-wIoq#hpNTy}Aa+_F~QV&iV3U$aNg8m}d`LBZtUA1L3o?vCgftz;?3!&DeC$Z%8M8 zw16i#wS0F}RKbbHsMm9)Jg_RK-X6JxQ8AeC1p8bAc_}mNx(ME2=w>{lkAnO0oRHU0 zqlU^yZ2YUErH+n`d^Hoz7cg?ut5EM}VVIDd(BBlmVJBNUosgH!iS=(JRmQ-_DogI+ zVOWZ9OGC+SLr2Tuc2+%)_xc+y>MlD~zpeforKl)^hs~EZuCBY|7z3Xw-H%{MaWSTK zf-BL+>w?FOt1;h*PrBiB?~1w7^Z+OJGMaa`#bkK#wRN~gQ(kWK!2BPNgKv4VLs8*v z%XH7r)`Sd|0yP4k?%T#L*zqJ`RKpZK|0H_I*$8AzhB)@KYOc_0s%vX&tE;2YrpH_V z929XBdm2dw3zv$2U|w2;87}2doO;bT)|ls2U$aVH3SceQIG^t=c^^GsW!>xU$GolC zL$4>v5|dW7gdhZ6PNG;M^h-+C*)lUzlSW((Pl_W;u&9Ml*?Z&8rZGmhwl*=iu~y<9 za%7Q7X~`W%4@L70kH2_~E<)}E1_mc5p&A<5W4rRCy7(Zv__aSBk8P`lH~xQ%^hG@f zZp_ntm)NYQ%RZhiJ!x3LH00#uu(Gxa507BW^2NUf4`e;w)r5yjZ7a3x1a(REMN>a+ zSw{fzx0Ch||7JI51;so>eWNI9kHdxOidqtuy|SFGEH*C7Oo5d{27O)2=`MdI=X7As zhWy1r30S!+H8m}pkdAHmFei4(0p0W*q!jx!0q{-3JV8T#Qa>iI*+(pZw@ea5yE-s% z;r*)7n_qNiXcX%a(-V;qe8|o&w4J%n$ummxhT~XEOS83EJ3d+!Dx)dyr{!93oqNec zaa|;vrB}DM*oVCDjM44rFxs*$!%>vjxK#}C`}8Tf>_E>iWx2pw)9o4lhf_tYgvyv*DtK^ipLNia*dql#u_AW+nuxBDw`eU z@^IWY{H^tpBN~y|w0@0!TYG82o>OBrx;o&b9uZ2@|`o43MXV6}=?(r@5U(QE8 z_r(v6)`*}d#AUQDc?8nL#GUY?rUKMhHJJdBN$!QtMmM=)t;)g70ZKWwgXqq6+w?S-Q?i9km zp<@kPnxG}dk?NtvJQG;$-!{-ej-(AD?N1*?Z+Z;2Ok^l)Uti=ZR5q=W*^Rl3HkrLh zc@JIqY!l4k?cZZSV2pOhIX|_m&p?VlG^_tdPyf(XLVSv;JaTXfW|PKK8+}Z~*~gik z&0R&xW3=fsNAxkw*@&6esK&kHe)=w{b;zrwz{CBpkmo~@lB~Bxmru8aib~(R($m4H z_BF9GHSMbgeYb+)BSOu&8P&(xB0Br!Td^e}Dt=>^*f_Ln7N6y|7Waw=hsikt&Dz!9 zRHv~g2rf7{Fw`yCZ|ek^&4HStd;nHU4$9ZEuwkA&A}-`H*2x$I$pfE&W4F>d!}Sv ze)-I2`|!X((PeXMEw<7p&`Do^a=d_Bdeg%eHEW@beb5b*t3$|K&gbHi5Ia^vRX8d#do1R#Y3#gLmHp@;M0PIZ^fKkl8&HhFob zR6Fid)5gbNFX>*+{eZO+b7a=muHR+BUH5=24@h&<%_U?g7V@g3Z)?Fz%mcQO@}Z(* z6aT9~LoKlP`R%MC9@33u!)v~u?vT!56Wfn|^zvAJts;8iaZ+NT={8a(bma;JoaFgU zgb(K-;0K>fQoW6+&uAAu>6?!ZV&fb1gqB#IZ{)D=NLV%L zxqL{hA^Oy(;*>hFGqlm-ugwpao8A<(5~)1l(5!UY+{yq75!Hu{q3}_{Y+q>I1l*7+ zd;%xES1;Ff8ctpB<}WDT&(gWC&)rl!Ja;b46yD_uorsHHOL6!~vQy<$II7f7kmX^A zt@UAs&+ivEbq8~8;iCA%_tHXrFSFh*bdSdII&Xq{0(kQVl7RN*XJq%ZHKMfB?1h%Y z77m8HmoSd*$5dV2kDXg(gfJ|8TI94j*_%JpT_hf{$x>m9eS8Qsoa(>hjb`4+>@Ruv zwsV^Lv&@@?-h>vYJk{$?4cu_s3l3{R%T}RPtAP3wbgIh&GuV$hLb``AS%u??5u2tv zmBg5(EKRquW!A*D=KQ0HIx+i{Z!f+0>L=4+*Ewee!Na<+ZXX5FGJAe7~}F=D&SkJM0?L zxNlEJo+bJDO#dWVfBA~YQ+6h!t!U(Ao^}%EE$D0Tbm8w2=e+kLdWxxk=FHnj%X4>V z1I_&5)u#SE9lNq9g1mM;xFO(cJ`$WbX;uCFWt&wwf4AqvemDlbQ(B=KsWE;3pu!QT%w$O@2}I9VfoQTsJPhRXPzc_$pz!xramAXLB_qIO7E zDt3|3z%mdGdA_x@?)TgGym4Um>e=k>_lMK4Ac zJA!6)BS9$-v9sj+nrs=qvwJyZ_Tkl^rO@xmGQ*YCCfu*Q0tlwI*##{J zEUF6x|9YQ~JWO8LB3EFBRyJBjY&5W81mlRW6%Lohh(r$ltlldajFRZ zhnETOkEj=po+x=I^Y8Z6|J=T{xNyp_5TEgBQ{CZ;@{;hdIItifAn@O%#FRlmK%2fUcL3N|%P%4+&#w%RLodY^RcoCF&`Jc80>Z=R|5myQh z4z_C6qQjs~mYy$^j3q+}&&=ia%k427{{mH;93Lng|z2J|DvyuEn=LXE;g=FFF@ znxO(gB_+H94Ir4nYU#j`K#0GIFa^Z{nyBdM;Sr1V*E>Nu@xH#gBMuT!i1rePMCZ4+mJ~ zkwVr95D^g-6co~B)vN3(C@yBFj7M2#bkzPp>{5vbA_&-ac)G#Hy<%2ILQY_SD%Y~} zaPuZt&)+tete%q3$nV`&Lul770E7(Cii?T>EjO-RhF6Ts1lvk&G-8gJNQG>{r(iCw zKXgz0`o+YG@<@dHq7CO$(+vRST=wW_L>4106hC;GfpgcBDlGp42(7A7NsQq%42y5PP< zEp6*pl=3CKh?qx{QF(;NO6^vtQXNpo=3Ksu-_Ll8yi0}!B4KA|Wvv}$Adv`T4&XTx ztmk2)p^+{51__Xgjc`5F`?~pp-KUK^6<4C1Mo)H%li8(fTBBSF-ujr3n;w#9zgbR_ z)6zH0Ytx=R6)Fw4`b@ey3R33d4oiP>M|>Y$@p+hpuaNJ|VZQ}lKKWd=09n-$;6!wV zqt29Le7lG&M=F$rWpjZiy|f(1A=8%x5F{*DQ1lz|3<f zjcB~cE;{$~cWr4m!7q~Qxl9cK&?5Z|9LN~-LzJq^XT!WS@?HTq$PA|XJ+i}H{o0^a zC;{>$p7sT9R8i&m09`4h>zkY1W&U&*`Q4H{-Y7EhcqFv+z<}lxXRja+VFR%T;;xP~ zO&*9LuK3Y|jfNV!wqzZ@PlBx|IFdKSaV!L?S=v~hC@MqIu{qw%HJXt4S{i0rM} zjD#+TFq%_sY%ufjGn?)*w4tWT=gjfBN)PVa;wluQ3>CnLN1vnj?_Y2-De`bZz%k`gBE{m7iwm&KpY9dnvz0-kxvh?=T()dZz~qp z^CDD2)fw%_RVCke*8Fr*%1FGz!gtM1o_727rYvFO%w?F{y9b!CumFm<5Hcw$&ns&oJVARfMZWE`At zvBllw0)23$nqKfbXn;b{3Agf#NPPZkk)iG2afphR!2+$3%Q)rd(bCV~(6!4>lwo-v zpV`06M{u;n{c62&e3Ov6A78BV2}lY&Iv@33T`r=FcID2ram=kvK!L~1Cr+`(zMrpW zA->oWgK2Idk5LIXo8bu6@UfVz-T~ICf#xx=y&(4DaWkzFE`rxTC_z&#SC0dUw$nJ; z4B^9m`gp&*H=oa-%4vi9ic&qPAtCD}{h)cMSao?gogp*4lx;-VyZd?q3}%&3v?3=a z{eD)J-;DvWHJ$SiOR333tciPHG3vN^c?Yw;-QT8VCi+l^c79`{6M_aM-Wp+u=VXgD zfC{ipDwKZzs02(}g#R$- zxv?Z0OTag@T@>EP=n4mC?O6=-84~RYr3NRB{o&{={(hCLCQO z*z-_p2I*{Y7!q#!SlH{5;wY%&_IV>PuY@^N)w!VHMXPoe*W={`6mwV+9ILFBA%d6l zr_bye%jLr8*hHz4>#Eg~Kbfg9ABCKNHGc&9v|!FIB9sNFyx7Mdhccen z25AYFVLUlTAS!vu1**<%D{-eh-lI6M=KY#w|mpF1BG(uNszWZcU+(t;3 zPTS266l?#Wn1sPXYDVk68U%%n1!(Wa`5}gm0|Xeb1B5~c>}xk?2rf2o3mo(H0AohT zhJ(lGKLPe3<*Z++GiNjz2Pfa=mIFbBmNlqwGxb z4dNn?R|0dTCs+3cJG(Fbma8BN=2dH9b80{kKIHmd{;qp;c2xHf7M9;j_HEfhho&hO zaTPNvdy`)%ynh43Ut>}X+V2Z~opp4UfIHgN4oTZCnA3AX1;+OhRuub%mxfbcY7o*cLh?Di*LWN2> zuM4zx{Z1NHl0OUZ)K#rihld~%yZs<|=6Q~S#S-D)a#8k#KMk(u4(tCHvM%nUCDjPQ zz(hK_pqLwfKu9g5JJRE*i$cd{=Nh7YPcN5RI$?JSn9V2E?%|hZkHF_`xE{4-(hH7_ z&%HuJdp+S@N+86{-iCAq(?ZPJ;*TP0P8mU7{Y`S{#b)!m%A3l1>yWSZ`S`+q6Ve$p zi$Hx-@h&0ym(dy{=a~N_k)dDDWn&rHUaxV-6kX+c$|W1j14vC z2*4%#)FV*y8S)_L4dlE~t46Q~R%^ijv1G%jJtdZwlB!z;PJJtlJQ`lD2~QyK!%}0- zvibevPZi|ubT1M#d-3X7e(n!NMG#_?CnOSGU0p*V_{c#eW<4IcOdq?UAdFBPwKlEyk1$zF6N`ZF_%UnVft15spN_$cs`m!-Fpuac3T|} z5u$#XS4?0gz{J5)vf5CYoz(A|X% zA%A$Q_7r(7wZ+xMeVdT9Tp~=0T@Z0ePPz({BgXzJulYWqfgqtl=g&e8R~NCAF-R9a z6nG_JR8$suN!q}U=XoWKd<>R?_~mf{ycwwMTusF4u948aeYD2fk6Pc1=nOvfzs-m= z8@vU_xifo335GTT)JYqVQ;k9W!Gdn*sUmNKEtcfJyC`Lr;U_VgG#1^K$t6i#0G5L% z;O5KlRVBvEz8@%MQblhdSHLA>7AWzSMa4}gOcZh`;WU$~V$v{*6}l>76K9eqOWGE& zSxJ^LsOp7DT;#EcaLCZaZirbf#VG2Qbs2%84kq^J@!hcA@j=XWT&(`rG`HVIEh z(3+qf6w+7>mqj7<7Il<$#d%AbDC(-}jVTcOd@1I>1ijQl`nB{gXL31|!gr4l$N9&@h_(LB>|aOvb!b3DZ~BRV8=;ZN3Wo z_HXb%eR~2s?g_Zd%9yIZduLS;|F&AXPQhV`xe&~{T*|Y*vRJf68n7~TKQLX^PO

Duu0I9sVQMIshD6a;%s5$i?M0w_Ajs>M4-Z;7F?(#x)`X~o>2sZ zC)B~A313b@!hF2|Tjfs2YAjkpneK+93{Ag0jck2Ai$wS3!Dl1v0{7L6MXRJhHhD&h zg_nUfQw~`R4EWv{?Xy!*gd@y<;}W1rRtE0XVih&+Ye=X_q?j?wdFIU=Wa2m5VR(RO zMOYlXAADZeA<{(JA;Kc^u~r`0+ARVMKF{;|r)}Nm${&5NPhK3~k3FVRG!o5NoJ!`(IunnvN842894BDV}zZXU3K-L;HBF}%&U+(4 z`uj^xO|uJD%pcetBqEo4Q%f>P$|fov+Kpy`O)^QqnN}4{%8+~9Ii{cUt-b>-jNqkS zK-W^F8mky4>-E=3SSK1h|5Ly?;6_O|2_pe>@Bi2NEn!7XnYYaQf9`IX_y0|=SD6f~ zj60F5*3yI>1;vE4&(o454DJs1E5t8p=k--aN`Y&+MV#n4_o4%8Sm*IKCsazh@5QIR z?Dd0N*?(-)1UQ{vD@LSM>O>10#L;c~Rp+bUd3`;1V2Ec=#+OH%439w%vka$WUdjf$ zb^_`%DDVA78tw9A^MbuZ z4}wjqWN$En&jB~?(IBYFlBPlbH7fFoG{xZ)_mKWGmOs)~gkNSW;u`ey&ja035x&M2 z7|Im3<^O=Wv8AuWQzb|iwk_~d)suqy@)7+ATTVfPA_4fnQOd0a2u5$s?cS@4qa6IN z%4z+baV@KZ-aD0H21-S139<{lYt97{f!70rSt0O5(_tq&QQ-YARy|D66&@#UsyTmtajzHe>`7C{7wJV`}Mn6gUKkIB463A zEn3eg-9aLq4*rmvLK58YLXb4b2SlAEivi%sCbO2)j#CXd7lZ>4h1?w3k$HV~`CT!h zoK^KC1#OOTJ7sn%L5b*rqtKlkgEHOWmkMGsNY_haQ>Mi78CK6qJDDfdAr%jp*=em0 z1ai2a9a%QIZvU;(N^h=Cty*vB;jG3&(~9QGNx$XFB&rG|^}zj^q8ZR_GAtIU-qCey zN*=fpxu6cTWz5H;-H^t7o!uLZ3`BR<#XLdE2`kgX29-H;T7jp7(FOx+Rmr0FoSE;-J^O$GQ~EMGe5IzZ z7$lB|a##V2a)XdSL5tudW(DTKB6~U_!JjmlvbVdjw6ppT+AqHD_|H`<3ebG=v3!LSjD{-!Ve=hd56m(oFfwGB2*UJ5 zHUY<@r9%;MJ5qqH(Idd@X zF^f#`mu}T7x_O);p%8LvYw=nhJek+B(b|XIwek$Oe<;3T!*m9oW`fX`&f;408+D=6 zV<4lKh^wR7N-;?ol&r0SD}#KE6_#oOI-}bJ7(a?&o(@bOjNWY!Pnlg8K0{eRZx~eT zN3J5XLS2T;s7zHcq%|G>k-=NoQO0KlT^*^iAEX4QgO1l9{u9CzrrFXI^mW!~c-PMe zGPP|GmUG9c&MAmKu{&s^sbO$A@>FYH(F9QylS`*t$ia24W6I-RUlp^sU8ai3DzP(Y zL(Ikh@bn<4`n*m&$x;ona*UUl)RZ#5I4&}f+d`=jBCXB>vcrsw+W*9K2bBEQuGg!^ zeo()OJ;Z3se^5-hUy9V* z_2$rLH(!Sn)Gx?|NGR}I{pV;5ev51nsjCvr35k6va=hsG?T8DzuR; z{^`ItX|7~NLpNL=6$-R;j0m{~zyKTc)_-7Y2YQcu<$p9-UR&Yb`1UuylRLs z7_kX-valtwdlKU9nlBm~1`-Adtw2QP7no837%%i{;ZcYCBS?oJvR2RrGj8xEgYR2p zXJ<#{mG*B1{~%x?p_lz})Nc^~;j(>zAH+ob&MzV8aG1>h;ls2seVw8n3zaClv$O~h zb^`wm+d+CVd_+!{r8t~O`=3l03lsyiT_+}xvtMfhx@JMH!^Jc0x0nAV zD0;QOuj*j+H)N9LG0klxs8iX49~sBb#1r&WcksxU1$)6hnL;YL?)l`WHW3RA36vcE z>In7g%y8xu@79|{lO#s0CN5^nacc0xaflX%e%|ccwjsmUB#Qq3CV`nf)YUi7T0Okb z_vQXm>Rrnqo8-^sboDwelNj&{w2o_YzW5_5sIPO~%kSp>!fuCxfN^I>sKfX9xu;eT zh5#?4k`wRe4PNom^s z1)x*F_jbBeQA}jTZeb^~x-u3hm{QFT$Ez?hi*?%{HcXqbtr?C19eOzQQ-F1O3*nNt zL~i@GxKl0Yb51iC(VhTM66_`4em$A-&`VxaDO@tVNoxj#XMOhn{Pz^7fq_Eja-Xh` z;56OOgx?!db!9%C1H<8wu#=?))2TiWlZ%3AXOAiU+>Zp(dk9F3UkwSxtYbgjfvz5V zTV8EMQ=h*UG=3tAO33}{Z9aR?HJk1QePz{JcVp>}1sqzlpM5(DaQYK_E_gSW?3{N( zdX-g;Z0Zms=)_zxXw9CiTuEXmS5b*CQ{>{uK1}2Bu035#bSYO+egL5zax+$cRX`3ms z$~b^K4$Jet68P7*NO+>!F4r4xGl?lA3!e`)M@!@$Y3~nrTV~1?(qf}^(^a$n-U6YX z)RgUy(FFnJRx&cP!K{GvyO!ecy-)k?SI-VPP4=EGQ~^@sjIMcB=exsDc;iprh|6mz zO$--j^s3n%!p2@kwxct>=BwFrK~g<$YE6C`l|1?&VSNyW@P?%z&(*aX-Kop=PE1n_ z*1K!{C=iJ}6e1AD(m!49tYlA|`ylu8G`0!(1;m^2N0?zPWe9iAhWj=FNbH zRAREiax@5srXcM#Zm736{h+AzZrfirx!%~y{#bun&H#5~`Oxa=RQcNy6%dWwGFX|< z+vN;qS4pD%eLlThUZO05q7TyB)t1RlDEry->Qd|FgdyM(99oP6=1ClYua zXIpO5#VA)D26_=mYosn13yjj*D^XTe{2hKw^ud0BO(!*_jR-4Rp*>!`Q@} zc?*wo6x}}S?(g&J;?7rcfj3@pi@Rkc@>T%M z43P$;Ods3iVUvgV#?nvPuJ_m6bfg8X<{HgPoZNUVDEufNal}snw4Pjj|qNi_)q_yJ5LWms*)fl;9D z#A|AP`_{}ktCJcU3Q1LLiTNn6*&bWP?e$9Yqm+KgJU+lpZz=9!BOXl`s+vcm*xz|Y z+TMOLNY6{6$?8{h$yk+IeOl~z%Q|)jhYbb60Wtg=9y^La z9lLAraZ`NvIm&NE_Iu>x$i?hu8&YoY_lj$W`vaV?yzQB;v+3t3Asw;8)Gvv%WB(+g zvFk*Ud;O=hDj-SH;ojZ+ZwEtcuGs-Piu|PuF8eh{Fw$3)hN@zD(TTqq>M?|%fd@N4 z#)C0O`~)DnzBfZ6iFm=~!>X4>31~0@>UpvJrNf27DNJZg;p!Lv#UzP5G{DZz57@c? zLWbf4a$kS_sqaWz@V^I)8tJQsmS>3mZ`>)VU!d{wXVg!)e``}FQe2>hp_q8d0O=rfS7fj6MaH2IGO;^4W@Ja?Gw z2FPsmK~->ETw0_7lm9d<78N3K{q+Lzl4w+-p{YYU$-<@QIkVAG$Z&DKCWOz3R+yC}O(k=vj=<9K~So zyNL&fNBz3RF@6lpmxQ8Q49(qii}5|z>h*(GXFu6-vHP*ZvXIi(p2EM?Uvz4EIiP(f zYv<{ft_-Xx3yqA7B5Tb0t#h@-DV@Ew*}7XLnj0S-wf#^esm&3ejzn)weoqD}!TL5v|W!)1~d z0|hmA$ff{vjIjk~XXHOm;lXpN4C zZ3n204)1MJGA&jzpUCY_E%ITmjr*y(-!VUj>#$ShxH`m#qtf|mA`5ZLlCv?_l#|8# zEQ)#w3PLsZ76-MSn5SjCZy)i^5SFEo7~<`_?aOA0bZH2{+`k9WPboEQfK&LEuu7Yv zEI(hR>XoyfUg)_D!d!9Ss%Z^^wd3s3mGTBb@z zdjx?$WclejwKs{_C97uY3C12;Pz8tcqSDqF@9@9+#o$htmZF4y3nPyB}L)oH_>#iYYlrp#dTsXlLF zkSomn>dWs%U9a*u*QDS3abv0YNPN|#q`%>L?3!ZDF%^d48|M7IxBBfe-u{s|aWmcx z;c~sPY0h1^c7wZRY6iX|G`r)jkGDiWR*Dci{#1Tz&2(3kGn4=U?MgYE?3=8Ei5k?s z`&P{5_Iqd=Lb2^qlX^q?9y1&kxiTs7_;TVi4~@RU!70^zHaJx=Y-ikCL?V4a?f;%|H?9Pt~F<0myR8 z4R*v})fz~#YRkypce9&c2N&<2Go^jYdFtR=wA3s{Pg#(I1)IR5V}rdKQub3Pf=ex? ztGuhsMv6yISC`R#ZN6UY6}?@@WTCHvIriNM4=|^<>}~Ov*&Xzj%RB={*QR!6b}uT~ z4eDYhT&;D3DT5O@&DU+$fo{+)<;z9pGTaZ>dKHH^$*Kdzk7FOgdLJ&G-HRiIPyM9U z(sxfHEV~PCI=w`US34{AEsvzAA3T|_PX+Xex7GMNveZ_~h;WE*$%-ukX`449pH}x0 zPo>L=4j=AU{r!UyYwCg)Yni-2g1J*_i3G3#b*O_cn*4!G$pF@(35MD58gQA{$t6g8 z>PcCTcH0^@6wpCLs7@%c<-8yL{5aC>d)!q{&O>BvFg6$LWV{|4Tk@?E@^Nnu=5d`> zLj0Ga*m+WG3<5U@w}E^5+d=xZ-<9&Hh%|F$MgokaeU)iMEPr=}&spK)D+V|FF|j8=QG`{u7ZT2q}sA zx8#(iuqyd1YO<5dfJ*j61A?oQb#pH-0l<=CjZMNcdLETBSpWmxy6>1nLILo6V@8d~ z;W!gKGzNrOKBSXOEDhJrDVycEX`~=GJBu>BW*Hq@t_O8IxsMYon!y$N;#qTKx?|r& z+VgMF#)#|9H(h_K4of*}ZtAzW4iROcLnhzrH(4!{v-2BmY$Y0zahjVyQq>S>sHJpC`Rh}hd=X~nn zH;440>)eU}KVe2ho0W8~hs_WDus(s@PN~~t&+*%9djTtD=Uz|BmaEU#P`GG~mhxSb z!FokM`vURw^e4kB`FI9W*44{av}F@CY86#>0Xcc%eYs&M4`++%Cif`w3ver-_&g7V z(cn1%74O7;1vk(rnymdQHP`==^A{JICiW;li#U#U@G0!``@AC65L(r+zyRp$W&gS#gJJKhR4n9M=2^V3RY9FLl zZK_HcfCV2{(#BB#h_Jg+6i;Y4)nb(`mFjV4=Hn1}-B-5(@pI6EDa<)t9gh~h2@o%< z*By`f^ZwDEqs9Kp`>ZdXPGmn^8nEctD@FeE-Zzvn>KMOTfyd*;pNxuPV~|nu`8rGnWTz}J8&6c^XxRI&6uwZ;M%+0(>R>2Db!OX%t)TpCZo2fJ2y(Y2#E_xmhSNw1cRcgp|B4sWBb&-G^a1Qx4`4?-35>uex0&cmy(MK z8Xx*soz;x#!LUC^a(djZd7twxodhTaNXI@mEY__tWI%e&cBhAZpXK89@Yv>jWcG_; z#}*?|Vqr8$$>l|J2*^TPxrt;^-bHd)d>zvmFeMK&tD5{6Xur|<;)?luhWK%Y50zl# zI1$Fc^xk{R&;F-tuviDGvtuR1DWbtgSUYlxsO5-$NiNNB-#_qiZH@0t`a=I_~7;3X#04R zKmuAbA4YTdqaj;N2379rW@hu&xx7z{+E2YLtw4WtOr%fFjHdC%*!W^Y_3Ukf8|Rxj zcSwkZr7!aZEYfF{&aw4_Qxq0fjH@hHfCU!KNrl58^ZAS|#7QVut>BuJ7?ynJw~C{E z+#{-!zf$E-|FI)2#Yn(3iY9?H^mLY}`3sB_zQT-#24`BI-FCVnTChguSnxR!Y7?Ur z(j6t@luuUkVZD-KI=9K{HVt19|A5tN(}RagD_xu~-edhOC8&uIse3oFmLjSIZQh$F z2#@ROV5B8;gw4U8x!`^FtcSqK=;%m$HNT0JU5k6idfjS>P*$B9f=aX6P~T^e<2$yh zU_ibf_r#P9>zVeBQjp_XY9)MKTbe^k=UjZGQqxY~0*pFVjU&W#){8FgMpgW6*y*GG zuQItXN5+~7bn)lg8id1rOMIYWh#T&!DYRU^e;n7OX-V7oR$Fv9(Va0>p?fM!kHZ^01f*rb9ZPw%-rbyQeLd75keOW@Hut3futr zQyq5VRNAb_D|Qk&;qSI~HNv~X8ZaeU*kBBUc+n{i>RgLCd2C5Jof1v1vKn>}27;1n z4d=s`6Nzs3jo7OILSI1|dj81U3wB`PcGrBpBWdI>#LnBk6w_`GV4k~(_T?NL?sTRc z5lbin;V#fg44lZzfiM=|XkK5`3XP1IMBQm`K7g}?_&Og~fsb4N{w+U>BS-O_&+*=; zz@uA{h4=;IRB7 zB0xITT*M!0BA!f72^-$UYFaHQr~uh@Tv;%AMB}dbk+$3jF~cH3kW663k|2k$(zQ|S z_!2uq^S$^fE~-e-l9KTQj#&N)Bz@CCDXPem8W0c>`Y@WvT|)x@b4&v>3gnWz$Dr@0 z%NOGx)K@H%N|V?bRV$at;lgL!mc7l75R-gaIEr8lp+^Pg$o>6uMLk_0>5M=aNu*_z(6SK7z+Tb!M51%mnnS5Hji)s> zmh?JD_etVC>Ko5|rbWsJ~};)Pzv1nFg!6+v92V z&T=J9os5#UClnoq{#<6A)IhPk>aj-sVBf^VWWP`E9sOi(0XJ|ExB~_3a`@=l-s08m za{gY)%JTWmq2cF3VWmI<%&td=!JoOz#)flor!^Sn~E$?;e%#oG|SV*?_IGb7LxSK58%WlpwwsOV_)A0B06Zb$A>)yi>z+ZkR5-Xz8piV>1 zy>3AE$$Ekc+)4}DY#lsXaT-GJ-+2OSKn(D$%TAY@5#;n=3f%v2Z@l%4WqErU&VdM5 zXr-Ps;$M}|fPaQ0?eJzZ_A*BlvCgEMBl%0TT-kaRK6-IDgR2@EQnIr!#kuP8>?+qv zv}QW*43eDcVK|7Wf1!{>WC`a^k9&#taWm->x`P=Xh1Ko-(|4^&@^OgcAb*`rI4>Oz zvH|_}N8M5e7=hL8<#~21#1SK%2!_f*te;bKjQudmSwNmIa2eKHE4c_-khCGQd2ma_iDY4FHm&!IUQydsNPAxTidjowx$~7^oG7xy2cDJod1Glp{ zX(Fc8E$FAr`$Z2_Rp`Y>5x-!+)Kz{glecQ!H5ctR`4jmma$EqwJVSFt_^Jd~eB8LBT|(KENQX3kd(PExkked=@n?p- zkV1TOpCV|(bc!k0RWw^JPA&jFDemB!;d5)#aB28YZ)UIQ4dI3B2!N8v1lRQEAu7X; zv6clhWD$1@CNngtVhsT8BGg_j+BXrwf2haHsm1fMLLr(dkI=L{9A}`shwG$)G{+aY zZAY1a z&9SkBy~&oUE0${@R(^g5(v(C~fk~m^?ON4555Nnu9CqOno8Y&B0E{WE6uEqv>$3pF zsJ=*{zPyPsDY50>O#oR%_>BcHWE46rKFx@g>XPiR-Ej+~+ zD4dh!tH>V}KmThSog0jU$=obJ)>73!Hr{S7y<{Dx?>X)Oto6S49_afIThBGq)UcuiF zz#{$i_^6R>O(Ue~!dziZ|63=V?knEkA!u9BP+sy9zo^e`CsWo5q5!-3xOyqdS zStlX&=h7i1&qTah_Db_rOX^mZW2uM4L^*KLPH{tXtLaTT9|~ty)ee&pITL9U$3n`` z&(Qt@;yJ*S+e^h2*JcI6g52SNA>)Xal^N`jWKy{G)0_h!n8{Ngv5>+>yKvJYr_S zF;<2FT~+|02~bz#3ytdCIXzEnbbVnihs6I*G=M~YrFwh3prnd=0Ir00!R%ZDC8=lfri8E z>ikwp=|?U#qermy!vE@}!h|qU&fKx^`59M(c*4!2=WQ84DnEV7)$;>|)WF|QJv+US zxJbEOIp2X{x^>nD{#NmFRlIk8fUt!GnXjomz0NpB=j6uAK-EM!VTwLw{ zjOetxSgEJG$0KECEVEvsftEw2FIA0di#uqg{qhH>R&_o@a&!f#COhqgCetG5{OuwZ zm9W>BD@L1_m}GvXP4Yux}3<4s4pq4>W7jYc?dX#5YgV#e&QDJ;Xp)ss-JNuQXPA#D25 zv-YhXLoHP;-)L*vbh$#>Q1Wr77G5K3LrzPetex?qZi%!^-C2=7kH}(X_8c#<+vl0t zaje8vbdQr!ry=!HmxknJD(A+I-=onZ&c7?ueiD)HK#n`z#qf3L8B@Zy6KlHAlFEO@IaiM%3>%#;LER{_)%|gIn1!x#HWvkX`kaqt)8sOIa zEqPAQ{`$Mi+QJZb6aH-T9_pRphJ{y2Hmh4`ZG16ec#f0-u&##W-OrBWz#S-WSlsWb7EZwD zhZ>K~g%>SqG^lP%A|C!WPGznvhj(V8t5&y~m1N=Ec9nZozYm*+B9+Z|QP)3O5dUGQ zOljwUWVP5R$ue=Q@)bn_WtD76X#oFDtIu~Dt=m++8hnwruvM=ey${MnUi``)dcP z29}sk8b2!Gu7+|=rE{ArsY7`ZSz)EjjHDU9)I57ey^_tQXzh&+GQR`n$tA1gGZ&`! zzMhHgY1v5qjQiC4~PvOWs0Of4tAs->Dy3ao@kESaDfYO!YXTO$o!X>;bL@zsqyKd=JJ zhR~1FN$*kDTU5-zI>Nl65zW*8L&D<%^Xw81ulGnHa=Cjl$~9qj$;#+FYS=SFkuC2i zYTy1|$HW?!zEAQE|25}HC`W&nvT^Jl5Z_j<@50t`PoLV#f^u`xGTZwY{<~v0GK;4) zrcZS7+i7OxIN#Re|NAch#Ew<|R@0Bp`ucj(KiM5Sr8f2oSa^L>LA=L&sRhvba#-)X z4VC!3K_+-_j(?~5+9D=|;^nx%vl3U=drsDB#5yT#F#jC>K7)XiG1XQrBZ$w+!r?mA zB$&hgX<`?}3IPGAB;jc{JMYxb;ht z%~;k$QEkJ71CYj8y|E{8+6y%a>YdKwE`Ip5ZYVlYNl*J!ZKla=0i9_rMEG~gX%+HTPfI<{?V#kOtR>DacNbZm6T zwylnxbZi?d=1zbAzn^{1e)h93&ege`7i(6ns#*2EHQq5sIpf2Hy!v;#$kOXouadMC zTTjZvJ~pd>X)tJTiVPMb>YKj@Hn=@PG*rH5s@Icb--|Z`B69lx-9s^Gqb~T*3|h_L zCFV_oV16;g8#IN4Xu5gUiWSi8m=#oKs-Jb^FZ7%26vBUoO)V%rZ!5Jmv{akN?#ox1 ztwJ0h0L|_!1%1{T;n3of?#@bb>0TgEy?$}EW?G>uDH2Uay%FcrE%LsjS1QR&*R`~W zHd=oxED%?#XHt3Y`o)3fs1Xyt1|(YF@f@wx`~9rc16o?iO3U*k;x-jjYcQoL$oNUE z5w1`{gc!r)M>oNFJ=IrWeJsv&Yq-%9^uE>XwtEtt5l=>Srh29uE>O%@u0VXe!VnW( zHCTP$*;6LhnP6}Zp^U%I|LOZ7iF&Lp25gX2{lky;mDWG7+17O9lxgvqELGd3zOmK_ z3XJr)B}E8A2^F!_R=9w9>EivNavzQ~Jow5$WF*mV>K8Lh zKZzSo@P#Ni>(9KmCysT#0OQ8zvF{Q>WY^zg1fPj|?bP)6o9on2L5n|#*A(`>qRoSX zW}u@7GQPni+AP&+o;x_uVUW;$<=cFqj2enwW|b$5ppE{2Ec->JNsyj?0GSPrhE&ONn=n01<>o+tTvYIVGmr~ zT{=264x*?1<3h`T?>liZ?(Xi=t)SN}WOCi!9=kJ8#t@hukZ`9=>T5ULXwYIeYJMF8 zEC@vthFHb(t%$xEInEVIA-U0LIFtRni`p~{8l@SqVHL8FFif0agg3INx@an6{r8K; zaH{UGe?a;-@OsrdeXV21|H6&&rGEBW{oin7Jnfr76GWmmB8Sd1srY6aWf2=MnaD|g z$y)m!rWHt~DjHD{yB>q-5-ooMwbH;=>MyEzZ@#i6&37biFB6Alg7w~9%&EMk4)2oa zGzLpo@xwfjsRvwTS%;AGU__@|>MnwM#1~GU3RSDc_bxlt(}r}RvD8V$dG!^@8eFH2 z_4all$TAkFFU*>DB63!2gr772GvI>-FfWB5JDkIphls^!_zJ%a0*Uf*|9a@u{T%h) zLyppHU+$uDqMBi$a$X!qm19&Hid^~)3X6H#pX0IML(luc*O@0rqOqS42Os+LxDbl_ zwn7Z3;oCG~$S6zjnOiOhyMh*gXz?10gs0vUaUSdi_Kr&yCE&tO-mc^NXLcB}Mevl( zgY(<#XuDhbYX*PJ#@Zi0+U-+kbI8Ud_Zj)cRBe}XSs~sF&AzYMMJN1!!p3TG9i21D zl_^0Bq!6Ufc~W_`3fQQ2TL?8e)5yKwdnN!RulZcKLo4r;3makm{FUF`r+Odi%zM}l zL;UM`!u{WzHi#wngvDbvyRmNb*ClI05}U+maB;d1LX_#>Fp+1#T#f1x%fE0XW=rw7F+J+_Dj*3vHI5{d5>A=z<2d@S z^I#m|g?jgKXGphfDcprg11HC6@ht?fl;OvQ_)DTI!yQ}*VR!$bc99)>z*}j?@stYF zMID!t0dlyMvLr03F@@AGGlFr5cw)2d$p~VZp5ts^m-)no=}uxZBBvQo$VYu1{JXjUiMFvSC@hwIz$sqddPx5|`;1x6TY2`o`?Y4*rF{B4>J_MLThdAquJD3Gxgo zI^~WblOe(Tmmr5Ms)O!nB4LtW(~!t1qq7nxSRZ3uD9@9jVw2MAiz`wZna)UVDp1+o z5HtFU1H6j${?rsDQT@)|j$pf40V(wEcZ(cT(~^?{9~+qnB=^Xlzx5Pvw$xv0RA9;~ zolYFkZk{yJO2(fNC}k^zyKC@68b8Fpi;c3IBkHFb^czO^-~OYI2l@{K88RgVFLi-Pi>US$ z^Jb^l6k`3Dh6*KvY)?`T|2i~gzz+)#aCZ^fy9vU=7j8QgON$PRYA;#S26BB>xnc#2 zq-G!9qIMK+j17e=sm3TBBj1ovw*s>Ol_!Pd#|`3xcIe64r#nYO;~9@VWXSc~hCh z+`!A2WXno^+8{SxBE#M3bSQ0pckv%I4+9fDZ84s7Id$HX4n2W)1%y682#8RBn`nUYCjPK-4;c<}3VV-&ycSU|(%A@FBRXWf0GgBK<}Uxg6V6;lcBqN!dD;pnwJZkIJXFBIsY;lqQeWj`AP5T6GRw zCmfN`t+uYF=cD~68A6=!h~YoUkRYj|6spZiq}Te9l|WdknZv}y#Fv58Ix38Xg+-mF zt|pYX*8oE;a{w0S=H_O$#eY;qH2k0`tbKohU^ ze0(|ChJ=z{YuZK1W$G2MFff9!#^hhU_W6j1*ZH?jq@x7I#lzy`SOZvcGeSFg@c{ zHcG3p@^)c<62)GQs>cLB965&i2lf~nhIW+_K`D9x5!TQLi6J)v(ufcVc+*o-4)S>; z&|Tj>TzfIZlo+YZgYjohPEL?s4P(xYo=I}VO;%?yf~fKGjahMs6@y?z#gAp`?Hr9| zT{FFp6gJ0MZLgI0+MSbo1_0o0(~=EE;4(5=O; zt)clup)71%f{~f5p?2{_rKp4*Tpa75n6lv{4-XIPZ$Cah=s?^wLD6YFoc8o7)LO)j z6cH0>cy!K4jLgO^=-g0LP|SZAV5nhT<~;!;4Jr_j^~vkZ%gBkxrt%U!0?n8}$wdQ5 zq{B=MrLKbxpm6P@xi2}sdJ@|WGkj8-GwK0D{Jc%;Ni;7vc~N0JhstwVL}8(Z!FS(7i`U~{J<`18~eu5eUW5$r)>anBg@6|tYF7+t(pD{sY^RF9M7MO z5HQEg>ZF5w>7Zk}%Hv~T2%^iX%;Db(%O-DGrU&M_BO*>YrAE)$r zo%^~h&N7Wf{$wOA-9kTlO=fx~p7IJK7_&+cEL7@YWn?;V?PO1tnE;8PivFmKMw^tkEbkBQptA6P;dV3R8qvq^0PCk>kivB z4ApTFX;U60%N9EPYCE?X48TO+KRjO&NPz%YOV~YY>5(n*@Lg`L*CdXxa|pm16eThY zmyIhU_#^m^_FO#EYu`%IJa(UcW7UKZ0KMI?m-Z7H}{J$VWdDjp^o zF=5dbQ>e0sao?Ge`zux_oKwlX#B3)PH~fi4kI%<9r}T|CDcrD+tJSgtqAB=|FIad0 zieyg-C*(fp6%jUjpg%>D#q`oI*I4@WKx1P|BYX0U9T9agG1?PP+^U!CpYVbi8R?u$ zm`ZejaHiLY5Wl_-MQ_+-4fNPt*dauOk-47RsfXgCDpdO79F+P*%z$s&BPizm$JWm=X%lXHBvVjT*}bP({tsDs~^P?DL6Q*wz-M~ zaHIZKV1XmKQW7{@5$14vds9(O6mx@VfA|9qBIk6a0Z;Rg6bM1#_&L|anj&5>=JO&; z4!m|Hx#O@Svy@a$aXS*~megW_Qf}46N1`ZVU_|@7)s;|4Gt!3dehxhWWEA#7r{e`x z&U<7fhBmj{h9=wE!B$q0$1)-)^|}xwD3naluYe%LLiGIlA9E?`O*XWRF=x$7U*+KI zTcmu+r*aG&B5K&OIh>{xizd4rP%N4050iB13nP{`tvmC&EH9@*&IgRQ@RF_KcBD9zD_5j)1)s7cVIG+N<1vLoy+ zlV+Zw%@tKp65m@d{+>Dkx&!ijV0bMJ=TEx>ZGQu&bmIh4aS-vu1Wm_R39cPbe&gfp zXB%e3cvl@~o}?x1DioujOn8Ht zOzzvRBc-Lx@u;3+dW&RU0ixiRW71@`h{M4!Mr=Z8;oXPK`pf(H4_-F9M>-U2+fINZ z$1r%1Z&D_Fj$()r+n_X7jEK#_U_O+w?ksJ+NIW<9F=t|-<|Pae^^O<_XZ`6Vmm?18 zIzCZDIo4_I>cs~qYP1-yDh=EIvUK+1Wt$U6Hb`WyT(y<6W+c3L>0HV9fE`=cHxHr4lw(a6@@W65rX6b(f=0BoBhZ_US6 zsBtjR2VqS;?xLs7{BCXbG$~rVIhHMXt8&}Aj zD4(z5irk)+#vgsAYu ziF?0AcM5RJ#7UUpC_JNb1Al`WV$Y&EWL5mBv@A0_-0k_N6>B5@c2g%Fi*wC8bAuy| zhj)=~zGq@+n2(<^<|?(T(jsP^(L(EQTB&Q>w`mNn?+7m4cm{5$mii@#69PEj-!*%9 zDBfpA=!76sK?IJai$G-FeH|4X9q10HdcX93HJqR+Oq|^HBX!I1=#az4#Qk5nrv@fS zw&B_0`?=%ze^pumpshzEu(0O;bds$9$>k6`;yIt5S4JITgA0POjG1D3X`{Z`kbu#L z%QB<)ykC2uA-J*agv8MukhpmBiT~2>b|Q@^*8zAiR(gC+gk9zjhe8KQJRgQSe%I5JCraM_ANhKC zG;qG+?95(YBq7_Ckguc@iO4PTY%4iHBX37hsZn#0w^0M}(`)mCVKi(Zt7U$?@ZR## zK8sl?Ig-l;oOBxrG%P!20d5(*f*DV{3;DzH?*GKx+ln*xiW?2p4(>ZXAiHr-Q6WpI zQXbDGa0A-r;;>K+4jX&AoZ`>xZ~fnNYhBn7^nb_p=Z3)`cpGn~D9v|X91~J)7E?b+ zosMjBkmT(D>g9$%yA;srufMT7`|TC(Q$pmIK2D^n!?3M7?-D2eFM~idf!0Jw6cd+ikLzq+hjer@<1PO+-YYKUSyU~&sJaM}Z5kryw z@F{uox%h}_kb}UofW6AgjNHH(Qu`TKNa@)=`2em*sVbKg=3~t|^QZsPM}w~&;_#ly zhLV8`0i)4L54?pNtNo>pv3nh;c&{TktG9sfqvS})g#n^#PfN|!t4iA9249mL^rnhi zXK{TZ9cwMV$p7LQJ~;_vNw@m)blUMrSRup3O~beb=H?<9$I8ZmL-nur=y8g`%A-G?P?aF?eARn8>csh)4oBtmr zWhs)#Og}z46Mo&93#yw-)SLMJ#pV=vdTn79GezCXqHNCfXxURdq$!;kyLxYa>z$j_ zfblH|^XE7D0dEmh^XE5Fk+-o*6S3o2SceGaw25^HHf@o6ZA(jZON;-PHE~PKV z$IQEYu=S{ii_tt$w|dY0iM0u)t-=K-Q-Jk13P#X_-~gtJeGZQ7u$mJQcWkRion?!S zJNRMB#uEhv6ODxd!%fFI0HeqHAa+cajYr}33ej3o<5h>D1FLjlEsITcZb&i{l07kO z;P^jw&ZobNlRX-?Zb3mu#J$s!n{i z^MRFEl3xNTek{MP;lX}I=ke@RWFd>B`pt5^9v72aer)^<=5)8qcOI=(CpsDw-~ z>AtR3kAB~W7$xKnp(>M-&I1Yetml8)E0M0HwJ@2Y#na|HA(KVC7$7Zb<(%%O~ajRnUjJH47ue-I?mdHPmrK? z?RO?4&HB4Eb<;gTaw+va^m-Wb!^UT&OW#o$+IpmWM*D7d{#5k%f;rZU7+uGB0*#&m@ zE#}H~EaJDu((_4@D^Ys1wErMIzSe|{SY8ATflP5({HpNTZt=F~sHP_uJZM5Vq(nR4 zH0xwzMxUiDrZ_8K*&Z3yM9%ED0H1BrhZpujsMb7Nt(h7_`?3=l0|RLd6nk!?A^l~V zS4a(oYy;H&74M`AuCGVjla~i5aju;S|6huvM9fH-MbE%XF>kL1=3}nC)SY}LfF{{u zQL@tvBu_{Igk>f)Q*nN&oSd{pdsmQbizRML<9%&)&M=+kRL9-CR!QlmJsa@%U5HAs zVh^EvE~gKJx3G@aXnPZkeQcxugpff~_3E)!FwvCuIikhpKAubUN3)n%>mvM5kZJrQ_=E?@Q}|^oMXj}ebA3!WGWqSG~(Fx2t8=a&*{ySZ=yvofx*g=x1F?t`s0S_4|5f$2EOJ~4p64xX~N zCV7o{D&UK8mjD*en$}HM<>^M0!m)z5?Sw8T3`-!nlcIuTwA0cL5 zP#g^ro|1S3-1UL0>sxw`pJRkxiS-$524%5s6Yy)D9xh8!rxK3taDjawZa&ZVUj|09 z^x?iU8@CRm1KD^G1}=|3!KR7cDAI= zrW16ToQws#2W@8DTX9*aN{ic)J4&S~kf^vCu>-yDJL#yh(a6z`@B(27#Fqejhrup5CYhr@ z!{AN`+D^jYJjiv6rW4%GP9F!;x@zCMwA`1HZ$^@Rt>Rtbu{mjpQI8WmAVls`k?3an z=Pl1BHCdZ89(KzQBu?WAmR6SbHCAv(&D;Ox*8fl)S%EKryg!-$EVu#215c%wwF@Z; z^u4)`yW%jWp;k6+y~4RSR{hwe$f=bH?vhiesHkaIn;v=z%$g?zzU3+R_paeO`ZHwk z+AK#PlTuW_tu=h^4>`Xkt|rpc0vMQ_F7t$fJ2aY?d;PFM<559crda%K9vOf8cd+w` zR|P%d30To}RL||H66bY>ruDwlsD)~H9!_UK`+K`zRq8fn1_J^sFy2~2<7Gg`Aul&N zFfsD$YQW%BRC-ysdD4jU7+?nPj)Hy657OA|w2!R)(*>ITWaO6j`||%-I7?8EwzyXv z^>@YP?K#W74-V2hVD?n7T_`y zuP8k>7Gdpcc3OgqTd4)`#VvDitDA!Zp$0`tfy7|LXR|`%N=Qj89jGDk#yry}1*d}{>%I9{duBES7OZlmqeQ*;BHL(xtEu)IKBkOq-1} zi_1$?6H#7QcL|MGpI&hnUx-gluEYD+;c-bj0slo@{nVqU)S&Y;;%iN02jBzsU%Lc$ zeGlkt_n(Aucw3c2xPd~hcL)MfiH^>V0X{{20tB`=bUyf0Du0ouiBx1qZZA>0pNiHV z=b4?nUKVYTbR&NNvZ&EK@gjqy@5&du#X#;7P?sv{@5f9*JnBi{0xj@BcE}xeq|4N5 zh@Y*({3XZTsV@Q*lc#2Lvq`v1?qO}VF{z9wvZ#MiuMSYs-9SgvYFA>lB*uLcm86TL zK>HxSMmfZjF(zlq!3HJA2~0f33(XkpCz{SSF`6+k!v>w^1homQvgi!T@Pq>i`zAuv z1GVfu&D7J0#U~O#+>7L4j$}A>lTjvZ4)yh{m<+YQ#f5_!f4SP~yQOs|6JzcRcjIoq z*_lQ5?ov$E)HE)m#MDugJCLM+Sz1D?S87+lA8AwO<=d@e!^9+18%j=q2pWZGYP!Vu z4ZmHE;B&g19+B6p+0ww^uF2_7s1a$mc(GfBJDfKjK>x_r@1Y95KI%a4maD*{T@YQg z-RSe~Gc<4X?uy;_M)1~ClqCiR0^V>^Gx`=eigCLWhzRO z<;nUaWmQqzszFVj-qKCY0<3Y68jef~8aP_cUI%Fy#i1b4bxelp8COel*o;Vbs3wx7{mex>i9DBT4xzT5~(2mlg`6q8hs`2+Z zn23`+21U^q-?!NIf-IWnE!X|=-#Q6Bx)KrboawMh&~Itf;kz~+O&Fej1- z3D!lj+s)@fF&19vBj;SscZyg8UDJIDZrhxw4)fq)4{aBTd)z4$F4kI6me6_4dVcx_B(|Pi=wAE)eKpTfM%;Sp~<;H*=mMNM*6A8t_;+z z1>;PBi7%w~9MJBIN5Hgir}<<*(y;nT;iC_n3LD+=7xEfOw!{ybdiSIRt^f zJ!7|;>%~B7g*01#d+iXDDInUVXW%T7k<=1JYt?F!S^CLIba{Ut=6;sB=d_l4s!p@& z=U739+stf+-m9cMhf#FaaTvbWWM@qAfb-XWs=pE{1545Ir0m?gu)TG*z8@`EDfwmJF`g%(T%9nb`eitR9l{GtG$o>N?z1C%)Mzr`=mIvig*tN5G7NYQ$@&fPm@OPSvL zGqTdAcn4WHSB{RRb^WO~KmGS8{O3AsJ@L?#IRjMYTK7+9kv8BNJD;Fthb6j4AMMI<_jE3 z9B+^@ST9k-O?ed4PYN|86M;L@*D<-d-PH^WO+qfH@|JL)tMm-12a;M^4#_EvVxtXK zj6JLJ?=wqpGD5tYyfeioU=v3E39|5Gr$j`s&;tdipIoklOn&P#2vo#}C4d$IMvWw0 zwc;Es4x{fLe-69C*;w)TJb2lOFQvJwHLS)}NQ%1}+%M2g)|473-9m$d1wQF>&r|L5 zpv<7@*;~zc0%TGgUYhk?kKnqSUR_R2{E;s7M%7B2D3UlPxjj?cn(3j9vaDKA6Pj^pe= zMW9wskYcxL@f40fxFOCd7V&F<+$>0=sFv{;_U)Z+Q{4`7!%_V3dF!f*hD1oHFU$r1 z-nrO$&U0)9-^p;qN`pTZsx|_1Tu!&U=ha`2Rsvz3X6yMkHHXY{+|NHn$}pAj5t;}& z02xnh4xjSPl$@v`TT`j!v9uI0N&vZ-;w!sHg`Ob;(acd2h#XBD30Ii9Gt%!9gKD}# z!=xZU4cMflj{QTsRt`>&VwcfzMK?uZI~?t@B1Lp^r=JVvpQ;N?lb6ObJ~y~6P%`(? zPIBRc{#$g(d!eI0W@j!x0y#^TCzWn~zp{SmNHc+Sut54ZYLh8aNe>Tgap?N*NHjV? zSH-6}1C2Xuj=15SUz7qU}rW>hIK`?+$0UOURkb1o26*jFq8#IA^A#8{`R1pOkqgOs6FWHY? z8zX7Z^GsHQkkF5UTkvs@bcxHyESQ)v{+XV;PZJ2J2+oNAn5$>nL%arA9t9N&YG^nx zU)VDn;~PWaNRFY4mdzRAk?C~mWG)nje%uqbRGC4htOdgnkxXT(}=L>_|jtF>yBS3%1; zIRdB{oa0vxC5ivDVC*M48EgL#F@3S;NtdTi#~=KSx}A=Zr0~@6mLK@)8Ya%3BTRv9 ztcDN@-cE$;t?;nSGqf|M4vbF6<=e3eNn~9rW~M+2J`zm9s{E*95dF>Zf>8@=itf1U zIan*+S9#8xGeb>oP*&UtLdhHI6k|thEht1*AXW5Fdd})G<%#wEeDy41S2)|+>}pV* zYctn;#kS5@@9UTvM6D;S@Y{rfEj{mnPQ$oGQ*9}PQzSF8JwaK;6sAILYICxTG*QiN zP<0f*R=!x$SnkRS)qW_CH$rY#ljT)TH)(~Wr&Qbq-5;E|DxL4XnkvDmY;4)5Lup7a zfW76%a4&WnTq2j>Ll?q(C@1Td|q!yYC9aQw|pYoe`PobR!#qn zo2^-WwsDxC(3imFvp;N~h~M@y0}3->=XA9wtKjQ)AOjd;>zUS-7sKxqR>#Ji8|z(n z!$kuU)d7+ISS7%$lw7=ddDOYWlE@S#ay#8tzEk0aQ!&V2?uzAFdRsVEUO@=hlo&o8 z@0vE}{^5T5j>k9zcQRO+Lq7>$l9e5a8dJ!PXbsd6@I0lprH~iIHBpfdA^l$2PPKg? zcu_`SZJD}2b;$9-O=C=(0q;AprFs=h{e~(jSh!$z;lhc^_2NHdI8!{1&y?m%dib2a z8wG8U+kkd@N_U119~SZBvAqN%@;^P9Jbii+YC6rG#EZFMxMdyaX$~AxB0qE_fc%{c#2-OnWu`*CgDvDc�c z-3(aC4m|&riqB>d3t#gZR$|c~5;;$ewlJN@0}j+hgueB*8w~i7e4ii*AxxmNFj(2; ztuqJ`Rc{6}GwVx86Lu_665gEL^fZ}I+(N9%d_4+2!G&z!4{c8QsDYg4fODz)i#GJP zx@dVb)7D!(TGxmDwu5CgEJx)15w8pVW-_o@fGzb%y=?w*Pq`s+X=h>hs6o*AM)K+7v}2(?VjroBT3)(Q3Xx zU^Zyx1J=V%N0VVBL8s-aZ`+i~#at+3zE;yU(&%m@{Z3t~sWbeKCm3zQAvMHMcU)by zDo|&RAubO8E(qeJ8qDv``;p|k%SKG1L|fxzoHoI;`5dO$30Z0Ce(U8EBl!w!{Z>$> zT;OIjJQh!85M)78#oATWLN-bSjD#@Q&usS!{i>i6?hn;{3nLyP zr`IsCD@}1w44OA<0}?qc7t3IN>hHx9i*~uWiDAk=uoVWfg=r_T(E6C65rU!YBy$W} zMp%}5$&YHWxP(WU!FVHWighiYc^w^15GHOaP+S=aPf{MG;dblOdr&x=lW$kIb+4V( z)0453hs5qwyICy_AY;>toNl#)NZyY-u^~e;FjTFsB`ywlNh4YSO63N#c-D;vZ)gkD zl>sG2@iR0@jn3=lheXx1oH>vBh;hNd5;`zAFaYuN(ai*;Ps7Cjf-PVrpe(foE>#pv@A zh$o9BpP9>zyjW;0^Ut+ig5`UR0|nx~ohe_DM=(Z{`0Je18x??m1cj{p>UPC`q733~ zwYy8kPgM_}V7)k)IM(e))b;1AkAbP@64A~+E+EknSaaZQT+e(xZ1oplLPs98WiMn4 zNGB(oxNbeMuYZh!2_vK(Li#Btc%w#O8M0s)f8IfHpy$*m@49#WAjc8cFcBSC5OX ziGj*A4bi7|$w#wjJJ*Q7$sK&N5TAsxX?ZiyDBt**@?Gc;Nxv35PBk6m${|^xFk1F< z6s8OlcoYiAP;LRMu+?g$g2V*q^zy^I!VBwS_Lm>5%NPM~oXSWV1||3SAY_pZ({W-_ z(p4Up$BJr2=*^owQ>ieIzr4h*$gQf+Hdr}$N~3rNx@*E7zB;=KJ5B{1c~Nvh z^Eh+KlOnR`khoSXd4iXkYPu1Sx7mgY}NY{DZ?_@REbiP}wOB;l?n zt44hw6QzTe`UkRUf6pM+APJHGqp+-`>@i}N@Uh$)=Jfq$m=MthRdk-JcyiXED8Vk_ zYlK&hYn?J~XEhI~EQjzK^>Vo;B}b{O-&FD9S}gG4<68T|vDq=}{(Feyz1@Qp#Umlz zhlyRDm*lvs9|GJKEf-C{`m1AlKo=E8J1z7pLy6ecHV8F(!59)QkVNDwD~sJr*qKC8 zO5w#GWgKpMM1W%b)%tXNW=W5JYhX7r98ix&*?AuJw(#D4mlfPH&v266C{vuLz<-c@ zJ$xK#0jYqcw}g`%>Y%haD%!qyX13cfCLW$LNmgIDBa=c+Ump33v`xBMCPPOPs)t!T z0WlR?Bvi8Np#by3e-VyD!(`%k4`JKU@YER4K-MIPRoqB~Ofn z$U_0^PzV0yMvJ6)&IJQHAtWM2q>$%CR>{tM>L5C(+?T@pq*=@SoO+v@Ua+4IuE{1* z(S)Sww*i{jXt$jeCp-Dl;=7|1qyhxVbFo`n2-jvza^J7ELeX7Sj`?Vc<)eNy zKupC5fOjUIHPQ(8oqIRq4AAWS+(CERXQtngX%QE<8`9a&N75XXi9=~fwewtNnARN| z{7c319kDn$8*eQZrZOP1E&t#q>&TifQ{?YvZ7r4Rjz8b&+DHOeUz`}TTFr=19ha7D zm{`fxW>;47Cwhr(r6D#Ph#2@er-V|-0?uT|w|Jd|aktzyAFJ273+SnAiE$(Wiz1)& zAJLTwis5{t6ox%{JNR>msM*Wa6JLBW|9D#^Mg9)@Y{MdnG7dFF)n?cF~l5 zR1H04Z9HDstrT*93nATq$c>DliYA*;LIx#@si&0wXsu`RO36wZ*&kmUj>BTwO@hay zU#&F=6PzVAw9urF`}wkiJ5E_5pVRhHaKsPUIc(pLi{)x0T(!XnJjvMKdqXIoG}$!xV(Hlpu`t>06WaRUZM!ai*}=w} zcLD8CGt^07gXnY{rB!Y8$i}~BV3wVviLx^Hq0~zM%=E^smQN(#5NaR&Tpfov1yAG~ zKTR?ba^+6|y*?v3K9w2ZKYQKRO03Ty+F&7a9G99m%#$sa))@vqgf3{gF)6JrbY3AK?a z`~BL4>8sBEpUGK~Rh;|J>&+7Wx7W{f!o6C=$BHv-KsG5|#|Tz}2i1!;f@iDmZd17_ z`<UH@SE2HrLh20JsBN(Qys5%4!a)=i#LeGf*6=4I7!@oJl4OL60 z3xC0Zf{ua#T*JGHu(FU}P2?{V_hy^aRiG3j{r{jjUY2n(G#=9o;V+Q%Ti|?&LK-J6 z4x828-rskG@^_&cH|gXFE*j#2TkNmAAtoRz3-`R>_wOhHyqcf%U)Qdx;xpBjh#IB9 zIN}9$)%v!0W&LcHLo0HQaht||9=>l_WAcS6f{?ezeTf|4AXHGd~DK_ECq-sy##S?8gJM7m+ zJ<8N}Q4~IoEq|E#I1sb{$}c`t*m<(9EBl@@IL0Rch2=^e85v2<{h>^M>4xj%v;&|W z9=WoZOy4|-O)ZhnV>dlY6d*mnSo<07+?iw9nwvOvu>a)Q`uu~HJ4fH0z8>vy+h{m9 zS5E)8mOyLv03n4QGdqvdOD9v){ZIpKgS|*`n)HTMK8L?L5otK}>j3{T%Q4vU%i~GY z3+M#eem1Ou_ZvaX;E5dOY}Hxfu?x2hQw}~*?a^*ya?QJeL;M6?3SWS@BE{zBt(0cG zH@hqvkB98sB~UMQ55~l^%zxU~esw2tcK;&q&lDd&c)gnfl0&+-~(5oaa4(>lGcI-5P9Dl2TS zRhQYyeY`U+`@R^Mlyl~DROncwHBhR>$=jv$x%;QVqueoY-f*e>r@@~k-p-QIM1?AN zflNN1kIYoH?7h9+xh|tk4%`&s>S_-gd0V?*^W7t5he8L-QaCC<%O{V&`v&I#2=sd# zfa_JUe-UBaYAcy_u&cn02RiEib2mTp~yEZxtJX?C6yZRzpaI zvZPx~`KLg)%zD0yStg=j@yP`UjWOH%Teu# zl8skUp!QY0rTL1qaw|XVSoQqh(D|}#$1SG}zdR-|uZR;a$*R9UXd%NFZc#ng_We2F zj@b`q^stOA^j2H$jY*Ks+!P4gZu0!q5iVf#20l>#4oS7Ai(+~Z>}ypUbM*uqcD26- zcCcl3h_1LROyLLcq@)H#W=TBiIPG_@1T>;1waam56pqEl>$A5Lo_HbXIIHqP8@9Ip z=$*+k8AYWu!OQFJ^fiAXzdy+P<8t+|=-PR`bj+Byx#YM3%GhU z`j)Wd+7D>WvyRbexgFzC@xE3;PM;nm-uHRDSt}qBe|&XXLvNVEE}?YAg+Yq^5z-Jc z^2drRvUJRQ&w!`RVE~N9;9&siC(-tU@!8>`I% zvwHc<&Pe1nhv`1}GUNhWSX-YiYEYMNU=-i5u7xXull&x8TW^6qdJsYB>p?6{+`KaZ z*CMx-?jqx(;;KrUggQpmY#1l0TIcBX%d`cv-8kH;kAaN}?)&|~(fd><%g0`K&2{&; z1y{9>ic5SvUgxvut7&T;Y+iG>{!p0=dl1Ny>||V>!^w&*@BMx0ct1Fu$CLiv3si%& zWkHuQA#ss~Y1}>J^2@3rB&_NwY$l-F@bo~((|Im;EG9xj)c+tGaaPZuT+9CV~k>)X=lXV(3RO!@d@PH*bD z4rPH-C_!L8JERp@-jC|p(l!;)*c*4HfWrjG*VQg}uei#fnW`<0K?hgy)SmC$Uw7*M zyj8DgFd;($$#oI}ke0|j-j~Cy@@m6DB-u_gfetSLDtIrw2PSCHIyaoQ+56{A$?+ zAKfNly!EG3*UN&j z<%cD`pRa~Tt2_V%?}7Kzx*w`us}mr7etxd2h`4w^c(EKs0lZuFoKiN;FifKw7uxFPy&!{y;t_M7q7LAnu0W#EQuN;CwNl5RUX#*RSGkm7%U|hzL7^_SUL+ZfF!8 z+2I{m6S-j;>gFLz|AV6NJurO)Kw&F$&gbmrJ6%HZ84082;*ia>_DxS=Jk^O~s^B+! zU7vDF7Ue#!v-8G9H(7FSb_e_GgYDGy7E{NDeT{aoMa|XqRoD$ni+o4%AcYsT#g$t6W z!KN-reH=JCrPzgGm2q`Vl)KQh*{Y!J|FBW7fIts>%Dz((D2IH7=V=mvs8MByew|_7 za(4b{g*bhXg)rzs<9qgvhObP_745SnBn%Ms=%h}H8`+y<3m3?X%-MDOUWaSvhu2(m z6m141^E(9(^@rB2JJM7-OZ_b~J$CRUkdn4_u`UgPso?WN_~fOLxq5$0rI+aDx1+tC zHcVQUFW|~ZyXeoAynjvo=}yrr1i0@&T!BQ3Afh>f0UD(nXD5|LlUVf!GBaDL6${*; zbDW=A@TxC!YpUbfKG)|-B<3(d$-?qtk7%OpaJHRk?Zy0QSAO9nZ7M_bi0xbtLG3Pn zl2*{oSP|#G(27(pR|fjXm`|x8&y@Nh=|mt*QANuKnbVnq3Ph*Ra~fAiwV8Ei#kNW( zLa&7Do)-yxh7cwL(mCwUX=LCpc65AMc)P@%-EbHA z?VEtLl&Fwu(TVci3fDF~S1gZ58Xam{hPAZ34D^GWv#UBCED4Xo{pK+N^E7?y5#872 zMO_7J&F{`!YW9qU`g}fj7#bg9=?!4i*#-?t))Zzv`bgvZUk1{ka=V8MH1pjz1bBAHJaWZUJcZkL&_P5hcR*lDp zWl>yTMHPj3@$EQXnx#jPo9HD188#eLhI1|VKSh4==Grnf@RrhQBI_&jX}eeA)A*b+ z5)>e{gK%oXb6`{?$BB@Hu=sxUCaunQ$3um>)0n4Wy~wTd7I`#`{e0oW@13jbh+CD< z0Dd;Mk5la1!vcRG!lL3!5qQaEmwrFD$$TMeC%9*YEg7ie+(FWaYFFoEPT0}F!!!?Q zTiuSqrARS`TFlaPpWEp=*pK%i@8GoFovQ?fqlwUQR7!==9_XZ=fOmnCDT=d(q`&JAn%&4*_}gc7hamrsQH(IvsZST!)RZ!;=?K-N<1D8QZJU9M5hy;lUEsSZ%d) zFHGDhES4 zpUH14a1pgw%2z9q0XQN&Zu_0}r|BX2d7#9n`!3q3L<1qHu3Oh0~!TA#ohd0kfi+-%mAS@t2@M|ea^5A20oI~X;dGAyc-&ZZw z3u^kHTwiiffz=SbsFlOi-1d6MIcS#Hb;V1>k=OVSM6k!_7FhMg>pZoheYvo1cDP@i z)%4hH@fv0%nutzKnT?c0gEGB86Xj_=o5g1ReO@JO^)}t)RZEYW0t zKPs6#a76}tIgo$$RE#}W<-5J5>BX8U4NtVQ(~f=jWLF%I*oG&KjZud1El0L&(w?Pe z91O5Ixwxqd0PSgQM2^z&DYI#bTAI$~_T$ON*Il2dRO zq9;edd1d!`?Ge8W_4arEXB=M-jFRUMNT{Jw;6$NY()4}S^xY0sOy}gE9t^DA9n0?(y?vZwr$%TI~{dw z+jhscZQHgpr@!ycow@hUoIlQ5RqIsMK6_WKUGIK>&-1oNEog>F24pMVXQ`Wv+VesM z4+~gCBMg8hquo_p&zy3+O+secvK+7}IL^zpIm;St!4fXe)*#J5wh$Xw{ZTh;dAw8W z*de)Z9tc`N63QVa#BT?ie&Gwc4#{zO=dwF)xq z7@|AgCflF2n-kpj+)!tIOq8p>q1~!l>K?QB&TL~IYzM0|r~B478uDo|TVtRHcPj}4 zZ2~okvyoXYSc!LP?;yO;76u7lHj!uMV}IpMD7}HtlWRti#HKfD>qo}jbk`8)jr~j_ zl-~_Vy!rMQ`iVQhE?gLl0>r-lLy`OvKcjl34Gp>tCTr=BYwP~Oiqswb5Gp<*)+o%f zI$XaW9BbhI%lpv&<$YR8OihUa+cA28b%Sv_!-%ZEC=e|o`R3$I3V`k#ym*_`)JtJj z3nTcvoJe<#uh=?UDX;KC3df+J#3%J+6q6H7O;BoE98YB;qoyW&t)*2}K-7X(h<>Te z2`EX{!{C?G5SA*KV^%BlN*lasAY_xI8fxK?OnsiJx>$3?srNkL6eNm$Qva#ygAaNJ zcc1lRVj;EFlr_p02oF(Dcgya5>f^A&x9!^hkHuWwRP+hY!?#Q$3D~E$^!MoReB7oAB))dk_hiVTXfYu`M%W3`7TCIOui_E6^x3%J_4ptLop>F$XmCoiI z4)iospkn3~^TZEsKUH0#AgkH@lo{qs#cb(^YI;15ORk}j;dW%3eJ69SODyYsvsA|5 zjU*VB%C4e*q^0cb?#A6LohHt-&f;w0DQ|!-RthXowhRzn`iD?~;iK8$E|^7NWgcFt z!=`#6NlDAMbtkVfLWefED?&u;UWU?c!hyv!2rJ8)(^g9WzL)PLz~w;KJ17jtv*pmWz3EyO&K^1CDW#tO=K z{oV8O_>;Z=%a4JJjuRDfCZL90iyBhc??_QXtRq?4qLL|=M}G2u?!wFUwUKC694$?{NNGvif$btHYNU?}rt& zZb8OYv*Sv!d)j5+B6iDw*(kXXYD$g$6JMYkD14OF#(vqENFQX|Ig*ZbV4cLk;c~+Ugq1K3Jfrn00XV%-Yc|A+ z8p3p`!DawT$qb~cXuvNw-X%){NN}aDJ;>gY|4YKS6yc}$7V`S7gbJxJ4IVu)w=A9I zpjRySQxq!@1JT=Id7SJN&g$1#&ELxi@vPQ1){n1uGd7x)Z zv4T0){;L1kz$sf+vB2Db8zo4iqZd#{CjX zk!ifQbrlg%wcMn$tvP23zblc?-C2-Jsq=EAJFsvwpQlRSLT~nhUHG9G_`F+iR5xO2 z#HO7?r${J&#&uJ4}f} z))%IeEk$LhgW!RT6Cs>QlSY?Ix%yfjtT zu!hSGo%C&4Zg}=4(;yz9ww)Q4jW+dYU4S?tskKd!f$CPBd$`jPzyOx!W$x5=3{^Lt z*=SixhSl8zm`?ywkrot86Z5dX**@_AzgEVbevFF0xw66hIBYQF=25e;cI!WX*{g-qz9=mx z>h0wXdXpJk311Y^Y*~%>iAdpj3t`B8M(H&wz8a-6QqlJo?sxP^Q_p?X4p_aniz=Tg ze#?1!btxgjybfzwXsbhE19F&n4e1P0;c4BALo(50#|~2d;969RA?eT=GXy-D(6l0r zsyqX5q}8H?i6&K2>8Epx=^eJJ#e;Opc0XRrs-b$y_&hA?+0aS&OsqQLRoqXisa_+n zh8(J~dAJ=YSh(PKLs)IrAU&{D`%VlW=Vrgz%XI=zOP{A)RlN}zEghGRKUL(%#;oXi zEJa@uT6!@Q$+c}74P9FGD3qtfF6`m~6y$ z5A<680GHPvYrmmy`21WNOG0t%az`0U$tm#bXV!9;1ow~oL3GO(V*w3Wc{P+v?KP1C zk_Oh}ME{3te8ygx*>Sj9NKjT8o*Go-a>KoUEKwzxTp#79T{_rCCe?jlwtHCX0FZL_{+~MW>y=}G49MS))W0p1`Fhn?{aAD^q8*jRwHd}R?Pt2JTw1xu(9<^&dYnacZxG18vEl? zGi9rY79WEr*0rywCw(_htx^~_7v=R&m&g6{RC%vmcP0_orM(x<@0j7Y?wN1+yToR^ zC!Og5o<7))v|gU$`x3EM=&rvg>o<&v3D2*LZx7OT2fpZ~oaQeoq&urf6hp7#i))uy z1HVxiK!h~f`2DhiHz78{15eJHohO^1h|VICJ3ZfWFuh6>tOX=a`CnD{>oUz~vsXKR zdIm5Z%`o;Oh{B1g>mUSAb$wtu)9~htmS@q!PqEi-uU!lo0?NqV--QV+G1A)MZU9P3 zJEK>~{QzsMgQ7~{IFuUpN=GYlhErRj-N4Q~Mt)a>#CU8|m{=H}9VNCijD?cl)J#(3 zUu6FMSqHvj7@(CjH?bk*tIdgh$wu$dC|ut#iz3=E7s$Nj;U}8d?6C7#0;5oljste2 z4#3RxNKA-f!5gQli)2LpnZi7%bd-FJw;uN6<`zT6)IptmK7hs@16jY zhlmC}_O41$uo*GW;(Z8Po=fE*LbdYmS_XR6_@u%e80*Yh-r6L7OEW&0#(8_uinFXE5=_LN7|AVg;+@L0JOhh^L zTUrpT^RArUzf>?u*L9asSpIP;WJ{8}JSuLznY+JXB9yfR-ORYT;8$GvOLu{q!vol^ zfywg8P8#&7=bA<`S8BtZ1d6$2yG@vAf_Yjudh;Dgbt%_|L3M~bcl1AOvTZF!M`8#6 zU}@}UcSuA<6^jI2wtHgpaW2faBGilm#G!vjq!uSZz6{^dJ6DR#H*hxklH)3E_aH$+TWDn9 z%I>DtzB1%#sZ)P7Dj{(Vv;lb}lz~?JP#QST%}8Mdw7lne>ot_JbL)LqCss1ofIpN9 z9{p?(im?S1K$x=4-~8XNPAm0Q(Q^;0m%5LRO)FAJ0}pjNF@aR%H@y_W zn?nwmj0Yi))wMSnEg*bW{K_zy5W2t|;_GXY4#!wwqjQ>u_I_928^y+A$mkI?L9j`* zTZ>>0G!iJp@fOS~Z*Zuc-hVXf;(z=LyM=h{kFu4Ry3Q1M(Z%ccfcuPK0zrbvhnrD} zvwpwL?mrIs@%5A5Dojd&*kSsMn#l1ku7q%G4cM?0s?H0)-mhqcwjqaDh&EgAk>Ak9 zCsCnbT`lXrz3CAjZ+n zg8~`IFc9{g>B}!fs_F6)>}bjJe;a;4*KM~1tb-8S>r!M>o{BU6i>{rp|AVf9PmybF zx&+83MVK%Gvffj8Ah-m2)M?p4qvDyNiaxcX!$%(rV_I7+*%?W{wIv}IU?|9NZ&pty zGxBLjsY3wq?>5r3a*|$(Fh!U8UeV1h%;PTJZ;pcEObAB!L^I)k)HBWlUxP$g=zs) z*m=X@y4O3h$Z^colP{?|=tWgRet|$b?s9XUpb0wh<2FqdZ5gczte=_31255TCf8ly zEDNM;+Z_EHTI+Y`a${*)b17PG)S%jc#6+=ei3e;6ol~_rdwfQJ4=ms;@+x zukHC3B%ja+4$4a^UD#bQ_GkD0^}iJhQK1i*xUwlVTDSrwM(K!naaatOH6Z&G1lf@1 zm<<dNSy`tj6vI7#ne95II?>^tLpc&?sSrX}kH!zjcbL@89v8iv;uJx}imc~#C3Z@FX{Fyu%<__O(uxJ-2qcx{ zg|&OYDI}JtxX@5SF6yX|%T7_j&&SR?Rxa5sPywTCFXZR-r&dE#ZU5>?LXn>*?_X>^ z)vWn&VYX`BUl%VQW=d$`yc`fmq3#UJT`=aUZF<@(uX(n(;)@?`BKYdRvxZi1n9cS^ zB<&SFdB;XqxDWgzktt6c6HFN8VDj;cvG2*{d51%v;3{7R=UlnPCXIvoXvhfIGi8rz7cO0MvOOuYW%_#yid z3}>kZ>__kl`S|$iA=IQeW2kBZo{uj8!E?c8wzGEakL;BvO!y#~Q==f!Kg1wiSM|~1 zYZl;JC`zDx->xoJVVnBrsO@`~wq$qaTk=3QN)bi}ITKx6~M;IGQ zd2PO1kQ=Uq4#a6L+N0lwl2D_6snlYS4=@)_G|gbcD$A=7s8?hzSGD7tWCGle(wDni z5*QbM)ILkbhQn1T!d^)V^~}nB2k?b^4_j=4@34>xE#_`Y)7Lc5+1^=!{w4IrpS|!b z_6gltXP8)u@rrfrdJh{;__z?C75Y)E(9BydCGIwgO}`n~xi5g|cwv)?Vp(Dvtm9ZD zO^6cCeBUo5a(+z7LE{J?Xmh+BIIh>$Am9h=*Umk?D+T$r!Hs3VaZmz-T%JM*C@*cS z*{ydg=onO{kHs1}ph{!6J&D|_PJdhyMQty4Wa_yb{pw7;=xDb%=fv+r(gjy7brup& z;LL-7N@;buh-^hXL#N9%f)@wBVHc6_*D?YcyW1i9ohI}`xl_slaRr230-3KGjGJsz zhJdjOuDQ^LO(9I(XvQ+i?u}SMR2#TOmDX`z&$MU5|QqzyHGh;>tCwcuZP3>r)aqB9)|#|f)KSqhWcY43BW&k{R9XR zY6&PhDu77|u8&e~yoTk}Exw6^g_vMj#F_aKSq=8e*R%ev_?_RlY};sp6Rk)Q*6Gd+ z1rb2PK<02iVbnl=hw=k^;d>H)ePjk^h|yCgc0joL1qrOR`i%7pyEO4)wTTIfmeVtc z%gZ#kgXV8288QQMHE+WQ?1r>{iA*GSa6>3Nfs%`umOd`98Ak=5G=VGz8UOl-Ll>3r z6n%K8s%BPh`cP$t8oR2*ybxlKT2Vyu%QeOra;U%}N1@`}3YAoN;xV%$$ojLo+j48- z@qzw;;e|mdob5SIugQThLHHSNPn8_LAyq>CcxybHQb#Cy2&yoxq(vUeF7~YMzQ$Oa z#dJnz;P%32ogn=nAWZ2~wJ1;?tDT{2*~q3h;b$lPxR*7`%>7n2E1xnKw21MHnv+JH zwrs-@73i0EZ4@H+19*T#j5o`CceC1TPyP>?>jft`%IzX~ToPBi%(h%ua2PX`>Q0@z z$YR57@hInyLS(>~w-QLLP^=PxZMpWjE(4Xtw355i?V*r?xRI=ZARyF|u6h+dC8CBOgmTfy8{%F)wR8(JNfq zZ-N64HfCfUb$TkluN=BYiQcBBtr3?~41Ksi5ke_0hWmZTB5O7L6xAV{}gqPB9Vh(P~Rvc4l^y& z;5E(4QJ9pd28CMubQ(+t%M#PUX)c1jmN+NJGa%QabH67oX)y zR?5U>vVVlD+F?uYYG|J&9*dbOD3dG8B2qoVAY<{J;HM~}Fd-(kVOE2#qNX+Fprp(+ zQTPKnvQ-UBHIy@){XBY zXpXEHLgKOE5&c^)#IMf7DS#Bs`X(ZVU~HOHsVwVWUDh$nLGf-07CT(WGM}TL+nIQ} zZN)Edc10DABM^Hu-r(Q|D!hZ4ut=2OEodqsx{7`9OO&F9y~03Of!J^!hTf)>q|;6L z3cmWii}%e^ro}KKxd3DWUM1v7gwfqYeCTUAziuVz$G3Qb5};LT&Yu14C6JPj1~P=hyfxJ&EEk{!iwuK z^Go3#dy20+JGm^g7QFx`TO8S0aGzO3coy^!yD+rI=r0!7Pq2Nyf4zrtEXNtEYoaTP z_FpKv-2Y9{Av`<(-xM8cuhM=YCfC$I^ggyww~Eak_KQQqM9nILPf8j7WUQ1lV@8x>R)Ou1l~H}hB#ysCTP~)9_K{1ulwM1TVsMyB zJ)0KLXQ3;_CtDt@(7(Jxu4hDkp0yHlc1&|;gMXuZKOT5RAbWv*?xFAseG&x0gXvVl z_2>48YGQ&DHL}$}H{(NKo9ySAJ<++kqEhL+`4z?U;ay>H<@fY!%&VC{;pfQm`S8g? zbqD>eqjp!C2}GOfgJP)`+&%BRO;{={#MHoA$|a!O0EOk%oWo)mf|U|ROrYpA-NTqO z5oX+R#IBRvDJ0t{O)gyx*JC`$J4U>b^dP$F4jZ~0LRA74AKL*M=S6siW!_lLHHaiR z#4m5PN&)CS#5)PYV54d5?_Zs4eo{JZm0~R{p1^#CfGD<$LBce{8gFE&#|NI z3v+hMfo@Jw_Q!jqXLaO(T%_s9**x?&6G&7LVD&yS>)vtlOi8SDr@{MA|L4?30?Uhv zme?cwLbywAlU;~E?bjrk-cQvWD4o9-OF`0tJ&4&g)(sX5y|v&vPUr6#FS2+!I_AFC zAIG&kN$b5nI+e&el|+Ojy;eUB+DzE zqF5_h{)2{lSDtLPYmq+c%Fr`Wl!Rdu+H(B~r=~8xiA(bf(>Or3VO__mZ8Ck8(7^#I7Kv ze;_acFoS<6FjLtlccbh5ncqx(td||j2I9k+7DSybi0f9HYL&P+x=c^ceU4Cv(+5ej zQ}fla>|&b*mzis8wKR@T)dfPoH_|?293~l-G%~|8l$@kbRis9THtlv+8nMQTG>`}#_nwgu-W3>B`193mv_PIla2gWV7(>AY zcK)f9vEwk1&YkaCL3zFJM~U5v^z3a_un#USZ`(qCWj5`5JUX3$m5M-8mR$nNw+RHj z`Fcf3SVezQx3Os9POvp5fHuhZzq3?$)X`AsW@k^Eo-E5t#gdgvUQSlI zmvbIHpLvRz-4%}U(UBlNp(v=wbi$|3jkfGc8y?TDHU`INf)JtvY+`GRW9)$}qbMko z6dX*`oxliP6`^{$3Gu3~@c{`NX2QgHLyeqjRS40I$g53Nl%>jC(shk~C_2 z9THEUr_M16!^y(sut|o1tb0tk*+#<7nK&u>xdfx4j~?e++ppxqtQ%eObSBbOPlzvZdt`P&EByq{XV< zZtD>C3#YzgYDF^skl%#O8Wn#cvC{5ET6@0ez~3ps2&Mq+o0bPV(H!|55z<)?YcNo> zUs~&WL=C4&X>B=?E=hgZ`^&zPRTozZr_0Ep8dcjR?&^S-iIL=)l2ePRV{z{Rk+A8>e6fQ72FPLcLtoNWrBb$PX+uYJ9x@%~(_xW{N@@L7>|07o3z69D zXfR{lLuCqujeEO1Mx*JZ;wx;SXsX=rs2^kIS?*xl6q2)<&2Dnl)Vl-R<;?#Nzns=L z1SE(+ji<@?R*oKx^h~H4^Y(K52B(cxqkK1NDT4)34K z+qga<$#yLQ6Uvl{lrt~Wa{CVuU*@cVd#&vo$#SmVZP76Z#WzG45EUxz+0Fmh{#dl4 zdb`M?-HWhX+JB8QI;6G~?KdRo+p(#c4by1UJ~4`2Y60W)-|a}cn=AAU#&Jd zNN3lI4HM^hnNFx#<};hSJCuI=^(kO|F4K0GKP(iL#XRa}o^T`K(01en85x)DM2-9# zUKx(aJqc0Xljw4aBt9ha2Sb?kTGfl31~cXUdGO4y*ZY&Nndg_G+`Xf%c2A*&2Rl*N zcel?KwA{~{(I4%YP*TU^*wgGTR{;ii5u2f_jn^y$YEIdrZhFGv*D;9@AT}Ks;e)Jm zy4ru<#Xn~DIN#FVDLwh5{3NaYj~K3#trTxO#k+|xmt9Y1AU^SF-cyGumiw{;fA7oJ z9zp)Rrf>DZXoB$237+9#N_G;YL|~DeWIRaAxaSa6vlIjiJ$t%GtZ{*j2ge@ zKLs$s8*5fW?3g%a=mi{Gp(VY!rlt%1X#c{X@XRY+Kl?9MYaFn%$$-o-LMD;o@tb#giBD0O;kx?A(rmY}OitoFD%OLFmsF#Xaa z4B(|zB_iKi;GBbs;iZRCkNv}seCb^=%fHFuHI9hHJG#;)}R1)c75#9~WT##84a4KFrXlfqJ2YvMv8*M9Hc3B>MD z%>SvKCl1D?54nu5-&OoP zgBKdJJFipUL$M|WpJtN=2S2$p^5J+MTTHZ#C4124t~Z$fvHUqxZwv*I8(wq`b0n&< zac}%K!@+p(7^$cVmlX{Qp$R0#1eS#gvgzH6-u3g%M^jW*u5LYMT;#1l*&W2Od!y$Y zQ#Ad`&=lWp;DM8+SJYF4A!bH(`*#w!)H zm};iI>ve*he_VNsqg&I3e zn8I~g2X02B3Igl@A~*OVK%1*Q8rwK8NX!Kez3T@1TUY)(6r`!i#O{u505hKhX?=Hq zPA3uwudG$QT>UnY=?US*CvRG-ftcMs^V*T!A%}m&73YpQjbn(Lzz__ep(O$uOGj;| zrvg-N8G`>hq_4~m9OnR3Zpk--+(!rIqFOsh{+{o93vm94eH*drBmTQU3b;go-!RAP z+Z#H{pA^i0mp4xc^>I}DnnIkTU}??($crB+AcxB%ZBr@sDKbnw!YaGm6i4uQrmUK8m0_l+Zdj3;Rr^6IjGYR z-m`NaQ23T-nlGN^K^l`pnbs)^1eJtk=If%Vplt3Y=ERAel@TgY+Ke-$$er@WAvCxG zku1=-Xg8|r%{@5>=1M-WFD^X?=YvT@X{tcM%hPDGc3;=kbRsjerZ{$x?Hiv-+f6EK zu^WVm7XNQDc+O~|S*ZgtJ75GFgyQoj-+R*nOU`Z%w8@jAB2{;zys<>`R!sqAY|EqKEmQ4`0N-zGS}^? z=Ob4yhUL3-exn`h5w@?iQfj`Guw*G@uScg^-~TvU)Zep>&2m@edU_?v5;nqlA#Kh4 z(o6gH1U&)w_Sq*bB6_NIm-c4BD>U3R0xlZ}jwjGq3Q!9wvl z4LLu=3R=2*^;SiiJ`l&3?3c9@4w9!|3|-VncvVrBL_VZGiH(J-u0>-pl04V&k({Gv;AE}#`>cOp!A5B)+JFOtP4 z(%tyTB4N7u_r?~<(dQAv4UTJfbs+t~H(z+y!L!~$VTBier|;)H8rHhfYW#WUQB|t3 zG93#RA}(~R2zLc-!s46Zy?j|t#YNVYGowwV@(E5ouqjGG&{^~%m5N%Ja9Azr2@B&U zdmSN8fY)(aGuo*lCdqvYvd z8IvQM7T-`oSV|#~6thh-6CjzPV6ASm<|wHyD@%(@5Et2H*!{9YDyb`qdLTr5(w90i z9)!s0aqF^yNzh9`oOIdQep9V=iCNXE8kA#|_=}d9h!#bqde`RzKCRNfSQwW2lhdTS5Kbtcjc#>~t_TPYU&2DB$Si`}*v@6K*gArogFP8sJB2_yjV_=UR%?B zeL^YrsLQ+__mI8ZLN#UtN42D3?L}ZV7J(|*C(fFi4ZA@gB>3;_mSx+b7|s$D8aXMe z6EbHfzjeS*jb%$sN*zEk)wOPausvK0@TUy>;@ad+;F+A-AW`aET);jl-(R?@NnlLZzW22zy z6h-MRjvGO6=7$<{esO4MJgVS9@N@^SC>|V>$%{$ zLvyjUzXUgU`_2z9l{Mdjstoru@fh#gc@iqWl;!IJxRLRyvai}JjBe(Y+TeGU#@ zW2w0=HrAl4I9T-&U0S_0UM>R#Q_0Pc>rxzI(<~?%`Z^8AgGn`Ydws|+b_eNSFvOho zCIu6cjR@cb@WM-7tS?U&2&B$p!tJ-T>~!GG27V*O{yp+a2mgWPRPVs!n_w@bMBDAy zGUJ6EOO=}&j?U`+_35n-Tp!l#G>X8u1I|xI#tZibC^VBqsUnHkHzf!i5>2FVowf@* zGClTa&Hen#v?qL5&%^D>9klS3XY2KABHV&kSbu)a5!pP&@{Q=WZ{jF@?` z{)J7l%Ts$Q5q%-UY$Mm`8+f9#5327)|4!R=9e>nl3+(P?qN_j)b{4Xahv>~A!;yLA zA>giS1Mde{H%)dk6ZgWGNDEPyWY%{(9`YnP^mKFT%9OF$tO40?v(V9zd=u(j;@}+% z^zvRuMy~&CF)u+ca8&Ah&!qP>dFabarF*AO)5_#XB%xw%LwWsp$cV`~EqzaWDm7>*xZ}h2k5-q|LsLb8&c$I$=u!uLnI_a>trDm!GfczODC>cVKEeuSL$P_-BJU~m84bY4z0+|`&(d-$0I4zaaNkLZh} za!k`Dy20%XZ=7`TZcF1DkJQB@V$&~uOb9xa(NGeL=ly_~tNLr`SzEoy`N_4f>hPVp zINa>9#jaD=DINxceh24bqRXy?gQ#J=p=3&Q+-o@K{-t5zfo7{J>pAEv_)4fDsDn1e zso=E8nABltq9^hv^7D&8GuIxN102z7N0DS#d|Hwzy)n51;f9dP>PPv~m2ofAS%^nM z0=!kd34Y|DeqdW?Bs_Fo!ZW%%??)8;Gq1-ak}~<^ZblT~QANA@VBU&e*6PNk+EQxygbxv_W7_JDe>&D`D^&lp;BJxDq=RGpp7-KFO zECo)W^Bm7UPXxY$=b`TN2PEj`PJRM$o63~;nQ~g&=m^bJZET0nzN7dG}u5tM$xlE_o$j7_+K+8G>?A?1TAMt%+I?ztnD=U7gxuBHB`etw9mHi45{U=tA#X*n6=bYj(!u zVn`Hw^H3;s3b@={#q2}3f!#On#pq%VyHHVw!Ct1FP-jI_$lYWP5ao8~d~f2Ka4+8+ z4o{u4pE?05#}vtS18lS531=owBO(r^s^3h#z9p4qIC|yZRDOArbDUpmI{#sa#A_pT z>zf-^)7-J7>=G?qAH)C21&DQK)RoMAOk-X`xUk1j)1O&WQce9_m5^@Bu=NGmuEg}D zD!1_WJ8T7Dd|KK+(izr}EN^-O5LO`$c9SCGq9#7BQ-V-@WPk_ff-HT;InrjRTln59 z)1@n}uV($|i0Pd4vmvo;*$#QM@1_l(sqo0QK?u!MhPO3Wq`c8z{fs{x)e_1D?JHwD zdcJcL+53H!l{l3;e=uW+rtI}(F;Iz#WG$ww@RLPEs4%cB0>gvj)L`qPPy#PeAMrqHTQB5w!QJ@z38^Gi~}Hm$v|uX`9KLEum)tUv{{py`01q$lScv@TOPzo*WZI z*-ZG3NhVlDsv`UT&?f%}IOU{QN+iMrf-GYl%G^eXq>~>R`VvkCyEPH&8gCpbe(Pb zDu7_#werhBY(Dn;7Ms-#O)#+SGF|kCk2ZP87LUC`d98DhHK4~p%1Au-(%EYTu6gZ= z(+Anr%{b>shOrUn_(98+Z>IllmPnLrWNxGA} zRy(HejW;Kd8S}-)jX9)~KyNRKD58c8kNxR2)j0kf{zYuqX*q@5rCt#@K$^<}Rt zWa$ZK+6ZFwAWaz`@;DcYA4Kjf6#+n>v4v*@(DGqlH;FnaQRvG6V}++OL#!}c_$00( zxZQ+=I-QjBV*PyS$gTdCG(*yV`SsF8>r}j;bZ2SAQ_gx8)=1mZWHhqbil@^2d6xx7 zHrb(46V1tXH=4vkrf0sE=kdXb%az9%gXM*+_wdlf(->%y@lNW6wZvF5-vL9m5cwLk zc#WtB4)8AiG?|<+C<&t?*n)K+8|My!3`@HsrbGdGkA*GDIerQ7;?)T(@{iiy>9XzA zT+=F?HO5ek`)P}idzTV#GTm%uf+6jN;71+gKo^z3CB&;eg|pC#anb!_bUz1 zOEH)k_@m9uPIDqL2MV3CM+l-z^FXF_?JJvKr=4qLk2vPjh4pKb8`toZ+~+I~fm!z> zJMshQWD~vn6||A*A#H;z>yqXNA}q3*HA+f=34P9S_FL1#R`s&;`_!MiFJeXZXjRSi z!h?muFb)+DqsQg>mn~uIEEah2_3}n6UV|96X7>c|hbOo!*$26EyFI z_4TIf)`K)lsbq^Md+DnWBs2ZgpoQh#y;}s_433Uv_!M0U`RkcZ4cvSqfr`#Ucu4J8$G>R!6Rr={I7XbspwxN8s8Y z=8YKlD=YGK4U0HCwu~uyOVviSV9>z-;M; zA8&$_bF);ffjFg5ft4NdbiE}lgPc%9DBIgWG|n*^RS~Wpr{e-%Rci3jHp&RE_XM-w z*4)R%@yu$PTT3YIXna?>tfh2#il`Zz7#%oB2>ErmR1*E_njb!Av59?7T$wJq_ss?@ zqg@3fWx~NBL|^h*040mL8_Rc5V-HH6zY}Vcpg0|^1=?Iv+HQzEE8*YQbG9Z-MmKuB zuV$SXGn{_vJ7%`YJ|hbZ%&Z@eH4>uo+*DoxcQ<#JDx3D_Wdw&sx!M*_-J=D-yGm~4 zA-?@yZVv2N*-TeZktXkQzSDzJWCOl98j-)X`?tA=qNHDZ9go@1b!ydu|GG?UNp4LKC(iyn;6 zsli7F1{BVVyjJwLC`C3cExp&w()M6(Ns5?%;%IYA%2qgYEc(pLTm1m~S67GCi4Ebm zUSJW)g{+t`{?Xr3cJE&qlny(3_9EgLj@$AIn4KYJODA=ZEK-D8dy<GigA_}2FdO;@UR*070+4h!FFe|9il2=;qXU>1;ku2#Aiv61_?i-;9zD zr3n!U`zk!#k;A04IrI3Z0)bFwOetXp!Ep&C?>OCYq1u$T2ypo>3LT84&x^y@A&;v) z{yRgGHVH~^Rrw5Rsat^&MDrOT46-I;8#Ssd3rANmd+%Msy^sA?ULGO0ptC?-d1ABLoQR6#sG?nQlL<+R(Q`K ztjHrx%$JI{VmYYnNT-TviiN`BR^?F?`kvaCpdV!2s9O|2!2AIH8F~(B`Ygam;3#YJ zE`hF(aZ89eL!ya7`fUv^P6I?@JtkuN?gziKb-q_>AB}WW^hHe-C<59iCv_NGcI)52 z)UFl8}b{ZZNs+LDr@GSt0`S9_;lI%%oc)J_&4xt>RZ5QKdYb5o*H}p zyNv-u%uZ`GWKBX7gLXU)0u`0X!Mq>=QvjY+%O9}E=rXQ43pjF;`Wj1k9;l-Xd~OQ} O@R1M!m~9H`2mCJ_duRaw diff --git a/reference/wiki/flex/flex_settings.png b/reference/wiki/flex/flex_settings.png new file mode 100644 index 0000000000000000000000000000000000000000..5a710bd33bde9ef713a2a257372ff044fad3040b GIT binary patch literal 25226 zcmYIw1yEc~6Kx>4yIXK~cM0z9?(Xgo+=9D@1r~RA3lQAhg1bAs&G)~0kE$)|vUhf; zduF;%pVOBpB?U=DI6Sy7U%ntpOMO@Q@&&99^g@FH2mJ-k^;r<~3(QqTQuIspB*8K0 z0@g`N+x5#Ac=UfSurFCTIA6X9f06z!qUHs5o(t_qFmylinB|jhJ`Rc9hL3NGpKTF+ z{9_Y5Q0fRpin>q?{0ADE9Fz1BMdKF$)Lb3x24L9;|Iy%QHu?C;{>8`EoYd+4#>Mf4 z8&&Sb=1xLJZm#$30ar(l|J>$sv)zh{y86Bow~LDlD;t|VXZF(R!e1&F81RrF5|p4I zliyicS?6ml9UB*CXLf62sdTEUs=jweVD3Krc|r7O-~M-JEjqYI2O;Z5ySuHJ*jHm; zW8-f>Xd&9srCk1Z10;AYMGma%3k-&?+VUJYbrco^1ei!K8d%2MQhH5AMKYL@?9ad9 zBqX4ZTZEY|_JpFE9v&V(Nh96}_{;2)wQwUVEnSDT=2Kd6(_E23jsg)vy6xV<5ix+p z9=DDG;Rn;3-@Ll2L&walJU%v-m7Sf-Ae4lvk&XcItF%f@21=Qx&Cwe;-mKBV z;Y$Zclt9*CY3#9P4zuh949w%9^Q@tHuP5LueV9*iWZckEzFcIj_q{vTPdV@mJe+6g zbXiKbUu|uxSD4dl6Nrg{Z|E<}6{$M8-&{9cZ?(b-18xt~H6q%6OsLjaJJf8AAv&(y ztZe2PX0ka4$O!2~$SzFlot%W*O)=^c=UMzX(;LmS4Rn}}g{4<*JX28p8xJ5P_ z2iru+>7tt9()8H_U3Gakpb!^~PvwQYRiz2g-Y&r)K&QV{Y5kgZpT+6WBL?SBk$!ht z_jf#BLk*E`%wBq@CTeMJIta41t-AUf!^D1L8$Mok7JuBEGp~Mmn*g+#HDmit9w6L8 z5;(4_sd~sc-(T8LS_f!Gbz_}V6@WsJw=G;!28WFjW=1N3R?O9t4w)KpAs?@vb*ehwN#GousFwV;F8a&*Q_qKzP-6Ttg2QPf5c<4cIzRyoe`<2 z%8YgRXna$-p_1q6wY_CA^?wF39abvMY`zsHk0465bZ|{Q`zhZeN&8D&3IMs$!Lri> z_#EwRoxLg0DO3KgvAaE4Z=Tr8h+2HI_#&L{lkUGwVXITaYBKeg}y}din_Ne^sitMNVQ9E zH$!LU!o>(`jx)c%4nLR^^{V!`f20dJSktWN&bJ(_pZ82^g^laXl&0q=kTyV)zx9|C$J zN^U6MJ>;Q#iO*v1RE%F#YP*ZM4A9H&dF++r6FXWE7*X78B9W&v6bPJra}V{2ld@fg z3y$CPm2n0PjCcy!0dX@ffm%F{?zd%8$*+F_9BK|3uN!aX&)&t+IUZYI*Idsw$>8Sn zJ;XfuD2fZDbep!b6}d2w&lVa;gt)j=Gp7!+5LN?=9-ciheb0m#nT7!OLgcph$nXMU zkF=xv&mNj&E#l1+n!;0cuV>mRGipscw<|F+6+XznJ<>n;J!Kbvc2Oeyap82-zMoUn zZRGD*(lx}=ih+?d`)ZUH>GjW>d)n4B4B!w(`G#12pR&4>oyNZBGh1#N(;Z!Qm}#c% zp%l1?%_)_n@@p#EhU*OshYFvb7zzC36^93L*<4k6okkQb^-yvILgW?3zF~m-$=W4# zO}2qt-8223x_WCPK&kdP0c&l6`65kCnUZdI$xLwxpGxgLr`__;*$!qt$LVhoq(dF< zw|I`%t($G#-$Lfs1w&)7KU&>iBa1??U@62i!Nx^ZZblSVJY|mo^4Xk>c`aFb;uIYT zjK_rw*)~agPEUDn&7+>#10!j{Y}Z5+d2ac?*DG7t9k2CstY0N zrR4%ZgV4miI;ZYX^on75o|i^|hDNw$XHv+QLSy`^Id3+NajyYe7Gj?yMSmer0pM`F;KUH(gDMv?Z<> zo7)oi^X)aP@nvjGtr#LcySL_$zn@VN|7=4@k-xW**wnHY2Taom%EVp|J8AB7oRAm` zLHO;3c@Wd!3PtFs+LB-AS#VSykBynvTHJjz1*IF)re8}J)17PY5HI!fc3C~GK35l^ zx{G`(Z169LzYRO_YT&z%Un`@a&r?tLqzpw{Mh>iS>(!R{-tT=>Tw^2^sfo_rH68T@ zPD_dDUI=m)20FY{OG@)k5PgB1_SZ-!j?cBMqP5N|C#(JXvT)NY2T}|tJ+g~8@*Ht_ zq8;Uw%gJv!3}UL?C~ZIi3qyy}GVK!!*a>=$5*);2D0y-@mW~<}iMA z+ug{jLFnXP_n!2HBKXwHL}|t|6uvEd{q7P25!|LGr@7xWE4j;nQfqm4<)GVGT?y#! zZnxWc#K}`2-p4>}v48enVcJKGLrl$1pLn{PGqhRHTKa)>MnmI{S<$WC2&e~i7Z!HE zrSA)AF2mKU5@rg#STAfb>S^k4X&H9yA1Yc*>aFndVU$I6SZw^k&97vvaJaD-2_I?5 z@yJ$;h!&S!Okd#QOsO#pU;ZYpO^MeS<;u&CuQ{pR++A5+S6A3=bDku=pd%s@J|}}t zWOJ}TP6s@}_n(ilx7Kazu5915w@z$MHJdu&qIaC@uSu`oQt38-KVv#{4sD~G(`npK z{G?(9Ps{h9SHhZYKuR%}W2O``DuA!4siO+UWd2_=hX!k?DPgGj91N%k%y^yfLAyIQ ztgLdLm3H4pTDEOD(7rvWv#-K23?l^Fjc?!6Sd`>Eu2x zKHyzx*mSKo!|LGgPjsAMqt}!&PD(133qe@>p4@p_cEhSkdLZ=$(&TrDX z(`)m~QaYt{CFU*whOCb&N0QV4ITZMM24`8F&tJwz)1)JN4t6FYG!J!-!y{MllIUWb z4FxSOd+m6d#`&Te=GWnN?|*NJC6Crxw&{L+n8*Hs|mxdmY$xf$>x!rlxe7y!Ek%& zyuh1V8S7+4^_6i57Cb~)^qUdq+)pLUl(GMTUNMNA@wBGNvf)}3REB@>Ryc&zC^=LZ zt!F&S zj~AZ9oZ@uMG&yPd|7LATYQ#e{rY&8YtpdsA>4oZlQeNt`@yoaQFpY&Ecs7E_hnnDJ zMw0lv`}KnMl`7wl)#FSn8i}3`{5eS+WEn)T7MamXx+gcm`^)`YjOEM6LAK-M`1tFo z;U|#vn-WK9kI(%HG?ACl@oY(#?_>AP7@g;ayQYBVRqrq9H(Ix85$L``x1B<^B9sBvo2z_7zk$}Zi9-?{R$Ma#&>&E3>Cy-wC`71Qix_!$=Mn?oM zE`L~LseE>`Q6Koacmgh)MEbPDagy+VON#{B9HqZJcW8vQ!+_*H%m`9%*CWLLKI#;R zGk27z5&RXI{|YoY)9Vq9vK|YkALq_a>5V>$SO<|MI5D|KHg7pxgUQ*QEb<1L`-YiDxRl z(chn>V~m;qMHVRoh>L(5j<@LLWb7~HZU2oU6c*ObXE{{T3$7*HR8z$IyI17)`fv{L zEpJYt02!_y`Ne~}PGH5(Ede< zACgAUgj(vD(7BK6t_kfiF_aZ%#zUq7f}l%D0_Q=BbiI&6rG0XCde|8mf;7s&w>@Iz zR8uLnjhDH^ADrL!akg>Bp0W_ zxL%Vm?*JJGflJCtZ)e1-8 zaY_1a39Ply=Np?FXW)k)>6E~up5H2Z6nyHx-E>zT#lIc&l{*(Up=glq+Y?n-2M?Oe zV0SaIe@0^HP62+! z*q#V3adCsiL`cYvUg{s2{+Ihxo~ykT%X|v##-Y(sS^>RL2Dtv$E|Z<`aHtHzyqEXO zKxF;h!e`KN)r^uJ^`e-3cw9D3h>b6uRuZeR()P^^6jZo<_8x z%Qcpp)$`g3Lx9=tBG#)qDYB*hFSuGlcq|t-EX|)rU+|E~BhM`fo>n%r&LeBgI^uq1 z4&l{`YS`JvUWN<&)81w&CyfIY492n!I)TvigLKucyFbN{U`fGL@_5~8i{Ww%pyNov zLL^wI@$pERU?abS|DD`XzzHJg`~xO`+5O7s2W(%)h(?S=DP}dc27kU0@6%*QTi!D7 zWFJ%85zaMM3`d$i|4o)aj<`zeSPF1g7LAQV)A}*^FA1lU7@7ShB#5RR#&5Uyi8Mbz zk?SMC%3M&Xyw@kQ)@%79fmcO6MYv^-ggDZ5CXT;I^@bM{`Il>$ zyfd3g`OSW#m_s2E@n@(=eF-)7?LRZ43Wp^Y0#_gVQ4&=h*byw8s~oNuyoEW}PW=pE zn01)>FKn7b1m&AIphsk-!MU?`AA80;TbVF_#mC2AU>H)R%5efGRPPzoNOyuhUa=c1 zyt-Q)NUdEm@O8#xgD)kyfF}0)S*WFzRPRyMmA%C@RwTyk@qx%2Q1Ul$EObd%=niS=KfO55SWgV&NtdSI}Ei%3d6l-5U>}XE+ zXsfZ2he-y~?iF(U1qq)qH9|lzm{@x6HVxG{+0L(Ig?=RS479o&#St~McmJ1hIYr~; zi-svdf+jOyXf%Q>y4(gXn+0?BV2X~74RFi+r^-A7pug6e$2Ynz41_O~Jvn|G>7;v< zNQ3{I5;VkWzAD^2+Fqg*6g#f>JVW`}*_p0G8)Wh2B;V!RxnrR0W8ioiL$J3xf+{0u z?Vs=eObJV*>wDn-z)ypKiClQa7#y1mczxr9izHu~KP;ZC*L$apT34Dl~sAj2#>w4ORQnMNrD z92GleDEt||g0K9luSUw!3hsz&njvQS0lkTEW*b2bW({L)V*^JYqpte1!-U$j5U}IK zVVslBA-6m!leJZ1jp{=_0FR)}%-^3>NmtY90>TFocMJLz?ML1e|A@)!pdf|RGu4+h z4(yDdM$|ecV%ik&X6$n*C^=j8ssh@PXi^C%0X`A}y`keOFjjeYjUjowmVqV7$ zKU8#d#>ybmxGOrex`CyR<wKKvyUbyQpA0(_VI%Gt!`o zc6jjF^h-tt3Li=m@G&rRa1Huk;QR#(aepD~{HjW-LuBtq1kna!(}e|X;K%iy?Bu6B zgv{sODE8_E1;HxgchG*1WB@O$8IP|bf~D2BcT{v9wpmx*;RmNEvB|4juPOp|}P(4eEMy1Z@XJe*NAcapuMs(D8B@Q<6|t=pJ* zk0SBKEw`q{Eq{l@so31227^2H-`4Or>6Q+=n830MC(cLQ9sbvXZp+Kr;%WQ}WKq4w zRO)XqZePH1sA`iDsp7n*j)QPUPZET&ANK_~*8t%Fdyb}+@^Tu5(vi~*4OLA|&6O6L zZp)SdPA1(MAui2wgy2o*S6Y5@MV|KUc=T|EvFr1;9CeL=eOKA;cegb{!>U<_+3J&} zxt;*9rJC*++W4FfGpYpj8UFP%j}hbU^7Nn}JgFZfC`91ga$X=XE%^E7O62=?znvUR zW_eYLo`)g5aSYQaM5J?iU%lR56)18MwApnP_@`!QEqv+qHU?>;%i|b+s5B=r&4G+) zKR>rkq~g&^`$1&on1^v`WMB8?u;g4_%c)P~y+>E$Tz^Y0q+;^!aGGtBI}}>#yzlU- zxHLZikJ#tTI3YvqD`YrQrS(kC_nRSX!&(j^$5H}s@SX@pB^5aELXs;`7~OtJi!gi^ z-Fst1YVH%OE|-i{f{?)@1CN^^+B3%(ICrv2w$SbBviQT;@vGx(Oi?*m()Ph-)6jw& zubCrtN_KqR)?R+$_dLBXe1pSN`Dm$L)8R2PSM{b+Pkr-4C!Oz>)f5fU5k1ivwf@$6 z7D)kA;L`vxKrRyvh0yyu2ITHZifPXE9m4pY7IG-NOA~o1iBb5MaH>r&VxOaL8<~G= z0^e=(+8?(9KcDszKIig3+(59xrFmk#&DC3;dvjPWd=OHspuB?H&0gKTCxl4eU}FDz zl^q{okvy`d)WG7tqH7RQF_~Kw*G|25T6N>)O2|Oav9O_&Fnf?$qC29W;vdr0qI;WG z?Xcbm+)v9R(en3P9}pPX@Z5?Uw_Inq)gE4A%}c$;j@aWyav+u*NpFG>aL(6)S`XN48`8w6=r4!-DjfHIKwj3INn$s>|5;HuV38ao_vls3vnAS?ZlsDmYA!S z*4$MhTXeI;cQ@{jJd;ZBYyJKUcv#F+kcu9HLP{`nk~{ZRQ1F)M4* zDlB~vWyYTFRN!Pf^bQHaVP_;W`)_ztaL zbE$i`4n6N4t=v)uJ(h$UPyV+PTnOO3A25F*w+k?yQl!87G2d$VfeI)f$q9$|&EMLb z{1ZHx0$sA+hCbJQ$@m<-iacB(fVhH8H7k*W-QRn!XKCw`UI{62nEju1xX4Z3+4$>P z3f<47_qMc#TNM$m<40>i){zc=hm5-Na+V2){-M-t{;9>9svGw7NqdejYi1d5`Hc44 zUer|BEKe|+Km=|E4KS^!JDhO8%qm_E0C*gvE-V*-C0vp3oZWBHSo9wrHyw%RP>;O} zo_fjue6y^yIMB_s*q^8Ad~C2259Hzwh{jR>$*Vf0RrVtp0&KG1I0uf-c)8XXIOdkh zOv1g(Cyf&6&XK*&CncA9e{=Vl>v0$C)20Unn7M?4Z5$}2)jc)a~Y zIIE{k6ko;Q&ip!2zE0{wDta{c^MK*dSzhx;^&NZ1-hzlO-C;A)C+_#uUR^Dl3`Y=j zs~~RcJ^rI;$q!k_klo6`wClJzmU6 z{8zmY)$W!W6NJ5mDIdFQ`FYMcj~+L(D{!iMVb&MXz%ic|jis|H+lM>6 zI$wPmjbe#q&$g=i&L84(_7GWJukm8+4L5|)01U#PtHrfjNB~YsW-K#23%T5TXZjl@ zvCNYk3YG4Ums2@I)`mf~+4*wSIE(sn0#csMOd@uFr^$ZPkVqW5onSPaOG|0=YmC7R zhBS*K??O8T9CN%A5W*G#FBK9gNBBM+D7o71ep<`%04m6PMJXs(P>gCL9dAK}k`t)R znLRcx$loJ8cA>yWVgWifjF`S$ZaGeF=N~hWiYZ$_cVw16_&@_n0jqe64qJMTvaYqK zWhHAD&RpnDt+Oh27ewXVu2Bn@^{OvhbzZ5CQDuPCfHg0%oum}E*Rd%-bnb4$tXv3M zoH4^P=%Qa_f5^fXpu9JnM+lYB2*!TXplS6EA|T9h#F9f{{{~03;LIczI?Qq9Mvfl@ z^vj1aAA)L=N2Bwku)?o-H`BK!x2&U+I9L%rtepk%(M6t9E+L@RkGVZl;MvERB<5hy zBu$0`%R`AT-LKVcr}Q(w7gq@CEm9_gyZ?Kdjs}Ao{QKp&5C-{PZwjiWrF~R?f5rwc zTMI5LIZb74`A$|{tYM@>(b>ai&Fd(>D^IAI9z))?&b4r!D8AcNANBJ7*I`9IIe|=- z+?>&$e*a*xn?jh`T*)B1&6dFv8?R@6?9GHRK<-z3D**9p7dnVM>KU4hgeUv!!yogO`ab1pTU!;Fpl4;r2Ad2DyL^ORqtU2z@Me6$a zwSuYE8N=4if(el^foMd0$4)Tc-J7p1v1d-m{ZA4+t(Bz)2?7r|SvY$GDsmLVU-K?b z2dO#1mH#6(cj1DsxG@&4Q-aC3)uB~2@e5ss;Kr_HsnBF40+RwfM~D&GPy7ee@<<{& z^=7aRNw9kU_X}RWdqzd@Or1{sKVSoz5HVu171l9bp{QthlF)lxK`E4Nr+~Xsncr^_w_B;hmj}8 zBj5kw+ZUlED5^066j2|fhWq5Guhu9=%wS7Db0F(at2^+9ioVfLMS?l};n_$gJK@fd z!}eV^j&L@@XmJ`Fuaj-Z`>*(T5+OOeR@#?Fx6C5AI@LscLo^J0zju4MW?!g z?(<2EY0gmHC^T9?1^bVskV9p5DKHdCBaq>pv+G#fm2-D#KF^BWI}j55aj@K9FOn4n z`-pPbERiBQM6iZCXzggzj_od3xu=(xedx>7QG>ywg7cWsaC6M3Rn6y<{Xxn_tXh3p z5Cs^*JS%(QmJOG%We$6+-Af4qsVX7ONjlLDMYu5>CUQytFK=(}fYHAyph&Tc8(cdg z7OED`A`;61aJKl90Y)|rs}6Aus!p8N=_JQRCw zRBm61T5`r29`+woPG~u)G8}24lseE6Rq7j014qUBTNrsmEs{t&PAUBM3?yOjx!sbP zCuxrk-VGBSa{Km>W@wpq!=#i^zQu_DDWe|lFev&jIDVi;Qbt% zKq=T92N!K^ zV-@=a?ar%E#33V;`qn4)WeE5GV=+EqwDL|zQG^G@98XBR+>x9lNrG07VsGIw&@@oB zx^W7%`i6#vP|6tN_Ba=$s0Sc3egGhkqtpwEib96uL>A+8Mh7t{itF6;Sy`W@v?lzI zN6G&&7WyGU#JDHv1miC)wv5b$rSY~a&JSw5Wjq9`{{6Jz2P(I+0Y!J^B7kh1p+k^tBLabpFdXj3OXuP1Yz~^k0Dg$4too3{SE7 zA3+D=yD4gA3qcnBB!T(CRjQ^mJsB$#Qh@eqlaj7E*o{I18USGuAGBP^5}^h6FMUBs zfVd9^=kL(T6`y5@3D*b13e=>$T9Ftcy+OSdHQmu2x?(>d_Ar8LdG4Bftl$F|^FF>; zlugIy%+BcKe)8Ebf0z9>o^EX;@|Lx*dN8pHOzjSg(MdS0{j={$=7ky%wYQV>u6A}7 z4K4pYBA#q}DGO9=v0q@NYUz#DFDwIL9^@kShx=sW2S@ONuZG3ya03I&HRi?Eb|k4S z;4XA3tF*d{3&sOVyWTARz@hhr2$zxtVe9a7y7|{%HbC9w1 zWTx}p{UL+TRd!D~OPO#R25q&9oxg{s=Ig(T#8FA^R_wK&xcx~C4X(uqiu<@(d$WNT z>unHMR$&8y=99H0n9u;bpXUsFNNck|XS9ZN6J?#6P*Kb`)36*{Cum?Uo2{k4s%syn4<$8LY zR_)6+qCZTBKQ6`#NoTXyb0iIVZkE2^2An_kSjX)IhT5$*Fxf5{G?Il+E05TGa`5v| z3^ZO-bO)|5l!qfM%&JG8QRrC2GdN45?*mx9~eRT^38Qiu;^8UDc6atG2#9v_?lzSTEfy?0W7#aGhMg86Tb7)GyF~ zm9)PAHdD(FEU|2IXkJE6PYP6azK=icE4H^%g_0&uvgC0MBrSwA8z05 zk9%L^Xqc-0)AFWPXo&;gSR|9SV^eMV@}9y}0-h`woF!Te z5wANU#l%;!u~7)lhx(w~P4VbebJAvZpWb&_uEwd;okN7Z_6lW=%O7pnHfJ`U<+5M6 z&fA5acTfB`x&3>FgltC$N<+E@U!_Z*<@#AVtZTZ~@kT#omM&q?U-mejuIKXt%}amp z#V-kZ1(s%H*Em}8-%WpI_j44y^{{0;C`Q|h7@_f#ITloPqL)Wt!dG~6;tER-pjVBr z=x4$ph#tzqX;S#5^LC=ylf_!%{*uZ=vHla^w#90ORL|r1+_tj>X`7T1Bf(@i7VSa~ zqQ6-z)n$umf#^BHx=((<^W^f4SLXYi_=J`?*Qe zH$!E0*LV7zlsG({UK-J!K$t-Tm;@~zN z^6iguU2whKY)rf%Od3lu_hMiakR*s$S5Enj7|mimyctUigj;uSxLQl%e zLwsDA8{Ib1HGjpe!I=idS3uj-d##>s`}dCP?nOBo5mwI%T>_K|BkFfggIbVi?fk%c zaZwI-n7S*BH#PBt=Og*b!1sKi%7$|61!WsuYAb}thY~7Nq)4dnaUZh4Az0XpfcF~4 zeiCnsbi!PgBSErb_imBbg_Ccbb4k)jyx$%s!bF0Ae_J@V0kjm@GUDt|`Te6}6mg-xg)q z8-%ypPpfThY<3~eKtl0P)n+q|Y4B3+IHakBu2m)>ZgJfiABmhAjTmg}B|SjbZCzTS zbrYYaYC_+4#I6{Z(}~rWrd9N*3wpZ0bb!+eQH6DNSh8iNDdj0SI!L0@Rdw~BF2(U* z$Tj_LNnLgWlBe3(boQKaZI}3jHfO?Lf_qA^>~f{!j{0tRC=xNjB{t{; z%cO*(L!3>#z>J(=b$vZ{Jac!~WMvp1Y`4(tdf6@;FROWB-l7x$;Latg@zh0R44{40 zn0P$%SUxbPdpSIyJ2>S87+&rgbql_epVevJ>38oJ+f3(@Is*Wx%W1;`C*w_szxWSonYWpBMOShwo zo}C+}{mnSIBevRhzpT;1h-2VDiNDtWdI%c?I4g%+K@`h^V5V>zOLSkCyqH+%>hHv) zq!8!`9L)JJ6WgxMRNa<)rr(6q9oVmY&ntFid-or2MPjZs`Y)o_G?u?g)*`7MRx@+u?glsJIoh0?4~}Dh=FKTmRK{>d*RE2m%)o0J8f9ig`!kJ$Uz>gsLvnSZfBsaHXR zS8cJIzpE{{A?YnK-?ee|)*GcAF#|}$WFFC}O%L4te!8azKK6sundBUM0{{0zpoZkG z|JU>ZbV@m+N1$OAV_T)Y+v)zK_P#58QNZw1Gk5YQm51%FLTvhV;O8qblFBL z$;P5y(D_g4#fWm{e8SG}dp&O<_JC&bvI^V|hF(TfFx;+enB)cN`jO;JlUATM6a7m4 z{`P7BSkE9}3}qC_EoW#aHM9l8UrhvbPM`m^D5xTn7xUoHItQ82_BHZSe@-%$1YPCawY`ZV%gpdY&R51psYE#@v_fCRBagDvoBl8Xg_198Bjk|n32#= zIltHN?re+4ir-j$NJ{6NqTk@XC^|zw>)Oa_adY1Ts57+OK>)6-S3!LkE<0h^I_q|E z7RaRWi*eRO0Z+zn`anvg7_m4}H5|utV*fkTX6E$Xp}cF5y*|B9v)X~5 zG1j4;7jqyG3=)RhccbZ^7{MON68J^E?v7^6y*g~K@4|Yu{H%C=()Re}Bk*$t8=NYap(nFttFNn*}vhZC#5&4EQZsFMYuJyt)%mx-oOV&LNY{?N&W#lX zzm^$8MwCO$ofPns^$Q2@U4x#YX>VL^);fBVN=T92_==l*%ba z;#Tul-)efcBbhWp_JBlvqsh52Dr{|^hqF;C`A2&od>x#TDJv12iQmFgx!K7P{Dw9v zQq=tbbLC&R^rt}w`3eoGW(`hn%&LN!y??@i$GwTD3pj#5V=T;u)JzpD+UeTT-LbD< z>opupveSaP=6T%+5rAk_okq*U$2kDZXJWa3SW19J54S9q*E|x`h&BYG7DOl>Dv-to z=>0g|lyQdCqIY7%kOeR(RftaRI=(^KZ5}|iI1))KZmD&5Ya$<}&9tbS$9sCOGn=Za zn(DxZ9b2mvxFjF0yfmrj9n7{>4L2Ev^vaf@+^*md4<7RpOIcuKN)!Nw>20J&a7-~R zoWkoA0t9^Sdh>=-iEm}c(&4%zo-qJe~ZlOj-cybc6PEp0ylRC-|@t zq_%^Rs9r6DWxrIz^}SE4TEcJE=M)b!sz41Kad&S+)yhPx3w+X3yx##0Km-@?ROJ#Q zBj818V&2bU9EbyTLM>HTz)&A}WIqNZoB-Gy{S^N#!Zn1bC6)kRumLVjMYE=b#oxx* zFf?P`hQdF&I=hp!eU3eAdwYvxCu6YUPZwK#4Kku0in@WCK3N;rCk0ihuAVw6#b^*8 z`vpYZ5Yt$pXu+OwEOPyr{gHl`Dq@vc>86{TShw3$6h|<8CS8GMw`IG?1c)= zy;T8b*`;B#Ul(_P`EX8!Eb=Pr1$Iy-No)eBa}1o0-xdtu{SKkTN%{MZ%W4L5s0ix9 zq_Z(%kzzu~+r1CcM&kL4LcZ;`I40JST75p8P0MD~`^D<6>v2;IY*OPFa{P@9#(+1% zvq(*>HLIq?FVH4;k%k2WJx~yrNADKos+i!F#pV)gi;v!0NG91#xMI^eV~|mY^hfc2^lxJClX(|(W>8`wyTXdC zqA=BlrFh}XVGE^N!lSOsQK?2!Bfzlge%#B}yla8fR=&$1AefLTdkb++R$;${E@E`l z6`9Mqo+milp*@O-m(VYkTkAfa?}B+Erf|dz{N3jz;cg`LTJ$ncng}ap=P5>br9+cR&B#FGq*P)%u=;dNqXh&3nT_<@W%pJb#NKeO1fBj{q^;5)H1Cr%O z1U04+PP&Lh_}g8|4rJ$M@Ns#0b9mDl*V5W7!5Od3akKCG1O!2KBSv^lpcq-EOu_bu%$Apk4V1yr1f%(3JA+{JVNPj&JxuW-pio$yq31Vh4M``&`s=ae zPz38@KMdVw#5*AiP+R_GYAKz$qLP7I(*zVdMyS_X5)!0hxicmJryhNj*-dPuWaC7Y*_qR8e{ElL%(eb0LAP60y#M{@&Jj=(Hs*+3yzGc7-K*S?`M+5 z&>_s$y+;gyX|{SQ@rRu}otanZZdEGZau%qlP&a>P6%~45M)F@4ewxp)FzPf!fQmFk zNLPNGCMt`?Y||ZrmVr^z9b#50*fJTSHtTy~5TM)@22KofPKn->Hr-?Dl~eHGmZ)=N z(TTgZRO^~B@$2%`wD=UQ1Y-)nmYWC~{=At`^<>Lf z#AZyYwWxM)5c#xJD63(lE#8H)bb5RD{BikTw`~&HPd5*%q!!O~wS1luMw%9~FcbSI zVqeuSB^>WYs7Uu;$v2sXZoR1*QHon^uD(g|>E6*w*`6p3GNPO=Vj#uoueqz$dkJ1r zhg_!^>agYMkY%;I(MEK?oK!s7SvUtk+oELV{C0URA9FET><+sC^@saLraSLG>!~m9 zyM&$Yc5m32?Bg!|D8H-Rv6R-{eo?A;@{m3D0`IYx+E`8Sr)r_Jz0^J#0pRbu=r%!i zfUU?6OiK9sijS;OyTK}3PxS8gA56!4C4Fv(B5UcMj193jX}t!EBAR z5dpoxd)}Y`FuJKjC0bu%BSp@H`dqa~Q%B58Do&5|;arA|@dSVDW=h+$6~EunrdV(F z4XD_OPrHS2k%M3;9R$}LvA1&j6s#Wh*wk%%Rk+m28MQnq{B>94pZ80Kr<)mq?{}(p z#H#M^q~6y~{w|057?X3ELHI<6Jbs%Em23mPZ%N|URC z$gufmg4MpoMxWlI+UBU-Nk4lvFt1Qe{Hf!sdi{v^hE^+;?zk#;5+X~iD}YQdrCu|` zv7HsIUWwT!Uv?|8?-@(lL_w_ET-ofPKl&+yZaA%~u4zQ5mF98*Bri(ef zF~2wRu~+qMN`U%Q2TlD8vb^#}bL*Pc)EX-5id&_ZCv4SU7Q9oj9x=bZa+j}K;s|Yd zW%ikuwb)u4>z9cbqL)h5n2Ja!~joY1-BTNa3n!8?v)f5Hccwnp4nNnpqmgLRn zyuj$Iv?ce-SX-B&jQgk(*#Yb@nT1dwkM4jzLQZaP7@FwSeT*_lydvm{ zJz{gWz}vm0mk;+N%W^8OD%}JDLV5N`5f8+f}H6bw=Z) z&J5_EKJ$B-Kbsu;NU#{~k8IKkMvJ%50Ygv4l506qRloTJw=Nz?5~C)=D_X$WxWDY& z9nbNoL=K>ckI^gM^pZWg0sZe6 zCuEBO*C%M>Gh-Z3#VD~wta|u*(h~d3?anpQ#1MG<-znwn=IV>kq7HAX*8Gt&PH~~` z-{&+OsCCuU8)B&ZvGJ?jyn7&%s#FPR$Bf>Z+$zYny$X zxNn3&c+GiTJ6%@I9{pSE(8%Zw2L62f&oC_|v}Q^}LEgwQJV*-0`%+oxxb)nw^l9|k zMI&x5y~`;9g6Cw@{N>bvu}YrlLUCHwck z0OD9^`5ia;TT`9o&Lk(t;pK$oA{kFV?`r~CgeQx8i#UBqWA6v&E2Nfu1Y3ag1U5~R ze=yc+Nm(4_-C{qxV}0Rk#p73vp85CXQx*VNc=mtp&xVWAARmA2Xd-^~vXXaG3S9*%AK|eU4t~*@ zZ|Q1UJ0i4`-*E1tmFkI|PUtFc4;U`aqjYn;@=5CrN_9$26622jKkZ%hUsS=n76d^M z5F`W^Q0W%wMoC#ZrKP)BI;5qgyE~Q^knV=1I|S*jrB}WO@8{n858NN`Z~K1E%$##( z-ko{pndf;AN{K105I!=s*GuXqBZAbDPum`k1AP*-U!iLoSc&{^s_DWb*I4f-eDN%S z8v2|jyt!`*;r8ahn{C`eR=6r#PUzY=Y#W@=ac4~%VQSqq0R;g_i3GDCLQ0pw){3wZAYv{Aax-5S34IJ%q5w#|ferp086{lfoumg@FWN`#-o zjijP!N<|>kB{2a*T;5z(I4(dG@g(F5eCoOKoEhH|w(U-k#|fb`Ao>om#0c&!KjdT3 z0E**xxDK*HiRGcVvN{Hx*-QhyqLRO(H`PwZ%M`-O`n6|VQrr(`xaIEW>Pt<(B5TLi zHr-ZWA|}unKms%j8L(GPoEqsPTR4CC#(w{BURwq*!K}Zy4s_*va}pu1C=>LbVHo#e zp8A3;!nq+dwj{)KZOgBhjlG)aBc6xv5&z-mT>}2tYeEnyBoB-)=p%%z&1+RvVlLW* z7}z`vIO`X!Lx_oBB~(KauG*c%$HkqtmL`(PZghl@fCoQruCp+~p#~8S4H-Pf!NI|% zg1l(r8atigm|hJ)lAR=`w10PUSikCr&42l2=W8>8`xh4)ie%Q?G0Z((`lhk-U&zvA zO6>`F_gbrN+v%XiZ$5WpYy#K6{r!1bjvd-Avem`%53;t;fn9l>P!0HHl)%>>t^2iL zioNpP)43tsH)}a$`xk)UFv8!hDKwKfieN0Ni^y&(aBVCDayr-54*Hf`mg0BN^&6$N zf>=R#b19EzueiWskt$V2_1sD1!$+H{;sn7d9`}zVe>3AmAxvcV9HrK0pjls9lgOQ~ z>ijIbey?Q<=w+u<`5=3Mrf+JV%F|@N2R4ohP;VT*ek@?f7HG{nfd;chp1Fn;#68Ng zgbWTD$j>0G2w!N9hmea~GuKE^z5D$f_mq`PPgG0S^j_b}eovHFdDqsE&4r;}BV7%o8Z4SbjQQqLe34aUsP;v7 z=_zK;08!KNPCEU^$`|FrZlbQyuTLg)CNz5f#D7B^Tpzb1NNmvUW1e0eVNS~ z!uU!%pf0MNPKqfZ81>4rt@Hf@UE%ft|<+^JQ z1|>gD<@VNOyQi@=(X~-q={=mL`BZ&~BR2VD>X);Aa#6oS=5PY=0_jYyM$C_0`|eI4|YKW71;fT%ISP7eU3F#qUb2wG} z1!9z?@9`KlP02Wz401iq zp&yo5Vpyz`J#>gDj?HQMOT&`_(Sh@J+629Nn+}CIoF-OSec&JN4A=GNdFLcwE7F0a zDRX2pVkmt0m7zH0#LqCrcWn6BY(o?^oHG4ywF$TTPRF}ia|@e+^Jvs)P|}37APsR} zIC0k(9vxeW=W%Eg?FWGWGpP8His-y*WqCoWZ&vzRNZ=OQE&?pUSCeQXZfpv3wHRqA zT~D*PWA$|VXIUj=m)AYjZ~S~kBDPVlsUu^Du-%2^f6wIk?wISpXM2hA^u1Z+ul9a| zQ=ZlJ*W`~N*4HoLxlR|3)Qxo-z)n?d5IE1aL-NnQzUcNAd$;WTjEy%l5(#$&>%}XA z3epp`Q}2bHUDf8n9+AAWBC#meAL**n;X=>!-#V?F10<(W`Lfdq4&#^bA`*WW9-Ury zBVs*4_)_54NA*lpMw<6Z0|~W=s8q&MR!mG25HQ^Q5IT zZSuhWVOAO`TdQCt?}N#MNOd%8Xxd^?DLz%!T@|;WPhdV#^XrQl^Q(4-F_+vP;$b9- zlHsRW0PyH9uahMr#uhaCyV$`X=dKhdNB4f|?A%#*aqKV8^T9{=m-Mpyv5Tu3m21pFf%Y7Dr2&^&R=-1g0U z@Xen7go7FqM3KyG^1xfX2)y)1R0f1I#QhEJd-z+vVfFc@ne$(|l<3jEzX3@7>i!&7 z7_6^}s+q=uywB*$@MXVuEO>9IE4x7MxJpJAF})wytDof$tWpgCQorJr@U%oNR~c`v zqZ1?hT;KQ!#M|)^dc?<$5}me+QA{{Hid^-Pc*3iZ7(2MDd_Gt_8xp5>+W136@1nUD zlzt0nuVWG;pL|HBo}DCpdQqK>qYp}Oqm^jjjU2F$nqtV0cUNFf$7PZX(x4w_Xi_95 z2%^8{F$_M-|H#(L*d4Go-L@}~$%v@hC${g+5^*%SeBTvPUxHjGEc*ax64_Cnsace< zf1kW#xdKzn>2?_M?cE4uYsChm>~;TG=j~JE+g6}^uW{Jji{wx{vX26q z$gE{C33C7R={`r3WMZ98=O#2Is3`1k?+JL}lpl^ODVap{Mc$m`cQ_?ycdswA@27AL z8Rfdt43-X#Chu8R5u#_%3wy02gXGQ+7G%|W>+M59Kqys#BZR_`+xJD)*L8(jO@kdY zeB~cPBZ!IgtZescmqkp2#(1w{B`JTXi$kye(s0!rIuL+l2@kgwWW|@2IaX+i*I9|9 z12zj&WV3*RD{=h7utH3$%3rk^n?=lU(Ce+uo5|EcmG8P3NRx4gC57PkBju}b%&}=; zBh}U99j%s_etfee{mQUek06PzYcQ)IJg`bBsa!DD!yCn7xV+Ohy|tag9`6;DAP`u0 zd~@%c6-urMX(NhCaiKF)s3jtxT?;%gHIq%k?-&6;kLtB0o0u>jTmM22yHw0aJ|O+bF+-}>SLq^{q+@g&{pSzN%=_>!uZJPNGYBmraVB^c?E2iA^$P%ETD zI8AE@22Ve>PKbW+TR}sG0@L%KY?_J-5UR-^T*fav-pvZv?58O2YpS}RZKl{4pDrI-hM-p zrq$hw%XwCW{@4w9p8%P3XZGjm9VLy&XD30nc%#C@Veu{W4PZ)r0vOD(mE-w?kIi!e zM((rxfAy%ufLD1=s#EeP_*N7J3=4(-ukwG=V`#W}=7nf|#$%rhin9NCnDgvSCRF97yo1X9tv$3X|Ktc~TF zTND=oj@tbMFkXLSc=yOrG=FKPvlv$dkLT3#X7&I?^{?2i7dYCksoDfKuH zL=z3(Zoi{Vb*FF@%Chxn9NVwOqYK4-@WSQ8+6^$mLS;O$ zAv{*@2tXH#A_+91?1@6C{6)oR-LYtr1w^ue^h1mP?Hlv;oKg~h_X#Yysi=-Ox4F8!HQQkLcXKqQ#9n<2Z>2ZFz2w;D#;rv+b;QK>4!%Dd zrNyXTZe?LXBIF4ybokK1obw zcLA4&@p?(ox@ubLy77AIs_stwUGGSWmitaT=ISp?70p|}<`u*6=Xm^O=y5ho zOrOt71qte_{*C$8mbWo`Q|Yu}K7WC@axA|$JWSNfV|7P+DiL&D+L5Lx-FWK@J4n=trC>>*vw0s2D(iZb5wT z`@}CiRL5z#wREw4sOOCZzAZCbRsRTPCFZ-&mIPUgX6>blAj6si_bXZ&P3EgdXO*SH z`H(|wgBu0+q9gg7_))y?dj>xn(i}9*)S~GoKddE|Dor$dec-eWHL;vhOPsIOgwC>< zlg`fDczaKGsfPzh#AYk&DJ%Q`L^bE);CO;NT-1+i82d!ROinHmJH0VK1`-lMcshA@ zsH4m%bpJZG+f2X~u@n2(r@`nxv4$wa-Lq>?NmUl_M9jS{wdVTPvaj{ly?$q0@dJvC$_b7Uz#W)BZ|P1LFnFWI%3-$d%30op1|1R?BQiDzU%@fC3n-h`znE0Y)T~O z&Ue|~-zu~yV@)>g+Y4Z!r(SNq*4bfNE{l5b5_%GNGki5dcyyoFxs05m-nakj^L#!}PPbtC$*- z9iKBN5OXk+ABs`et*d|GPC|WW5-yN3vN@GDdVx!se#0U!9?OQ5*0i|_!(VZ1V^a!@ z62ARzy;z5PG+#4&^ru(PVV=U#!SQJ_1eD2`xTlcDWS=w-0*a+<;-;};av7uj)4q|o0dva8!5NVuyyvc&b+tA zG9;9sY}_BH6yKl}&9=27&#we-)gvs`W?40p1kLfl^&AO-3(1+QA);RHBYzvKbKWs> zvnup;hz99G4zbvUZ+3pF>#^)j?=PME5G55%8oc|Kud__vaGY_q>b2eQO78Y>etTb}6LdmLOW`H)12$I{0uh^*a2Zb_#j5 z@w9am0w-s#r)zNlFB1uK9k4AVL_;BwLEd|iPU?+LdA0%)xH5|Li?D<(Sf+edb+r=p z4vN<&`geYHb9Kh0rgzRdJgh#W-KV0*ziel|lKP!jU>pV9qGHZU0Dpd}*z^ zKT~LY_!?KuL2BSbP={!6Vtis(w)rlxbQLD-W@JpCvi#SYM2wF6>CKm7?qzqhHAa?S zblj}A$6mC;4+qNih{L1c2*{T9V3eDnR90%xhoYIl8o#f!D=EG4?hx%XY?S5fVJ_e{ zS1Zf?Dy=>+QxjgCz-JGBAZDykA~EEn1$9g+>FFGrFO0{!6j=1M2{Gq4xcPG<%odVA;si^qOUV1{%8CFRd0 z9J;TKLqA0_m;l5VQZB1ESoPub{9yibhj*@;0@#HnCzi2XW@a6K(JF@_iKXQ^)e0#3 z^8U6hl{NAet=#v$$iP4_<%LdBu zs)7tq#g-jkfzXMR_eWp(YNCjP`eipg?~iMdIu$&V^Ay^Qq9T0Wy-A*kdR_w=98hZWD1V@YY z6&cZ$3p2&EleW7mrF~9vZ~TI!1_rM3On3L@zj!Nr=@0IZ%wo<&YwC)2xn3Jdp&jYy{;wB3xoKwW== z2>+sgU}TmR(*u8VgU~K{Fo0&uqHo0y+hn$5{Hb85J8s4~qTV#kE1SF+tq7Y+I-3;i z^Ooo9*w_3gzNqJ0HuKH-l8Ue}mX^ItoF#a>j*E=XK0J)It-$DHOqP^8=6aLp0m}m~ zD_2=pw{_?nUMCxZ8Y$R0G-O+jLi@L-ulRg5AEm0HGm4qkU#Rci6;x!-HodtUt>xltT7zv6zNgIhgUwYx#BB_>S@b zciQq{7vkIZ1IMGn(4X%DG^!6X2b6tveWWV@JogC>rg(ek1STMx(aYVa{GdsFBy9G* zYghZ1=kC@>YW7{bk@UloK&A#_Ct!TZ>+$Q(h zS!r91!a9m|oU*6QbX)0ZY%j7b2hThmXwP;xHY6>o#F@D;j%3LCOR`m~7H>`&v<20i zt+dwiG8D&z)zMAUpX*jZTZ^4^9SC3~9@qFJ(}pU|(ekp?P7X#?So$?reDHcpz59BL z!(Mo4ozH{L-guvaUMp5(h&61un*)kCTHJz{s^-7$w9j;C9691(t_3nEm%@Z~s_l?0 zX(gk`j&r41kF0JhG=3WNN*=?m?HW^2afBSK#QRM%>jFd0nmAT0MqZ9S@FfXW7A@VA zOOiWITyX0pyDw&r@@(g<3wb57YH&RCQH%;a;Jq-_c^Gdxj*d>|z1wHK|E!RT5hf-}fj`(@0g)_lkFT04!OG=Wsp!Ulq zYP_e66hh6g4S&u4@jN(pnV!c(dcBc}vHXJRgQ5JbaQ+-_U)n|IWR$?&wW#UCkpoZ9 z?3#6rXtu2A>r-eVvuIea5wmgdjj8E?zDb>w_g*rQk&y}F>Sv6B&>SMo)Gh1kUPmAt)|Z?Zn{-I*9+>AUdV={W7OG7|D} zC9)f^mfzi&qvvkGm7jn^KUYYyhm=Do+anYnoIJi=;A zDK$a^IZx@{Q5-n&l3{NPp^;0I5L8U;=Y%I-30>x749lDBBsOl0)zoHA*a&aMu}2Es zTvRisCTTG3sg?yf(G3+n6ji-C@;Tcav?lmrB^?Tzq5Y_7LK7Vkfh8Le;c22mqrJfj-{RRAAUimFd^!%waPf8lhmWzChwV%Ze3* zN7`cHmOp9)rrOl@w(*NmxJ7F|YpdQpUGYW8;A(9x$Fz^BB1em>ml1FfZAe9R7F5}E zOAd+YJ6dvHJxsQo&DKRML|FcHz%-$6F8+I3J-$L9cy5)rs%>{)LB^tXnKbpMc-Bjs z_?lf7l*B~HrpUTb5=ln^uQovXu4uCENGC)eL2z_-((TQ;n}P_-WSCRSJStJU?M{tR z{20ti8n z^;2ijc}LN$FkQ74_LoInT5>Sd2D%tO6QN>V&!Q;*;~KPJPUA&qeI7PbA&+BipoZA- zZus$SiT#U+bZ>*whq%yyn1Z~#fXz&ql3Hx^&UEHB*VHiXnS6h&4tiQI+QUW5`@FMK zn}v%3kA)o6^3V^>=S}CBEnN~@-r36D{zA)BN4YI~ zet$pRT&B;Gg}E!a7f-RP`Y3P4g;V?Z6(d^M_;Y`o1fQ%$Gr8 z$_2H3C;%?z7WlGjWW`3;ZcPIKKfi+jjH|yYHVwcm-=N O`7ACcR{rU$-+us;BZz|l literal 0 HcmV?d00001 diff --git a/reference/wiki/idle/idle_settings.png b/reference/wiki/idle/idle_settings.png new file mode 100644 index 0000000000000000000000000000000000000000..f41d4b81658a804ef735111f3a1ca10094e03c56 GIT binary patch literal 38503 zcmZ5|Wl$VV)GiR*-QC@Fad!yr?h=Aaa3{FC28ZD8?u)y-ThQS7miOMTZq@y{ z3i<%&D5K*728Mv~`2q*a%*F)+69JQz5LNdCzsP~h#vFPW@qRRPH!*2LX@ioKfIR#Y z=D9sgF-*ZGwVxofm(nv#aWvyODDjhR)^nIc1>?IqCMFksJ}s?tFf8M7{lpeOKgYjo zdnU>G<$K`N)znn?^BoB=Wh? zV8i?IGVrQ&3KvqwVRsxM78e&CsL>(6tv6Q{6p&TkgyY({jjk{X3vXAEK7Z3#8YprV z#;RVa=i4aOQn|Vk6wrTO{2doXt%x^MUP7mmehL$5thic|IEl4b0PGAh^nG<@ig-`2 zt3=YO%6phy_P+2q8O?2bY)G7ELtA-=<}wk<4jLgVR4Y{)J&-yNgU-Y~<6{wDj@8rf-K^0Q(F;Bgiy<=DGIe^&dMjb}(^xUn;&6&TOb(cyKPP zcHVq@wqr;!B%ECT&hp!ulaiv;+VfwaAbf+V79BbfG`LpjKz?LCG)tjj@tqdU=m92# z18tLr4v#lL#!t$GlEUnmM>D|~E3KeJ$ke>`{^_ft`mc(utCDEP(@cwm+*gH+#_5?p zacSkucID~Ovtm6lMP6M5ss6t97vp$oMb=RT69b|v8y7`I16EA#dW65YLce*1@%5n2 z&u37Pm!#~fkTRL&ij?%wk(XeaL<@9NdKygC&}5sHhLm>%MqNV1vGk^U8|Nxnpmewo^86G=?yBr z?rCWJw}U1a*@5MDnT_ElHwE>o45)XUER7oQR>IdEe4p(hWwqLR{DQpRW2e*$du@EA z96FCzMDHELZ=Sa&Q0O}OYZ}{^zv!=FU=>66+KSgg;Ok$8@hYbGqu}Y(zoQY6S`LN= z=y>j)A?$y-OB(4&VAi<4D`H2$j?v+(nnc#h-b|we>zG zwOrDe);FxgTsbeb9gh`M)pT0^)e{urPE~*b24EL8@i$)%uMtfkyr9quo#beGrVU?t z4p@xdBnwgK3E3R}1rAmJ&C+vA%**@?i7N?g>+-*vmh&lp`$+0U7P7y8hH?WE5#OX_ zRfP@fLgmfZ+F{n;E`TsL>wg1(FSa=22L7JnS=L~b>$RA-&3+Hblp332INR=-&SaUe z6H_VO*G8>T!Q-C(YsrN?Xl594G1Ud1=CFcISXPpJCckWM9v6`s8Nv|-gP6x@?8&R% z;kBC0lJ@P2-zILeG{=-4ClFIoL>Q&&ahEr}bvFjmFl-V1Z4r*0FEpSDIJMtQ-_h_9 z`|dAw4E|+c+wW#^_%^eB?G_8+n5WAk`XZVJ6_@bCvTr$S!tU(6g4;*Xi=Zg!L?5SWIKwmtHe8u(bQ{v*k8Q5>96|? z)UuAy3RqTBf?@%9St`4d2n0}wh1-5f(kEz26q*^nkC|W17(bjpM&R3JrcIKh?#CTj zR?1(AH=`vHgqZP$qbmBZ2E4Hu_5O_g*b+wq&ZHcrhe-#XbSmG+j?6@UC1Z(U0Z&Eo` zw6OyLSYcSmCgavXNRL5|*{{tLI2>n59vFR*eX(h7itVaqJ&m$x|L!hdwB4H z0sGXv53i5**F-r62R%_yOEFM%$=4xZL(c7*zin!cl+jbP=*1C3`D{}3G)jqNE9n{; z+GLZ)|A3Vt%=)n`!H5nyhadR+a3ZbL?Mty!PylJXE-Yk7C1(?Jgf<0i5kG{^p6lE@ zy8J6-;DQ(_w1JH+9>db-R{Sb0LZ0*Jk5mj5B+?;9id@=Y+!u6laWPvcJ>M%$>;<_s z*Dx*A5sGhDT^U*tq4iCkhtJSk!VuNE6c%#jYTn^xj3!TCvpMSdG}~pW?S4*v%zSLI zFit44lkXeL6~}Q&mcx(huD@4Zf4AQMZX01ezns^wbX?Tq7<%qX3%?{(Pn0%$4p~D8 zCAX{h!I1d;0sY?FKFL2`lYU5P4OyG|Ld!8sNB|~8wF}^D!X7dvcCB_V_S2sMl!h67 z7dYqfY$dR!!dL)&>D`jv_ZT96O)US{%a*_E--Rohms0}#ge4gjcV-gJRQ7zA$Qi>y zb7L&s>*kq~k-=x&3uX|Irq8qORUqiNQF(r~)|LaL0A`>s{Wz?EEjG4VIJbJ3$DHZmSQ|>1_L}R(W0TkL%?(56edX zmU})P{Qv#+FO-V;@eg$Cp8GL+-@6t&4DWQ_ZDk2?(uTx+^J zo)+E>!T6(7bUc~WvTW#$#$!^kD(2$$$oZQ{S@0ow{NL>ai|p4_g*a@w!}M^owQk?n zr_a&I*EdWB$`*AQX{%c*pnH%7JR+^-* z&1LT=O)Mc_;waQu3WF9h5n#bE$!uz&T+Im(UGax2gl~U1X24%1wBH8UF1ATu18=BVk|9`QT( zWBG4yZylqwyKNUM`hsB?G;Xy}Q6U9T9o+$#CrecXD30iZ6zv4_H;kt-1U%&8o%w_q zg_ODfbcYK~-6B0q1b^H=9V98A+_@Y9+YS=tU3E0l3jNyurn8xO4usa2K)_BvY`9FL zRiGAKfC4~Q9Jq!@zUlw(T`rn6hK#_1$W9$FIcZgi*g8JCZ5AguQ~Tq=cCRL#D>8tT z6t-1~@>~TAw>-QPVj^+aBHsV3ywuQjRuW&aA$rPHp3rF!ZCd!BK!aEaBBCnLLbl`7 z>MA21(r5q-1}0?L8#ITGCcF?0&@FOq-PlWTE%+K}Ov43oHF`J}E$iObU6ZAaezKr+ z4uAq*mVx0LiSNsn&1~81T>o)5$rFxMuU8PzBNj#gz!oyA7Pan-rAT-xaT-!Mdh zPua+VY934}mJWwu1P)IVMjy`01|9`D;1f;DhLzO0mnnQlJ4H{vpW_q%DASBBYVm{S zT7Zh9--4O4d_x{!5XjdFHgii=V5-SR_7~JGQc%Tz;=WzfGO~yfx&>8-f+e$AmjBXK zl(aVrS6`V?ao%+H^8M+&*XHm+EX-uBLDc~)1Q8*4 zIA4yM65kN=xI9aj`84M-tw-S=luNhtS{?ZT(Yc(6KZHh>U7QX@j7FCK(4s9$qrzp9FbnYb)pV(e)pe37%@H`c1zSQBPoDA)iL8C>qt)XhE4t7Zk88;T)MxYx!A0 z0c7z*{Q0i7agCCoh*KpDWbEEncET7E_{2mskoAV?4?2J*={0iDndQ;FO*lCTp}ucv zA*KI=3w?8d{)ozD(+)@AX?VzMSI#3dLw);8sdt9JZ5kYl<`vwkjdNbN%pSnA69 zaIsQ1;E&cpdB7iq!_s^#9}5buB1Q-azzQ~zq1W3K?>^$vH-PD0^Kxpdwrfdw%=prNIZAIeKpZR z7dFF;y0TcT*QXDW?f-F?H$v>q_?C-$LVJYY^27fo%7|1%rWlej{Ih6U3?&h`CbGa5 zu|qiV5^1V$`~KYob(TTkG`NUjt!CeGw|N@&2xWOmjD^BlRv5)~APD;jQ^V@f4OpaF z(t;j6Pv?qZ8vDr+pE+MZGIEz2^aA|w6ovbOU{{3Tva3gi4v3c|Hn-G;EVYEQD? zsN_>c<_i(Swotos`L8>Z-fFsE;EkHCXURimQQq@cWn>XR3ll|-I+BfikxjsDZ|s8W z1Ma)xfZg@deqL?1%Vmz!iUm8Dz!}xo3AH#iLgKGb6l|tiN+_?G&6}X(Kr8AG+JpXH zZ*M!xh?X_D=1btu&4H!>@%@Rqs}hkJIwSAxfF^pDlxEOVW8diCi&msDu|(+FO~z$% zBWOt)2_ZVjbCkQw7Z()>lS}96>)g&YX}`w`+{(x_jG7D;=7@&HRy`%|%8V$oVnCLr zWw2ySDTmX@Jm1eN!zovH-pXONrv%kPJ9{=wK;$WAp)fT&MZ^uzh#A0-@cV@mZXgx% z_2+@AUaYvS3iLh<-s&#ijp%}sXw_tNz*KSw=o&alz#HUO4_#;MgiOkzV}+cEA_z)QXvq zNc=U=H*xl~qm;>At)Mgx5EV&WNd8cPZTVjrW!M6l)>Yh89LYL zv2j(j7$}gWUA)R<;IePOixGasG^VtfKM#Yu%q=WQ*iipdRCsPi~fk?u&z(kqp6&7=V`gL_S+b5^qVRGax=ff>(d)64)C zaHPM2_eFYiP5_5d89#V3)H{TF%zCaE1r@sz=lVneI0_}2m@71!NYWVy*aP#Duz5J& zKPFOX)x+I|_bvTQGukS2psM>VPQ?9O8juhjooOuYOB>=pHaR{%0js_oZdVUqPwY#1 zJU4MCEj7m64Amd!+u`=&V#kmg8rfkUx56V#!C!kYpLwZ+^!_;BZfyGx0mO?5CYt-N zK5B_GTDw7N14hoU%a(x$t5pu6O=3?dwUJOfAnr{yZuhe`ewCxTNm@uV^79&uKx5U= zId^#8*Ca9w{Sv6?)>mI~Hr3X~r=zngQ}jD>QS0!X0XuYru*>UtJWx`yV$3sJ&%b3Y zLZT#F+vnWITj^vj<}I!z#qeRe*u^Ss^JUj|pL+icyz6bp44UUuYbp+$%|dm)BHgky z5bPlSy62yeyvdGaU}2)+=21*gBUmMc!f zxoSK9Y)aHh6l`2*-w1X9rD{Y!f3N9s*S1=J-QJopy+M%Rdn8Y&$sOkuvr7|PJqEhm z-F`VpdR_VbAoXp97Kl5?*CX%#2%Ka3(s87CX6SRX5O|lWCAGvw>iB^2Tj>~t5dytn zhAkS}EFF{l4uM!y*-DC)=nhKWbg*>ruMr}FkS1Wsi6H{oQ-CcDWq}00EzPO`IE)~< z(Y9TVUg-8Om^E6(V{ao@ca%YW9*iet1GHMZZv$q15f6x95wSyGN{h+Ga(c0 zyb~L#4u=&Z6Dhf91s74J)z#H^ei+M{k`7$ojF5zT`-7Tr$CR1Ng8a_&>JH=4P{z}= zp0{G%?6*pzcld7IT#SoEZikIlyXv-K{=AeQv2w9|N9mvzJBAb?6yGRakJLV>O45t) z7Eh0^ou4UK!?DwtCVLxHhEY(2-yD@hTY~;lG6L>r#M@j(oqne&JTZZ=3Bn~IS<2FB zj^poHws|DNs1M`%oY*^oiM7aa@FBqJZsMz+)*-13?VxI^4R=F5$8 zHAzpJ>zAdkNd;6$4VDg<&EJn~@O;Ikip&+?OBQ2F8v}|(W5NRvzMXjVyc&?YL*jr( zxG4!fs5ko?2UsnGm&|DPX1N??SarXgRl>%*ygw`_eKDH?b)BV=0qo1K&Fc>07aym;e*JsD_Sf*4lr)ER+44HB^Tro~g_gl>auV4P%dgrotq3)UixvKO zBKY2kc56Q@y7lZJN9^}xveE~Flk9gIy+n>>&BScS=bY|hZeTs|;;b^rj#d}aB1hXF zk36)+x!zZN(9&`%bz7(Nr32w3nogXA-H?m6RU2%(nuVK)ksX0%v-%|P=l*IaSr<3T zQ3f1Ki%W{{k(jPb^cU?;(#bp{ zFX!1#*H6AumhQP4^_Q_)TA|C51IE`z3XVi9KbPQUKuD9rH_K{@B2J+H>o(et1JR83 z;b^=sd;;A+M@kNdB}KLk9pqfQ04AFKk?zDl&oIS@KqyE&>CD}&YrXhEUW}|)4f2s| zrO9c7l^mS0JIpr(mb~@FQ3ZXX*Jf;3I+9E;rJrFpdN__`S|k?S*Go8kKEamY}l^RGNR_;U@8du7YiIE zS%XICYEYJ;&iP{iJnFY=!+pzK_r#NQM~>_Y>)&lDBEu})CMR|ERc;2XaH`&l*8Vtq z&5E)0xph*u1JI4ff#fZPdt?WFdx7jXm~&&j8R5oirj zpUvc67Yr&*MWJ7D1}#-o&>N&tfg2GCsd!;4p*7Q{I+<>H-5sI50`r9Pd`5oYp@@l3 zowG(MU5k(46LF*v^TWEoBh~=_8K%#2IU-%#gvrzBrhC)AT#IxR9}5D=Tf~O5^)04JV1>s{{DM*N zf=%anyc5xJ;qDO3zrQ>z7&Hxdj<>?spAET@CUV0pEQQ0ME^9cdl&>M>uI2zwqvE-#L!&&pI$`;1=|0Ji9wSPzB~@klayVBZ07YK4xF+)G}=) zAu~7M%WpxG8qAE4lv<0Ei`51=7a7!ldKL3pJeD1dQ2bA1qLJ-``oAqEQp>|(L_^@| zcQ3N-7km5Z}xi1xtGg_MvP=6KyTymWxM zL{L;1ZgE&F?#1K4S$-wpzU*g`hG&)1@*^709X@spY)E zH31j$!mR$>6ov)rH)30tYQCD07Vi7?wE^uOfB%? zjC0&gmxhrm@jH(=9Dh*$Lz#rWIE}o{h&WE^01iypEDKAEw}X-e>ma0}hHt#Gb35jd z`ttI(vK1AK*oDx6XZ~YX?ZaN4ky#7WJeZiXKhewh9)Ow{TtW8N>$Iv=(TnIv_YCm+ zvtA^9-$O!{F=ojaey@6eVuMTqdBr8(U%#ATj<UgVs zQe~%Zyyq3#hmH>^R~(mhz{fAu?}^%^waOd3zwGIT3J!;xU1T_8($_wxBLjp>rc4y=>8Mxlh!GLjhL66M_`ylhg8J^cLJ3Euoz?(B~?U2 zEln!H(afZREux2*!WbJGGPJ8#Yhp4@`($!ZA&QHqTxZcwi)JLz)j$X;K!kulXM&j> znQ%4aj;n`N%KZ{HU7i3c5IH8Ga{k#e2Apm5Ok>vHh(!rP%C3iP3NTc0{CZ6As%Sgj zd4g^>7^n%(_lX8M#d{Y|XQph@aK*!<{#^>v$7)V{O+S5{I*mq^frfUah3w3Zg^I}X zv+x0I)6%hh-264LQhJNM85@CqjS{>U;k--DiiX38=_nhOW4V8!2)j^;vXo^TQ{9&&7 zmDqFhY1&1qr&>xh&}nORslk~M1n@5L!C6XO`a*|&l_mZW0l3zNtqfV0@Q0BHv>Rt| z{T&vvjD)0M+^?ltn?*6k?*6lQ4 z&GQjy|MxYX@Jlz_U9dsFL-_y-XZ|^h6F*Yb@h)`8bzJuXWu0kTM_D!+Xhqy30@CK$ zc&vaT`+5J^AE$VhaEF0B9y!l%1ZFi|F-C?KZ-0?+OS5h-DX0yM_no!vk!&?2O5Mk* z&dE@?V)Lx+7cD5sKzDBw60rPdd;{nw9&=f&64#(r$7WqS+a^Ch*5xgM5%)&-qV=t4 zwBu3bRSJd3Uw$GwhHALcW;O{Y_xpwL6rl9(6y9uAb$?hYbd)wHwu17LlvtyH@XMJZ zxW>0zlGs)iya~Ihv5K~<<`_nf{1MV3#GzTu`q8q``m818O96(Wz{A|VkltfA!p6YZ z(>B)n9%mnJ8NDhDD74R*7k%jn$Cp<4xfJ^M`I=#A?|)Zpc^7Lp`p{#h51OU5kRK6m z__77p_RFy#G(MD+n(i2fAfhO1M(Vc6RtV1rvFG3p$yTh6)m@xP<_i-Y*YFjIuZ5wp0HCIzUd8{d!DB$l?woX5u)QSeO-8QM=*E^qWCb$#-l3NLqYcMXdoiNezHNM!J#K#PFPqNNcMPnImx8Qn%()q z&cWdX(9zNHBsu)4+S$dRRZSVo#LPTARV<(Oc)8vppO!PBu|DOR?GDaJ79(tYz)C?u zAuLRiJz2UoB~sqTh5B^1etW6$;Ki5G^iWXH1^$?D;3F^Av7!KJjp^Tw21 zA{*J^y|SjeUrYhwEHW}O@CyvY#V6N>Ol0d2S~QOyXyOZhS6`S@*;s#d$7Rvgaa7)F zITl7V1jR@3;^qJ=E%fw5-pRy$IS7+V|0{7gb^M=GkL(;|AyE6sG|29{4Du!UcAZkT z!b#~J%*#F{%vfQ_L=*9+I$(eATWzpHA>alYI)ccO@z9~l8Rl!vPy_a@?C;&rVcM1p zWwfjB$9ev-7{W*CX1Ha}u(=WmUS3`x4HLXOm#)DxCCcYjOvH=Oo?6ru176w@@W!-i z=sh!fGAOAj1*Y8C-AHYb6RRw3*3CSn6rL;MDo!%=fSR%QciH9Msx=ag} z6Ky=M*|E$mM1VcZ<$GLjfskK*1yrdxZy4AKnwMe*JBYS$wniWhAN;dB0Ovn=3ML8j z3~~>s>8B4MB41iWhBGhGm6m@$IySdw)@o$4fe34uFIeZ;`q`e5Q-=uGiP#0vBThrs z7nf}bAbR0o+3ih)@pf=(3XY<_Q^Vb1hN{Z+q>dUBDs zd;IZwp0T#FYB2nzBsW@ zOlDAlG~J?Y?5Z-C13M&ytBs$lq&Y6z?DiiaknzsqLbTydx;7Z8qGm6VHJ~659^O6j z;)R6br%(iMJehXw^v(`GD(OqF-y8misn+gravMC#E=V!Y+Nrdf&K*rEVfKqw$Us(u zcM@;qre6c;i|Hj~Nnay+aEFb!NNW1jB^QkJS?h2ijud1|sUY+i&QgDGvzd5$U>(g2 zZwz~e+aNA(g~cbV^Ij)$PkO|w#RF#Z4y_j%B`5sb;0hGgkT%v<^x?S&cmYyw0qz76z$A4euMwD+@!jT)N*mQ~o% zo?+&kR+ib(0$r|3zlDlK`IL$a7p=R@pTFi_6(GAIziWmPkJ<7SAgR$vllXErO@n}J z?ZCc=liU(nHvx_Eb!-)0zA3GYCfuTFRsM& z)XrM+D*-31oX0qs|4+09-k~}}0Eb^VpKi#r9dQYrS0^sgU{pDuAeL^B3x9r`3$LS1S6y#9;nUy@Px_elHoAhFsR9(<&YxLEX~1PP)z<>6eea@(*` z_Pw%NBdZ8^;MKjl49>69XI*-t8Tnc*-8Lb1c)K)h_LhE^uo-QNRip1Nqg!!#aTbYK zy7-ipcppceNC@RLHAJbV^AEAW2p+Mb=~JI)2QkL`#?aLrWZ`VjeDKc$3z@l(7$s zeeaGnfEJn}V^8BY)_+mGdfjtUHMFJHpyx#uE&0No-AQSu#0>8O(qtpg7P&zf(gUcl z?k1-MAQM5|yvi)Z_Ib&kpEX@;`P5)r47}^K@*vUL0mA)A8~}lKudutjlRL}j);Zl$ zrLP;=nrjgV7a2#98~Q(Wq$WQyU4S<7SX=!^K}U^}KLJBD31{NqZV?<*YjeeAG6Jzu z;^?R0B8a8?E5?=zPkgzF3NgK{PWu<%&lhz%qmf-2JC(|6lI{yAAp_OL^E0+jDfe7e zk%AT)!@uXK&9m0gOQNz$chH$8l5pJTkHys%M&GS7NUMO{FrWSCGYDYV2!;Ln>}K{v zK$ajvZ(lm~qIS`m|Sks>;y9H-i`;&w(SJOrK^u4dY33eJBas49YXNg}8}p8MrN$m*$T1G^J13QY#68wAczfj}500 zP*GLXO=$c;rzp9H zi1;Xlht(0Efvi5AfBF%$s&pSf?Lgg+h{c~pd_%f4AN{wbYtv^mLR}g*AN5lDELG-B z2~%mGf+h%}?70JeTbg1WelpeF<)N&YrC$sYaF`ERl)@VZ`5}d7_If26w*6k|IO+Q9 z;b8B14={a@zY3N;$-wb`78&r-8X70)%roYXD-My5ru1&pqwZC_GiF}c?JyQsFopkiy0!!I299AqrD^0 zjub$uvG^T?lC(Z7l@W1qDP1d1oYv{(v~*v`k@mN3_zncNQ#Nuk8|yOb^4%2wHEVx;bd@7pJRfU+ zzsaua6lm3c;Z|+4iROpg1$73|LW5h!={$Z*2+4)8k|>T(+8fRFd$ECaH7*tb+V>PE z6T*W+L7u|c9Y3{QKL^=4c1Rm#G6WeR%D6>kLI}^K3$ze}LY^c;3eod{iks}ph>9=a zBz_T;4hS$epfaGXP)E4eJbF`-qB1xDatC2vL7QL?+Kn?y?=xwP7J!zf5fWl6&WELR z-x^6kZ-mGr`#ISe(5bQ)is=Gqg|luUjhMv%$l+F)O$mKG?K_&HJ*9K;mMj7;CbM{4 zQgLAb1U`|H=+2DJ>G#iL%6_JNRG-F=_)OTrOV^7@<20-zwR}8vMpj6E`BcV0lIi}f zfR;TAY}XUu>q^Rs%U1+5WHYwMa1&^JWO4rEFXG%M6tMMwTtPOqE3)5so0kA8>CdL0 zFi?Uzkx8n-AbM>!P}V|e*Y(K`&P5%qB&4Qw4}Mq^8!0y2GyDH(znn4fwv36<4-RdI z*s!vojPv>hvW1Ow=S3Gs{5PrVc&Zxy9RkgsmKnSI|eFfSO_6Bnf^cHR*K}*Aovyp21?R|b&=1I_+$#I;9Jx` zkMFr25dWvbN|M5DpEg!>sp?2e2H=noHJw(iUG+(-WM&Tf3GqaN2nF0u)e0n3-itDf z_53Ad&BL{}nx}grY~#1@VN^;Z5IKY;oDlUz)sif6e>2O?HJDrgV`8fJprHd;AQSgp z^9yL{=+Kx6jN+r>NoVTNBD_7Jf=TSUQ~XVGXc&5Q{vnys32Vxib7g>Jf#1khaJ2oS zwB5|GE$W$Z(I&$pt!5M~Rmu<$8{TYbqm%{sDhcY4dyarV-}`36#rwcEVEml~Gcq#t zJ$V`a2m$8$_Gy0!Ytr=I7Kh00hiOwnf#X`hAmYX^gz?e_^EQ3o?q(GC;9b686ksW; zM165RUqT`;usp|raw6@G6gWw39nn7b9wiGOR8f^s0|W0FVA#WalD*;QMO$*;7kx?~ zg)tJB7&u%$DB8fdm-UHxAnBVZPGP<1AL?C@ zjCB^Lbd-HN$vF55iHAH1Q8X#+>9TVU0HF>?)uT6^2FuHye;DG-0&-kP!;dYgNaFauZwZ7&dd7UJ3JQ&)V8ez0 z$68%ne`_2~G@uK71?$sTgwvn6R+aubidbH;+2#uT%l?D)ODjmZg9xhH)p^6{BDe}B zOEoiW%AFv>R40Z34cf_MB#`k=1{-3FWftQ#s86e?5CWqUx-Zt5XFPc3{15dY3*`!i znBre;U3nph3QVFo6)Bv))197$4;?@fWR4m?yX9|lpt_5@N+m90_76eX|Mb1EX2{drHzp$0%;NgnR!Do12vP*knB(V~1)q3scC zD}_O45kpT=wYE7GQz|R7&Y=WX+FDjTi&mn)n3y9Y*@&V*gux6UBwa0Vly+01sbWwRKPxO!}(dyHMlN36?* z?PZxO&B^Q}$eS-*vf9eJDDEV*tEQGM3=-KTfaU}!R6 z!D>3E()=07G8f9{xyO(0Ly#~WqnJ~&>yUZvWF1@6qP4LE%$hkoq1;#nwD-}KaUzE@ z7tI!E%};BP7^@GRM3cDuk_+I3&|P5@Wntfz6&TBSKjcDEYdg}x9jQN302&A5+xPkj zVIE*YbJPG=BD1iRBdNBG(oC3UQEw#-PfUolPT7`!%{zdCmxDmi<4V6BsU!VY;LemO zea05lDlk0FL0zuUv#KPgyP3uS!(PN0WI!smJD=+|xVeNbfW}|*b*$O<7(HO&Xa%Ge zR90G`-m9V(Y5l<=kyse8BlUx03?^kQ02GfOYxJ=m+116M%C478RG^eUoG2f6P+21= z!4qsi0-xPYq+k0aR>L~*I4luy*AyR^Ws37-I&N&zS4SUH!jxfbqlyG<*0l!o=5-C@ zdBWGkTue_cNs@0~SQ9o$Tic#>x+i`G-y|QE@^k+zs&W2vO>{5WuReD#^(|dUvfs>h zn6YGjOI(bp1pRZUn*z&q#&9_eV2~veHpjtNR2fl7AEcVj%aY*L*K`jwK08t4jaDb7 zMk%0b0%#}x7Au0Dt(4V5hJrI;d7Op|w8#;?V7oE3dIY{qx{tJfY5{3kB+r zDac6^l%zY)=8m{U15lRMi?)u`$MG%y6*9f&#CpVxIRSqEI*=FW{wDvbywEwD+z=$9 zOTIIb_4HTKiJvGNVSDyAgJoyW^a(6Z*}EWkcSOrJGF_0#DNPCvLRKQbn=Cu2Y5f4& zpn@BBe0nveTWL{SjYN!>V_sQM77TM^r)Ve>}+X3*wLB}&K0eWC!E{o#VS z=e3T*#;*(|jSm(Vl_G+M^353@@+_!e=$v7^@{Ir3DvqSxuJK{9<#4_7?9Q3p?p$2l z8|#r_O3QIKOGvMa$V1%k-=31Vw{!;I`Wuh$i>rM)_cvYB)QclKLG{|DK4G?waN;;M z)P@0YTeN^HWsDznkm@Y2^$}F=D}2{6 zot0EoDZCk@=ucy>`kfn@^&%I$Y^h^!PrgL)P5+4~Q#d9ha|(;U9)6(WVH1@FSCaJo zS1hiOUvXY1i3?;Myj&q`VWpG*K-?SZbI$y1d-C~i{Ccvt7Fu*=11}L%sv|^>0#$Fq zl%n!Ei6zWwv3hZTwgv0l~_GWZfAW{*1pP#vkERS#paa10hSS#>^?8 zQR?#kx7kA3O`?fm`(!+3X(Tx?kKmd<`8@~g&=)p`Un=^@Z^+fy!oOhQZ20Zx>8k`H zRD-exkjnFj8RsLV>H`G!@FPXKey@eDix~#maI4PAN*mirZYDnPQzKqiZ`&SncW;?O zh%YXpvO9ak_9q&8+tJ@+otCkV*kj-E-UA#g-0bL;&8bm15k^vu+T`4bEB^2B zE?V$SZBp{^I;^KGVYS}My>chQR$X$6;lyaw$#L2JpN@U>NnnaF{0BR~d){v4#fTAB zI8Bcm9~(F}Ua}xLZnT7>KKso(L#O8i$S-=QZp%PaJJ#r=zP_K{wARCz2x49!X zs`X8)fLw*lmMG;!VJB!ar<}ZjTL4Ez16Z&7lM-Fr_@@2V(BSsAfnyOYGEIMQdT{1F z>TWr0Y0ov?V5_|_m6w+7eT?41%PeK3sJ>=s7M$dEW40zNSuOxab|_D-_#-mKFO_~?G~I8^*2=-$9ayTVyIIeSP?JKV zK5}z#q+eACvfmevQV1AYG!_!HTdHjM3+KjP+=>^!v#b?;Xe@JY{`&vNHS5T7x#Caow8;E zc~Vn7+_AqQ{&t*i#r>*U>UjyJkEuRChwa6ufEYOCwW{{$L~76PZtuDb_8P}?i1GI# zSJjAe-$T7KsrHL4nyEX#`^^z5;O4}#WT}vJ+J&p&oXkw9I2;#XB6OY}+XCN!?ya*) zyCsMgbebOIvk{(IaJGT^8q2>DK(TC+_KR{vfMXOaPK`RIGoy41>EWv?tsA4%-5rpW*B?+o@`!7T$m4{L7`ge9JUSQ}phdf@hUU@vT=G|^f+DIe zw0wlo^De=JhGpUnKS90I1lcluJBn+Yes;zWP3Fo2AnORY#2Ig{*Z=0YA=bpINcaC1W&Gd&0=No%3$Y~|C^>qczG+|I{Yi7Y<8@2z62u`YJ3+^@1DJJ zs@asibp98PN;2rVhXAa=174yf+Ww-EV;{|j|K!%7-YeKa!A?^;>T}m*|J`$xZkXM9 zJt3L>5owRJ31p$?O^|c{JPS=K>^tyjLaTv(l7`-g<@_H)*CtXbg=qdaRBPly_jT>; zil*URS4a z`lh|`8`lr6H;_eCl!P@;kvB&|18zOO9*RsR=#kc95@SFB^$*tRj+%C8bWg8G`a2YH z_)z3{&yG&AO94C809_FNVG6FhQv|e>hBXJn4QsVvZjkPZcTR;zp*F&}m|~eDs$B{F zb>!NsPU?ghv@?)xL|e1kX(B;6e{zmcrVz`H>3+^}P0US<`X<3NE6nL0aeQGHCkz7&n!zEsOK=Dt+!EZ~odCgt2X}|yF2NzV1b2tv4ncxLaJOy#@9tK; zwOh4c_e-iPOwF|2?)yCF{LZ~e`g%7Z6psMl!S*IIb|*~*{!i^0=<@+G5ek#I+#dKE z#x9Q$@x2|VCidd!68LEjgY--}IB8g@T{-)5X2ve%UpeBJUH=Zo$!craIsZMDJ-LK( zR5T;g#{DfU;BSyOUL2}DO-#2X+%+@x|LoE8`R)I0&B36=vMCf1BmYb|29!8AQ zU|@b~Z<<(>3SDS~Ukk}cIuSQMQ7NP!jB`Z^g!wKW`PN@DZ)7fe+YL|Ow<`M~>5Ivc zzl#Y#BtKO;LqTFrO#4^8AlIJuFBdx#0P2;+9Z~>n@$8cN-0Q2V669>JiTQRK8jo_bsM-l+)x*g zci-9?*-ufUixESIqrMlIk7TX@6A>dDuaH8ZzitWCa=+(r_k}H*ye)y5sAOa<-o z(nDj1U`VNGG&VlpO00=jO?$QAQ;G%7jOEcCd}gfrPx-H%9ns@`Y}2$n?ZFyNI4GBa z0;o+*od?SF3V#O+2gL@C0?=Y#IL=%9JRJQZ2BB`J@hwTm0MO0xSn;tsYt)4U+m3^} zlK?v1og?4M3KCZBp}U{}Hagu-P;S2CpHs0lrIZOI()otoBetkIP2guJY2F&=sx@N& zRh*q2jW*RtC*fV8iqubSG0G8-2>o`-j#?raiSIYCvDkUawU&e#lb=-96RH+tzoi!M zeZF+UIlbi@3A}VC`D4&=#)~_aD2v5fkrZq)OR`T@b28A4odv#8kAnDAs0DaN6JfY< zuj`oDJY^}Fq8#hAEH)K8r6l|>2}X`0|4Hwu5{E64Mm$G*LD0(1d3=R?2S(^=JjkN5 zc%5i^6u36R@QB8WqgYfTmyQzv=7FbSiT#WHElkIcl*LSyehN}tw`EYstDK#(x-2czB0MBdqfnTsp2_?6-`88o z7=YnI!y^vk)hD>^OJF9xT{Z`LF}=OZ=ar%_~TVmuI&=;%1S$_UxaG$BiXob}t#;zWZ{Giac^ z8|TRz{h8zmk6YZ@#e1%)uoQ{bvQv{E1;|oCIlZO?Qe9}Y1yEt7T4X7eX1i^M0ltlPiBgOLKxSAcgq;sFl|oYxy!-pEvK3T> z@e{FdPzV4dKcO-pe0hs3W&3edU@MYCGZmo46m*(> zH_Hov7H3Hj8|nbG7=UK9Zs0a~Q`_;l&7vqNYJUUZ=0w3CdhKP8++nKOOo-4~IvyRT zNbX2J<*fJIJOP+zi0K=&Yf`mW^imTgmq>Rcx|}=u{4*pX31pa?b0b>>3m-(bh@4sq|JMI$o~ld&^y~}FhG9x>m{+d3l!=WsXEC- z{%9}jy5>&nY@p~ep7uUMGoS{$dbeliq!3I9FiIpY9*%JSWBPQ_Mzq;p?CdsSMa5}j z=ci~b``nF7y5dv7??drGBnEgbe8db@cqS}quXGJyklqA3;Gu}*n}$Lhwe;2s4@FrX zpT_oUgaX&V^2a&8RZGP>{KTGukHew73`R5yJzI*%lCIA+yo^4p-XxMs=|_d)=fJ(y zi3WQT(wIE$Ff*95UpFmp!g9~O=4P_d9TY1rkL(WcKSX0vA3Eh)tb`K+=mgw zXAm{mny3kg<=gQI%iRol3~g-DNoOWMaQ_=pRCsMz|Mn!`826gP z6QCH|f%2?@fa03mv5OSr^Sb(iNje+0(+B)9!k>+69ubRX4GO0GbLpMYP-bwp56&) z9BzoGYyxmt?krQ|N_Wa3U;+_(dAvyVQF?GO0jmfB_uRRfhF%{ymKVKd*OTtd4%Om} z2N+dcCe1*nBIXy>@zN-Kdj6kssn<&o3j;bk_Uy9^ibKhqV^0+8yL8eI$9krtoSF0R zsI?UwqTF;__d|qIVo!Mr{MzPcIQt{u5?p|Mf@V5l&NkKI9rr49zX7LAvnj+J^oU=# z2YI;+o99410RGKTe-s=WHhcr)M&u56Liri5@3`elq>uRDv9dZkPj zlvSV5JxMs$5;7yd%JH_)LHT-V+W0snESustL8mOgw6xp_{0{Ez<S1yh78|ddd^m4L{R#HznR)>rjwIXO-*;4v=&Z8#_)p%BXSI{$HjRf zTtv9)!x>NGvt2z#m@IGg?cDTr#zX<(9qE^MZ3o#hyW`9)S3R@+a{aS#9*bSZPka&M z8=|&4X|63scDw*xx^iP@v3id6Zo9AoZl^ZZ4G7jyRR5{ha@`8(+klM4M+P}=9P-|E zE~Si9(-}d)#X~z-CTu74j*{W0{D1I<0evR5bAy4m=N#1K|!p=fH)&Au0X>q1DKhNN4%qxS=(UJF5++c;sNP zEBoQFe*ww`GEGHA=>mTvYyKoo#R~;~MIrm+U^?M^d8MA3-qTju{Tgtkf3KGd_OQ#$ zz2`(qvGFSj8M8G+3H*)y4@HzjzB>T+VjoDykn?w-9s9Iye*FuV)BOD_pGCLDMyN8y ze42UUwcAhlCD3K76Yfo2c49^fWRD!jbiwXjtO)}KEH~J{WZijAT@{_~A4Vkp;)Zl5 z1Ud@tiEA#6`ZZz@X=*+n=oqP#>=G@`&>fdIDp^$ber6^sK3I!d=cc3X;(w!oo#QS& z=Nd{%euo6Sj#Llar=E{z1Idh9HQrAiT(Rp`2m^b1uB-4{?2N{YSZeKC8Xfnu4gyAiacW2O!5P$R=QTw&%a#kD}?8XHAFC?!-T(C zYW#3IN}#*>wR{q_NZOovK6vv!eHl6!4z76@(BIH(ul3E1|Ha=gGH99u1%cZpqwU*Y zxj6ry513_Q<_!7Y_S1vWj{?QG8!Q{>M6$H#Or;+II}B~` z{Z*yUShOBAW+T%3emXl(4qhvI)@oZbRLu0>$MlU{v<)D$G)kY_&yA{v>4*`$4>dA5S!+{^ z({J-&%N*7JpdCQ9DGGxiBRUDB)MVO5&p1*1+@v`2gXwv9(Sc{)YJo>H%_z3Syp;sh zWv?V%uC!jyPoM4d`B@8dTau^ca6el3p4{eOd-tz$cEJG+=W-hZ*7Iu`)upB)ndumO z*^_9I#kY7A=$RiuAagrLQ4$0Z8?qi;kNfh%eZQ(yR{}mEbJ6MG4GdN73jz<-q8W;k zUwdlNAza`EcvSN}6y_$w=f^wqp3~ADv5x~0Fgjf4ftuCE60PtOYjA#sbjEi#M`b5z z+q`uUdrRC?dH!CSYY;%cm9Hu3Pn5`Pd7e)!$UzzriO z>gUx=Pk03L-CZ-&XV1a8bNDNl?Ia?uQR9Z7CgJU}TwL+uK%|blu`frAhs``KB+ITT ztnVh{*|6BkOjbh5C>YO&K0wT#gr3i0fN5(M%_$y8vfuS|_JNUPdOez^^O7{ru! z*w8MC(`Hz({8A1oTc-aNd=kmuf z^Q(vOM9_3#;`6&XGsf3EPmYV41{W}z?sn1_yAsDrUT4%?wL9cS$#EHh7?zL_;+GH4 ze_U^O-NI#GW{(}g`ey&1SXmx*mdj43PI7s)cc}?JwbNncNjoR4kjbp)B*Dra3kw`< z;*8H?(Je6frSwD1behN!@sjJIHxFH$iXk5YUKc( zCMkz`u_a3Ovszg&LoMd@Rf~;RIx-Zfcq`ti5T<-M*x&#=usmZIma&8}^ z#??55xa_McE18+@;^!95Z$^!1DKmz`6QXI|}|0l{djVkAW z*R~n{4nLw#kMScsM8_+bHwk%_rj^Oqkq%Oa1VYu-u3Mwx$;7Syt;1_T>xnX`^;$aO z`329!IB(j<52ApOD07OBF`1d+pUBAKqDd3P3&qGfi7Y}aEp)igGYME4i;<2!-DdM9 zLeCRKg*ym7(wFt7fd3PHK^_I6{}sB?5a}OVkO)&`(2&$RP(4VuPvOqF0p_FIKMtc7 zoIrR*5KCGy9#|GKn#n)!oN(~*mgIJh^xc>HyC62(r`5KY-UKBOAP(0M1}Zd3$U<{y zUG1TOjj@JM9I?aX#~m@$?^vz+j{LC)Gi2H-XqD`7c~zh4s{c*-i?Nye6nFE){W1!f zagivDOLR>QdlQx+W0hg|d$T9BM<>AxCSxWCO|9DRzD-IGgU?F(aRrC(Fv~_N|NAD* zXdui1Pei*^`y4RwRWY2umaN8TGC|57Eul%vd!k%P(m^Q1m1vEtE`)gK^6*XSzjnz- z)!(KF`&Hw)_FU`-V#ynRsCD&jy`o_>z+2gN?nnJsdb#d_`;%TqxQL}_8o5P;%Fsgw zo9zqnr0kPN3xv*3+cF=8F(HaN%{_JL2M<3ZeY+n}%UJ;VWlQ_Q=|jU=R?~%DvkDczJrm+|B{yApt39 zJM>-57=IqtZgN~SKu|MX_w7WW@?&5Rk6BelN$0FG#jZcCwf|&GdFwsXw$54OK|nG8$Q>5f!q7hPMpKos$9RK)tZL zzVmNDilU0whQ9wI&`d)WbD)9qnNr{w_}l87T19@o$Lq!p>a;$USFNq3-1-Nd z>34*c;l0WHMGapS0i9Fh*n)Fg&{|hDPh$5U)Md6rWsC;}D1u3@1P(87CEF(9{WwWt zC8?_^Mw6nbN0aN@e!KvEh~kz^#FQEUk{^?Obof#xhG{*I)%ZAPvmdFUFKhg@ogy<> zeG$!H9V2~0WA=?T&#b&B5{8!jc@*I|=42(2;82$-denc3Oy-w`h6 zFi8529~2hUv>GC$=Opp2!!09h7;~8|AMQtv+kmv42GQyA^YB2tXueq}g>m9m(x3LF z&kb{czsx0IeZN8mL1zByUtB#ffROvmLd0wsu~6rBk4@2MjHzbqpg0={LM>yxX%e__1^D4{U z+XAIJ3~l)naCBh z3f1xUw8~$VH|Qx-UqI`&OC8Tm_v061Sb=@Y5Sa$Jnk%hF@lj%8e4%A-s|g(`H|)j} zAtQ}G9_cT5alKK@M>vyS8PPV38!m)D%mo7%hgttw8xz&vAXPKO39|6<_C?1q&M413 z+1*tvatflWzVL0Er@rhzHno#nzHi;!|1$*)K(awKBcfi@(+HOK!5h`lZuu0}E;0Sg zO=b6!z4fM#0q%#8#?9*|S|YXmV~Y5u$siMnnriXUbn;oS+lil!5qew^q>-SywFWwO zoc;2++nQ(A9CDT%?##2D^vC*miWKo&B|MPR}bl-vF`b9BSQytjua!lQ|w``(6byk2V=QQ#q zNL@z|r1}R@oBb($uk&V@TFxOsOK__-(PPcI{6|r=3Lc9BMi$JQ$CME8Z8aE4EdT^ z><|9Js9;U~{LowD!GZ@XgFpK}_#sn*z`N1%1DI;c>O*-!A$qhgw6=^8U#h!U70dtE?V>=%HE^5 za!H@6M%nin54Ag}rbuAl7++)Cw3tPyafB^CwYT}Fd^<}zhSy4cxH|suLr1BjTi(-| z8Go(K()q6JLw8yCNY;_l&c6FMPli^K^!l}8G{Qwc^301CQ;Xk>t2LauZ2wcsBix%g zFfbTCobd{cSRWo7Tmt=D_nqSW=z9P!7&4l~I*h!em+5{emuN~2sVX;c9HO2;sJT?V z*ymI!!ah-iRC(Ohj4(d{DHm?T^hI>?Q`lbwdynq3^-n*FTBM~en>6%k{FqMbJ%R>X zlT-r0Uu~~${RS{C9sB*;^>fUPR--b~-$uF-574!A+;ylgA)DuLLtpDC!)oXvtz24Q zzS4x))*!ONv1QI}fN6}1Vt$YRIAHzS0s?U~x_4^VY>pKXJKyJi68YN>#M2c_wxb}Q))qN>n$*+`)bor0J7V2?q)4_UM`q2)$Y|<{E=qDtmLjI4!xC#a zAMG^Gy9>0RLxA+COTeF)`rD(nUQD1^%KlkqpD?dLYwoK=y!03FQSVX7%G%$bZRhWS z?5^IUQz29$9w??4MC#TPK;-!Kkz9-oe8#m%?QX-@@I=#4C3JL&a+uNdsh)GBx#1J$ zez(mV?dn!B(O* z>EW$@Jq;Vb66yub=n0AQgvc3Je~bV)?kKO|`tOzwl90qGJJVQ=-A|b*;QJ}rJQ=vv zv8vLw7C#=oK7E|=vv@e$zlJUPT-Rnhs4eLBb;X-oR1eTxOuRx0o`_`{8V)5kR!LV) zkr*2;;s0GcE-3)g-w0j&{`I4qC%jelmRD>xgLbsps=_hFv^U1-$I&chw4=S=qoHQ} zvB+HDFCVnfus_g8`*O2+BES9e%mcju#sqw2+$>c#K zJ%R^W()#)(85rAQQ=?> z`C{p;*QO;t(6rP>)mD0?;pKs^aS)X_e{Cc7L8W{5g%1%=2&mpb#VvcX{zv-IC#7LK zJF5L%!xX4zG%{;hIg(-0P*h>Vx=d*$lJkvxoiFZa0d>w|dOOFpZ&jEXjZj@lDen9| zOWURL&A-*(K+NQT|JaZh&`BtP@F_*aCzUCwiR(BzI=+%8GHvFb+DiyBv%pmSf3Ryn z+^7W7RCw8?LI8b@iG(ENj8kMEpS53Pp2YqFQq5kKl30_j{efpzAa9rA zdk>J&>N#B~Cq?8S&Wax>*R3DHJ5V9n_-OFBiPvixi^VP|`g=Rs;Gdl8-T=u!a7xsF zxs5<4*om)9qtcu!1b%vWtEp=M8u|1iiBU@w$h>tw2O!xSpbB6x5}0iMdiW}nxD1*q z=(8Kqn{QPEAU2_06Ks7(9`gA~(^fP9>_BFyZ6o8D##qKtw$gW%oZ@O z?pag{o-i0MZOuWy)H|v}_k1Bul6*VA<7Pwr3knKgu)o7bWV&#FSsTS=du1R09sp^> zd0)1CPw6c?JJ#i1!z8zVK?{FVqW-??4Z}(m?y4{GBV>=5QjWAW z8hivEH?KLEo`${XE*WNU1kamVdv3khZb?}mJlTtlCd4%?o)a$t7??nq9KobIt6C|R!78GIM1J?;w~&-@eQ4m;?`rZ-Q=ohk2f$@M zg#>$oSdlzkog-Wsppmi|#iY|v?EM)-F{HJJqRTX#@5k{~n6DaMK*y#+ZoJ zA^ewLEBPuAkbLl{N(52+JRKTboEBjL8A(7N?qBs0$$Nl2-U$P6FENBqHtAB3&QWxI zIJTYKveME8^c20z8JcZGB6}DN#5)^`NY6a%HS5d0Nm+poKm=*cFi(;?na_=Cpn5%e zjlPn9uPIgPoCB+U=!drKe!a8n%r+RYl#3{wEl z9z{xi&)ETzvyDf<{>iW66+mvUXJT6{u0(4{06ieVKOLy}tiFvJrY`?h?vixuat8t4 zWSm|X-w2x|go%^D0^sw$MUD%s_?6DQ-UDi-z%mwqfWw~dN2j7zxMd&&T>4(6bfB;$ zCi5~EL6cU;hht4j<7+P>(tt{U7l)abEG{TaIz}Gj6+Euy?kxw@IAJN_&dm>(8+va( z_$=4s4X_pEcXdw|e>BV7N&%vo(?5{XZth8q{*z2p-ePG%h#?wy8|ON*i#-_|B6>le zeZ4?vtWNP?&I1s|0Bh~_@khIcY(RrF7TE7PNEq=e-zaB&{1W3Uf!iFk(Rpq74PIh+k>gqh0^kthItLM)+5#1)yYpq_}oq2gw+UDwN z%F3X=WZn?YLk7bkL$&0m1PNtyct4jw7*~E&C$F(=J}!;9z|sE5qd%!VKr3K0^?BMm zQ~oPejrHfn&dofLZ=SWN{sxq;hE(UXDyfo|$2GJM8SZxa-o9QhUv;RoM*Ap`)J4yR z&e;ZtdMH?^Pk~5mQQE<7CCx7rK0pt@TJXC{%!g}yR35M{3N9lL0P`^|z1s7&z(Cfc zL=tuBZ`o zc&#G?pI)9%UdT9t_RV)jQ*~kJQeX4X)f>eM`{2b;wMltAn49+~kk^3Jbw=b5r=4(E zKQ(}bZz>{T1h)Y%bA#$Vpn(#Yj|1I^>a#9jT8Sjr?fr0U5oh(i=k53DJJUO68U?3z zpB}GoMYRoij=~NxhP{>$skhxqF<7Wl*6(B@w^<|)%B)3s`-^4xT@O?- zMKqlA$4_$Xi)A}N z`ua_&k@@-1CBYQt3V12j1QO4Sz5Qv{S19oHU&@W{pm@9{qK_Wwe!H4w zGz}5Oox zC!UGq^u1Mji^J&irC6mARr$;R*T}o`)yV5q1xUcV$t{{z)VQMS8&r?Q-e9uOR3P}A zW5DJ|khyu6{%b$Xm>l=pJ}y%^f#W&83wDkcX>I zH7&bA4I1K4^8as5`w2h+jiRhH)e0sNK-ZaObynsha2GKdhJ$TTX$UGQE>_ev<{{Qq z!#u=M^A|oO>l|gf;kbZPl`0-*JO>OfqG@AkDVIjqIbTOZwNOYAOMNmOYe!$4y>$)V z+`rW)h#OpgTv-ubT_R5Z4CkIou;|wxr^+)UOu@m=LJK{JTV7!usNS}L4igDZqN(tr zx-Jr;ur*9?QW875RSc4YN}Sw@bjVZveFzZU~{N2d6Vpg1l6Vyq$}^?KJC!iSn~pl^4xk}+&pOtGS!kH1 z@jK4yJi`}@uKyKg*5%XpOzrRjq$l+3^Wbi1brp?97Xjf((sE!oj`ya6Q10bR9Vc$T zx!;Xm;Ntc-b*A@?)PAxmx{eWTG8ONFR0a_1}I((S-|t2YS7 z0HL4ygee1Dw58{l@e1!>M+*~r5iP8dV?Dt8VFM9G@%y)b;VxsU#QC19F+YmrXhBXl z%I>L(w6vFejDV`Y?#28Q3Qz%%sR34FTD6~n3vt&F2wO{YFR&2EvwAW@Aru5y&-$dJ zr!j!qy}7b4VFq}KjZ@R&O*UmlLu+YoK%rbBWI!yyXDhYQo!$*+?bMXauURhrU&&2k z+$AJ(^vF6l9s8mun9J+St3Qz%Ujr3th=koKp#wo+WSibfgt4hHWW{CKcA{1|EbPxO zVEV4?qXT>Kw#=E*Tq9X=Z~?0&u|U;R#1}q0eB2n2-+&bah*ewDSXtkv8|s@U2KOHM zGMgTixbKFk4ZQ~G)QLdg$Cz6NsAZf`_QQsTN#-{h4V?HYWJiIIF*zTGE~$$7J|*Pr z9RtBjr3B{HMQC&S@9S{c_~pzj-jSO$>N@hu%77QR!*ZU1uv58_Id{(C|0%oi8BC8z zG-Tup&_J=jSAmJX&%^Q`Y*Nqg#&?-vi+=ip3o4J#SQlwAouz7J3qO;NmlqUs?v|D^ z5e(wcdyn|ftz{U7FHo8}?ZmOdFl%d1P}8Txhd`8H)y#V0#YcY*EdV;Nf~wBoLaHAY z`?ZVf_pmNpuwBQKi+aYzu|8<`+Iuo z&}e4*N&KJFRi_Tmao6YFj2Exn6{n7~I?vXM<723Ro<}V-Wi_vA5O!9R)us5Of3*+L zR#+z^i6rib<}pL}tz3ky|C1`ecjxJ6P>ZA8@H{rJ@YV`3kz zxT1h%3TVo=v9$N2g2?^<{>UffQG=^K&^DA(t`QY4b{=6zerVvM)+)0F_ol-ssn{Zz z7a1Nc{p(e2Sr9c;^n5`mPn+7G^(BY{kqbjCas23P&}SGDR9QsHx*@&Bti;HpBpdRg zeHb7kF<($E2VLR^_BVt_2^heqQC-27au!WDFr_}d(45XARBMXN(677LlN#Ig{|4GySN*(TC~petVLr9brk)i z@W&6+E`RuR?k|F053qd;6^|zmY*qg$lEF-U92woe*igWm*gsylxC{B-&Wnenh%a=P z-XiFIbJ<7tQhC;SM6?RfqGTys)g}YbrU=n`Cr#-I29VrO4@O2Fc6KdzVyjex<2zmI z#Hj8TjW{48RtaGqKT5K4UM6>mmxjs`A2voOwA-Sgx0>%QlLMoKr<(fZB}2U5B5yAc zwFY;#`}eP6u}d@((pyO~a(ejsL;FUZaQ`FCZaUQ!g z(?rxi7(@Eg$NELA0|m8OzPapjqBy4{n!~caAO*0wLaoa$?KA;BzQ)Rd<3n6ftqx`@ z-(a_u$#)JRS-(X;M;#r)Zt@PmvUGc;CLpq#yQBptEn44LR|o8doXgmTI({^7PG%lua7W z5rP{I{+)}krd8mQv$ilf`zA5#-2>C_P1nqUNP>Vrdnue&m?PhVtPNV-s;N{Th7;e# zb&J*i&K44KCZt0YH7v&9QB$jSTohYFS4jSSv#^=Y+CILg1{aM>=aZ*k`DuEwB6(C;90gv@%V{cY`;2G*nF+{AzOF* z3vX7!ACDJOy{n=sIW0B*_`Y{FvPga#Au#afQt9vXq)EJ21FU5s zfdsI~qvJ4Ybqchj3o1ZiL(UqBL8f4V&n>9-p$5W3WbIxd;@+mmJik=`8Rwvu*a?my zB*Yn5NRpqQzqY2vZL|Uwg$7>K7aEEKPN)iVw0q0B@2lI~(t=@u;^t?3ng;5A+USEP z!i}J2VnW+@d*9m7fXhNBOu*-`Fj_wEDL_KAY{S(mg1)UOl5s=@?vC(XUN&PRh(!1( zo_UI!x3+>H!Y7zrSyF-&=)dGEc#3o&a)}fhJ!A@cV5Je|!!OFsg%uSQ^*SY ztEd2;n%(anUwbbzr%Kw|X_=Xs2?^N7#3RGQ>q$k0g~9P}+A%k`f?SjP5ZeVNh%7~3 zWQ^a(_k{Y+&$rdCz&D?{wK%FA-=$7TBAIB3CC`TAyo3VpwqF!3Zge5CFiVvVO~4++KS?aj{6(% zxt)@EarGMza@z?wY=S$eFhB;0bW%Im)#_|N#8?gi#v&>zD)+C`dMB)={}+GaHpJrW z?cjCtlxh=eFQDh4cwXe0NO?LNwlm{4@(aF%BVsyczVUqnlEFsxSJr;NF zJXN<8yuca*_ITdzn184>u^jrMxABx1Q*tx7$D#5Th{^ z^k;FAE zTa93{vNt&mU;ae@VkqSRz-%A(AQOMWjd(IKHudNJ9v?qM5gz5$MY9GVD9 z|3vsAwN6)I5C&jjlf+&CgQZC!E-o$zAOIbD(1MQku_gUhr?~fQaK&s@j@CMaI;Opo*DUv39wCP0Nh5C3DBxdL9Ll}c8Mq#Jo-I0mifVxi$OY(B%r-XOM>dB@$wA#Z4lvtEU%LN-ky^6oyGjynwI^Z-A3SHAe^I1h~#}2<_P> zQg|FFX!+@?co|tj19OEt=c&DH3FRwE3E8}wn?v$)s&-2rhZ5rR5`XTWw&Gu{W9tTB zL_|j@4g4I&M9!z8TlY+FVG`gD^JPOt=vo({$CtsE#)Nl47KNQR6cGF4(+1}SKKFkC zEt74b5Fv)5)*>ygXy$dd#Bzk9is89-alCzRjSY^ph}04IAtNuJw6InA1|bkHxYNWJ zV0>1n)M3@=I^V-xbf0(1OrrW&cE1DTbbI>i4A{W^VkOXs1Gyp~5{XfP_&5=hnp3xs zJV2S%pFT$BPP0-#EifgKaj7)3+L`q-7V-NZO{m{VWT9KaGm`zp)OGfX$m4bzKt$ea zF(#%lfv^eDou=$^8z*$nN~z;_+SHxO==xf8nU1?YVuDed%Acc{hpD`T|CCjb=MX8r31P1n9~n`zfyz8X*|OgzXVNA%V% z1@LbG`6N7V5y;LtH4$cj)!DL2RElcKJ}JgCC1c`Hz=X3AqS+F+o3>O%Pfu;gR585u zMZO^AtEW4f?&|EcH(u#t2!`#KcUR~WKF`(>Az10PgAJ(qC2MGT`nApH#XFMk<)hR( zVWNQ<7&#zuVJn;I@$D_o=@MDay}fOfu+v!|9$dle>KVe{n!H(IJ~z81?N&x&pJEe1 ziE1(k7u+V$b`&R!8rWEnm~oIL#x38E9sw{&(=NHLtf)0wYR>`(W^XbCf;QdOz}&}x1HtPoeVcL;G3E4q zRYHIcm(2p1KJCu7@fjid2(aKGJR;V+VFA54H^wi1uxFITig1=G+1;$|nBebKZO~*^ z#9k0|M>lpuViTJP?1NaO==&DT7yK4hfIeF(fy+U4IKzQ}a@xevf^3(V85NiF2~H+k z$MNue({U~G??@#BPr7)OB$jrDqp;Z zVhX9@;8O~0 z3f=6?jPy0~Mi@>r`pq}0zAjW_A|x7Tz8?_OXlGNouD7u0i!urL?qDcAvH|L*gV8>Yi&-lkfg15!eKWs43Vaa5%9SQ`YQIY7aNstZfE;ScyIKxIyb&N0WdP7zxKiLB?kt~B?XkJ|TKS5e=OT@t&O zeXQXXq5el^p*h@$40_VR*_f+?e#0&F5*VnyIKV$J@}|t&d`B1KL*1knLkOvYV#EP^jnn(ZY|S~p0O@iSX@?O-R@|SJu>2H<_ro%*{;}h z9;~pwCkga~VtAecI)Ari6A?eub0Z_=Q7fDZWH*9GfB1Kv;qQBa;!i3QYGzB&T$LOVC zh{b^pNg-Cj7FnyNB%9&#bRj()l8qj5xeOw}x5I$Pi9;vCa#ob&98LC%>?0mLN+gBw z#BO$QpmEo1ldOQ!41qWAdnWxX7?w_)Zl?G_KeroE%*;2HDgI_TLO_C7V69)=k*%ax zY7YZ8EV;daaH}}NO9TO&8O<9vJZ=(GDJ3xPTPivcL-Cqf5)0=Bm!vF9E0>3Gr$FxP zSyCm%yYKYWWORDd8}4ieUGy}c@C)3cpmp7^ufds4DMbjIBUvG;A*TSO=iQ9JQqMxQ z$r&RmZ1t%;*Bi+`xu6_YlAK%IZC5`E`tTeuA0JvIjQ2l=_vGjzOsB5pKXEV!bA(nX zkQvUMQ_?9VvFvzhbZrt5_ano4Cz+bqLrdn-FiBD`dSO74H8y{R7x%md-Ma&k1e9xh z8XgNiS+b|H<#ZJCNNni2ZAIom!W}5JctN)q5GXo{w$_;Bl@xYlw;-{s?P*np17ZNq z1)j_B0ITJoj~%?(vpOe!_-`{%9U7?RRto)yg^zR)U1$xQCHkmX9@Bk@6kB^l{nytS zzp|I>g=pPJ30uTRlg>;SZ2k7bsYfTzM6WEFItB8lEKT^v;&Mw5oU1`U%}Q7cKNYIU zJ1E7Q3;yyeGGh{BOSFY4O!z7)35;HDC8A*lqWUNxbUf0J!<_oME4Ys$l-%yr6A z$*loDj1He@L^@VgoX_4OkC0c9i;olnF}l}0!Y8m9MTddGx%?}% z9!A(i@rwA%pk7V~oL($GG+$wFb5J+JIGt>!eoA;B@lOI^4Dg=V`^}5yLS^FMsuiO| zqQf0TjHuy?tEp+*aY=+#?x^iZ@DN&)^C0MuI0S6tn;?at&wRR3A;_i`{R$>5j$|f8 zssSjuuHx-~u|3oU5P^^17CeL7oterevg2y@$rRO@vz~x^SWAGOtrvd@wdC_`zM4y9nA@lp(e zr65-0gd-4vppt??a+Os(0$#p3X_%luVN>W{vU9IcD;|MLF*fiB!bgWpTw3$gvCqaq z6)}KCE*Y#**@IsH8@i7gqF%^{31SfSm6no-5&^oIJ+QD8nHv+ss#2oD62K>li`fRT zMGbk#?E5L5mQpx~(lQRDA>w#`l^;X6cElU0i=9OcQ^U-!#+*4)v{#b}1%#jyyQUN9;%b_#Al|1Qv~=6W1x!nRB5|m0DDI>~;5keI4Wy z6%GJ4EvuADJ_Y)wVUSHJIUUB@2KpiZo0Eq5%83xz_msjv@^|LQmtVgG*zA%lV88%b zO9IC|Rw34a0eUMAYz9PqQlkLRM8d>Hr|E>)P=Yx_flUO$#8PocF#&MO-#4N!Ng!&cgF~HXR`^T_Uk~VYhl~IJxx+N-MFZJB zdI5>~z}X1&y@yWlXUd24^+wS-D<|dc5GM zLS@^vHkojn#1xw57jjKgqm3}-sji@9A7{!Afzcof1KlwU!=A`&bPEyLt{ELS1?UZ~ zzXUFB5}g>IWPa8kVGubKANGK$<`&9WQ&lBSFvUg?>I@>2Odc2Z^%xh?$@${q<0+*{v_lk-`@%1P1dt((C!N=H9#lEy_#pUL;+3osvnYDdSwTI&_4UH|I9CgR+ctmtu)GEE6{=PfZ*LpZSvkG${$fpJC_29Qv z*VIhyUT87m%5&ShD&nP&Atb@>3nLJ)!2;72MTNiaw7qLnZhr@(TTYz6c;Kx?t*`Gn zlW9C&xwW*Um5vRR@^jlcj%O&HuUuSjIv6f!T8%-C!V;|!EQ(O~#Up<>aS&U%=!mr} zFK*g5y7l6u=q9A4T0c3@54qovXf`^x&Ni@6KiE|JH<I^Vv84VQAr&-cELEHAwh4WH*~3af<6|RqKD*0r9eC&L?@4P9O~DcWymq~~ zL0Cz6SM)N>^WtAT!v;|m^P>*kG(N=r`t56mzy?E^3vq=NkM`=nvpt$b)0S`dC(n-& zHRt_l`JN^yi#ZkBk#JmHus(>0-{WX0-zM?WQqr7G=R`#Rjf%p-;U~Q}yO7HERR;^n zeoKKksybys#AJISgE1@y?C?K{8v&!10x?QbzL$qE`4-m)4NWJt%?HRPlt0ko{b zwP|ztgA2Ro&B*GzWVW?8drrFC+Yfa-`<8Cw7SCIgPA-_69eLX%rVjNfo<_m10l%Q2 z`1p9fpu@|pudm0lPF!3ZPl>J&T#GbR9zXfQ|G=RUGY+dr%dXw2_kKI;rdui>{VlH= zo6^JeI57OPGlZ?*O~?6%x2ha=Jb>{}Ip>FGC5E|`<;s@$KHJ3i{D z4V?jQw;P#*bP^L2#f$?lhB-1OlL-QQs>RIU&d$(T6(Hx}dQ0i6e>LlMASEpD3Bvtp)i)l|R6DKnH$}28yY;1%)VnpVo$y4sU>t{*H$^L2) zO9>8^z~;g8UGvJSwXgoAy}cdo9y&>Ka>`rpY{XrE|J&NMwDfDPyFPFZD!Hl((g7CV zzw#Ro{lT?;M#uRx(;U6eN9{J@DXFK&J~98)m-{>wW%{kV%g#HuKv#VrK3ki+cq%Fc zdWEM09$^%NQ*V>Pnuk=gyabskpdFB``N#{-}dg7^1$BoPS?iJSj z0x+(xuCBYq<3G>uX>M$(tg{yk_R4y z+M?TUg&*|LuYU*mo;z<#PDz+S)-ySu3%seRa*td%ye=={Mi{(+lTaf`Y(Y|F!(d!-u}g%Fey}-X$g9h{WC$8PbUW zUpgDBXHDqwG<#gF!fZmPb9;Qc>?EBdp>GeE)vWXNu4kK4@)}!uRqVQ*hdmyjyWQ7g zZ7KD|kL^v>)Cc!*_>2kN3kTbM!=9ky5)-y} zl9e_3fd?|Ly2_NAiVAkr*7>|Ti%DlrP3*2^JwT{XkGI3u)-GEprdMlTd1=%7x96Nc z_ma!zGo8xg6_|rC8KV(7_sP|j7;oiA%ce}79%r*xb_u!&=I_KerMwiFke&&_0&zKnv75h$AdhiUZsZG~}zUOJvS*;#8-9!I~?Q2sa zC9(BmRvLO3b9TTcEM?rdan;q;(7`3KW~8i>FDHoJ(rq;9L@yOv#}uWWe*v}|owax| zDvL1%xw}Kyw`I!7%zfZjbt|6gJa~i^-=jDB3`Utkdvp!;b+4{oWr>TMIp=~ndpy@c zhF{BY2UySP(HTv~$Cf|qNKF-T?tw*yNB{VgBQ@=lk3K9dJ;-lis0)(b4$@{-uXEMTT^`BJ%VK9nY44$1pbR z#L;zqO+84#YEGM_P}gh5hhKRt4jVd!CC+xNL2!%Q9d0N@#>ntuJz#G5Qes2{P0$LH zb8~a~jgZ+J4_u93;@rejA|nLR5SAoIw=IF2r^iFOv@|fOz&O2pYA_m8F1f^4Tbnp} za_aRrG`zA(r#nqL2}#DZ4F5tgf{dVb!4R62s`0pP%cwpZxx822xfLkEeXEO9t zQ`3`@9sD#cJtHYO6{m4{iUEfxv{4Y^?Hn8~q-SJ8-nrxR8*lyzPvios1J*&IH78F% z95tqZ831A5o?Ugdb?F%+Zd)v?n^}nq8pA}F3VHvzU zA)m_^TyxVcxAP3cZaL4H3$mocuMVx?G8E|eb`vJBPtihld4q0TQA&AbM`NSMi{Au1 zZEr*tyN9;=vbkeauykw=1Ob6}cnV7w6Oe2U5l#%IA@qjK>wa*2^-HX^y;bGSJGRGN zF(35>nQ|x25FZccr+xe9zN%xaQkYeDU3-JxV3IAgy{+}*4?ie9un&7!CQO_na7z!~ zB_3H(UbbcPhAo@k?!se1flc}l5DD{pnsNIlOqynokN@nGt>=CFlB(m!Fx8s&&DjQ{xxL-< z$<{3sCQiHTyVq=3_Xci(g=bHmmYA6I{^s>?h{l#o08n`&M`!1pZAkKlSs z&N-9IXU^%__7SSq+32Zz=x3U%w&v7`5i*x3DyO&L5 zTEDvL__9Af5}%Mbeb)Kkz504)*roHYe&zq3UHROKn}2#Y2B9zqIqm6yXGAix?>p^X z9ucecmOaRiGjkr~&HbofchisU@d^KUea&xvc`sCKcKfI?g_s4NE=#aKA<1#~{g3?b z(~mE?b0HXf($pCXZ@&lW9bWJ2YgYbw#p6gEQ#kgzAN&M~w)mube({^Cxj<@ zNy#aTmOMNnE3cpO!r6J-aCwN9*)H*DNsaikV5TaKj^ zQ~*`Rr`}?zUB0Yg^$S9ZPS7QBkcS+5e+65P1{>}3*G?`6CqiNQ+ z=0S(0GkuI%c6z2Ie*h|i+&XFvAL%C^=PWCcd!=@5qQkRct^ zXXwgPp0gos?3~HtNcN{nb9|w8shy$fY4`_da*kd7xl_zc=k*_;PV4100?)e)AkYD!E>OcM4_ zO3W~sEclZoLd|9u=*B-?l4rP1Wk|=T3P(q%VD`xLg*j~-_j&h~c^oPBg5(~Z@I+NO z)MF&GCoG>TZLn@u}a$AFqUYp5rwYzj_3Y z4~T~Sp?9LaT_aNTcR!qZ<-Zxtcwj73j~D(Xkc9W{=v83=2wlW{K$)-rQquGxB7-!%xFfN_aB~ zr6G)F^I4Z)X&jMN^V-Yych)9$3h#fyufZSNo78I1HO{*{<==mpG3$J@*%C@w;jQ(6 zxy6vF1J)(%3NoY~;r%_Zp&c7}aHFb>R8kQoI{Eqe*oK3Z z)V@UxkWKHQwkKBNx`fye2*jE@eRk%Q>CVLuoqBJ*?%+PNs{!#4yBujfV@r}R`c6T1 z4(KN4T0BHp#0YComLZ+cc149BqRP&^qQokX$%=B5ZTSijyH76_RvmYwxaH z;R_Gl92*7kpDI?ndkqTbM^rZWg;IfV_7*)zhVe|FMd8dmlu8c%-q^K#@O2&Z9Wtat z)6qerIE-(g-Xh!h1dA*zEK!zpwCO|yK!Gj=jQ}P?LEo$48wr*GjVnVsDtmYpz<9b8 zeFUht$hHB&A`1&klp&oV*-RP01iBQ<2vBd4Z9RfT78aH$LptwoTo>SmVS8!q+O@;B z?g1)Jy+sxrf<+b$_9002ovPDHLkV1jncwch{$ literal 0 HcmV?d00001 diff --git a/reference/wiki/tuning/accel.png b/reference/wiki/tuning/accel.png index eedbcad09d7006bb12e8a16c534cffc05c6e5faf..9813f171212f8e342790a29f7cf8b586eb743e85 100644 GIT binary patch literal 42632 zcmYIQ1z1*Hv!%N`q&ua%8|m)uZlpuHyBq12mXHQPx=T{JL6PPj!0*3scz~Dl?z3a| z%$hZ86QL+Ci3o=W2Lb|uC@m$X3<3hC0(`xP0RvuH?;C~$zJa=A*JB?mHdnv8WMpKJkdVw(s6|CYFykPoSE_A_gOLlpTxy>JCYz3rkFWM7vc`5> zTeD3A|Y$0IdL0&Fhp>9hy5wN7Bq^qkdR1QjVav>-hZ)~A0 z#Mc{yFiAmTf~JMfZ0n)B;xaWt^ck~6ULUiO4Dx$?ekCI->qj7eY5Yw9gcNY)TcZl) zS(Mo<(NR->uQ%Ao5no{@#j)w??dy{fS5mT)sm4K+%BP3X9K|vT|3dHVPBjt9@G}Q* zIursd7({g=Lc+mqo7J zaHSF_^R&T;@$m9jQ@cNFL3o3qH;_>j_rDU^+wt8_7bux~R}dJqXoj`Si(4bGqDV^I zjdd@oD|KZU_>cMpz3Ca=+rK-a#TFn7%qQ9S-G#}=@tu%MOj5G1FUUI_9(0uyEzWQw zT3Ni7y zYo}G?9T1`vWxsxf{Ge)^%nAlX$O!M-X+YK66ns0CQzsK8zDke6Wh(x4{G|Bqd+2|=!RG2=m!}+Ee{NB_5u5LK2j}6Oj7v4? zQF8hd_2ia4nf=9gN20id9WkY`8IOs}PsQl~i3BIQV2SFQel3lXrFyDf@^8r;;2Fn@A=iM(4C=qJtptp~;*e%x^DG^Rq}{+x*d+nvSDLZ?&sZj)Z=X z`PA-j_-Lt2g^@gWy~~eOf9v08dOr=lHQQa*^&_TAMw95~Pvl3cN)w<>(i6SzOvP-= zG%Zb|%hahz)Sc2N^?MqoPTrrWa+1zkHkyx26NuD3f|=s-{KbvOLC4iv7R&Rn3ukG7t^YxoHRFr z0wXO%L-G~k=9h>_XGXGG`xDXZxdG%AGZT`VSCPW^iN*&}QmAjib*; zL=Ii|lPpIjCdOHG#z-ROnY<6b+(4m8=TuCHB0c1hT^H2+|R zpcqoNw2R(dq$SMKe6P-J+R|KXt=g5!z`=vGtW_&b5Z;QGGD$tJZP-}2cXP}wYJ8+g zF(E<4*VbnI{W~X}QEVlKdESzCv?xZm0ve1In0yK{JPy-%7!5=33Hn;DC0H+I5-ilX zwyKg<&Rp0cRmt_zMH$}TNLDmE+Edji!Cd3^ZW>mETA(En!2_i{C z^QNGReo0TfyW>ymDRw*^Rt}D3do5e@hV>?=>eiT;m`bfqOC}lqNw?GP)N0A;6OMRN z(dta`a)JjFlsW1e0x0AH2Srp}rvwp}f~~1|EInhHn(0E(7V|FyRwq#LlBKZKiZxsG z;`ZXjHpD`>DVkr=hEWRTO&CWYwBstJqNB|F7F}f4T4=OPYYe4TM5d%lJ~uDoYnhJt zOA*Jza;XVC#G1G$FjuPl1R)7T5kGdUj@1aGqpfa3LePK(gB+HWA7ne7{o;r41jdRp zTKdtJ%Zsu>p7dLW3tW^QrHZ?Rxsiv@J6*~hANvAQEZTGzm6L%gF{099)9G$GqLmO*zQT<>?TL>iQRN@Y5UA z$aK{Etb56oS9~mWD=q@z;ZfoSsD5pO?YQt7DN!Pkl);~~tri^xsKbhDM~vlxOsIQG z+6i|y&~p^;ii3g5=ifMp>aiE-fXCY383= zARQ$3AYnAi&Cx6oRN~fvobx@ZW=?TDE(g$h~&C&u;4IXY@7&Di zl-kXG=c_jn%~V!!Wvy^_eb>)L#ACq9%6hEfC1GBp^8N!Bm2+Z?JTVueLW%PO&bNwc z2FaFVfvg0b_&i_hzlFsze}8dTCyh0*z2iwpOtEmc->#w_OcL@$b3DB2S?P0jt6J#; zgW7+`s0yEx?M%Uokge8OIL%XuyiG?Fn4QcT*(5F^JG^e8bn(X|g<+VxTE}2{?rvfi z@mgSX5$c4|&i=?h+F_|PsNQa9kp234{%o~W90Q`pD`_nUZoz)VXOwWI(RTvM5sm@M zH9l0REtj9t-%q%o(X}ZD zk5}qXO@HM2;^9ZJs3O2JKsC15rp@hpNv~*ruEtl2XjtfeQkpJGA4`~RiiD-t{&2)Is8FiqpzgXY^Q1W9$HEVyu6`VjEb$I z+;+X5qddenwz3RQP?{J7wspA=axTO>Y!1G))msbXTStB^+W1`kSKR$X#m|mdHqDxd zi~7f4IvL|mq_>M$b%kUYS6Y$co1ZL@?2mV^pU|L@fAEdOTze=&C*a@t@kxoQpure| z6;m64EM%*Zfua$=Tn;ejaa;~%%>Tgc4u#N8Wye`8JJsvo&qF~{Ch)CgMPC7~q9<(d z>AFFqSnFkT;J-VFLI1+y^dkkHQsqW3V4mv88sd_y2m(GxG|-pW$? zA0SUj1M&Sfa(}An@?SSR@_lrgZF`1i2zXqDxSww){2g`g8Cq7iVAN|1-;2V2f4cgWHF7>}hZ=k^;Wc%L4;X^T zzIG1{4ra4mRNM_4K%Nk|w~fJL*Y~*)M}=KNrz4Gh$9)Mr`go5>wfnmbhY{Y!1t5(vv1y8 z5md`94qeVWBkTUpzIVS5YJTjfyv}BzA?1eZts}(F#r9Aj6q;s>y&i;oE+3GXRO&y6 zIqK`LZN~{Bfo8Fo!SRTc%4J>uSup5hcMYR)K3(q;EVB7?I0s|YbjnM$*aM@(1OLLAfIYjTOXdZ ztRrDXL`0ylLWr%GOk(2dH<%-ipW`zdi)C@xZS?hAZuA6=WpRE)-vWLp@Y(+rywS6H zG+&J`h9TZM-lbNl&dki5#pyuEV)`9;)ZuLT)r8=)ArqQ5m(?^mZC=*7mBfoV^+)?U52w};6HECi?Sgt>}Lj46-MX_Qy@Mug|S8;+* zmh)9w?d~T?L;|Zt(n)uJj@pr3C-a3B1->s*r0JsJ;vSekDe_`~<{=-^O-{iXpfeKB zYUHdw@=PYawdw)mQR3rRTGSZMG`N!McXyBhWXw-@NBN<{dFWK~7_7#t!7#=BZol`Z z{2uRyM-%4Vo&akV(E>i=yC)|V!->3jN%{`otyY1Iz3skFkpZ9$)Q{7K;V31pA~27M zSprG*;|2z#CU1YQAP0rs_92suo#p(~_54^XpiDrx$ppMvrK~2sYnYCIiK*Y1N^^3?N7+{{P)5Ui*^; z8&(WDAO1O&0zvvES-5{b2ZbDha*dxBLvBD&c-oL7>1{^RVLJpY1l)@`pjg40bKYJn zgMm?~1xrTOkumu!|6D(~7JFfSKU57^S3mP?-C~V^!|FqL0lbh zRs~c0sgY^gY)B8@+cBKMTEGUCX-b3gs|p&Oi3$jf#^z>%eR003c3{PHM6n-+%0;;x z2=tP#A(_)vuf2Y?ULck$jpRCwe z^00M(J2IpXdUPY z=7JF+IHNBp$psp$sR|+t`R)h|Dswsk_I%4!_}xjAwp=bBZkW8!)fgw&nMkhJ=BLCG zZZDR@P$t>e)dm48zL$3VBX&kqg^D$Md3ANs*bM^11_gmzQwa&E zSmsYW@_7P!4S7whL4esCjv{<;1O_Jw&>9&*8*&*eW`JAk9X^_?#HQR66BqyKwxEZI z$0n2#FP6O)Yb~3}W;Kz^kIZ)t(ugj(FrLe=GmFcicnbJ-gwkaZF8`ZNXw=%<(Xlac zR;Oz1rV%$0IsQ4f$!^r!Y<_Qb10Ll~sXGJzN6fpJrj!Z(zwaWU6ja@AFL$K&KP7$x z29-H~k@{+i`Tcu3AK4VP_UFizgPo?21Hqv;WGE;pIULq}1|l(^|N1{e4{LS#Jp%g} z3Ym~T&e-6qb1@~9!72?64Vgr=lFM^6{zuZWJF=flK&7_l&1w*U=g8&!83egkV2d5MW7x_&Q=Df1@Tcqu#x9|g- z)qtJ6{5Zg_q1LFBju)rt(~{;;s4l4NmV|Bdpr7|2gr2jfG3rGkpoT6Be;hF1Vx&H> z*@=(78yoq+^6E5&#f1*>wDk&oT9pJ*#5VjlvR$JMH?a(cTJs%IFgFc*IjMg`T~LWFkc{?ys?zj~r#)XgLKIM~r}? z9ZvBZb0h}GC(?F`ItHA!L1gMKf?*tO#B1ai%)U~1={Sq<5M}T?j>6BFq7`LdM<*dE zEO18}N%Q@y@xa#-0Y4-(N15*g!l)=9_oIOAC8z@d=-c`QOkR5a%@C2l&~31vA&3Iy z)X;`Eu0n15X`A=ySINwB@M64f9D9_(nhh=E`5hph`W?>FWCZnXFNmw{IJKjYlu2=) z$yG>_936{y6MV?DB}klFJbzv0PpJWPJ1ccY;MpBixgKCYoxo6_ikTLnxDS8;QDTxW zSz|I3tJm&65RBxDAaSr!`1o{xcHX@~K*;BT;_GCrDgR5o0(6w=FjPSm#GdGbq%Tt< zbZwa#Kngtm!KMsqw4Q@&Ar|c=-tNH}!9h z)1g*T>6|Q97Hj;_7nDYv4vRzC#i4V&`^jGMJybB0YZyDYary_x*&$OivrQ#i+bY({ zVmw2+0-VhtdSQEJM6uM65Qsx1W-T#oZ9MB@cj%qZ&hYz6H_EQ%$+H=sErAi6{~Iw% zJXjdNu6`!hnwmo?FW1Jp<>+r_MmB{ahB+a5+n$!MZCt)umo%yT-yOvvbyX*#Xj48& z=al+Ksqc+4y*giauo^F3I`0)t|5p?SiZ+R!e|eC>^y>1Z@@awJ)OK2aG{0fReb`pK zJ@ljL-&Qzp=voLYP?ngTeNOz({?*rjKFPN@rWOy9QVaJ@l_TQSLv%xeYINpgnrhyb zMmRa$Uy={;rj{r1@q2uy&_HOv&M2+3i{!~Q`qzQ;_I;@$LTaLEKCd%7mg1=H6(Zkq z&*iT=E%D*s!5VNY$H_!Q6PNXOf8K1XLGUS|rf5F8 zoRHAq{fcp8wxAY2tDs(lLc5>PPk;S9cgsF=x#o_y_*~Wt(Sb#`=O%^JmE-FNeb}^E<9D z+F}NlWNf(0=ej&1_mBZbC!i3(1rA^8lp#y01#MdqhB)suUKo900y4xBhs(Mff~`Nf z7XeNmM-I_?ZwQXQtCucQH1ah&oF1rS%XMs$sQw<>DaCXlqZpknaQ6{${cW-G zY?Xk+S!EV=AGRwi(55jQdGF*oOoMpJ7R^DvK^gd2GA?q4K_5Wb0NleVl_Dj1`T$`2 z`#N8`ahu>s0GDkiND`uEH9VjBKZ`Cv2BYY}1DTG_GmwP<_?q!Y?-JhPaC3ArGPL$j zAXa+q^p%{Kfgc71$$5*&83hmm99V8-Y*x&r?Ct8PYP#E@ z--Qe7+SzQruvqwXsSLJQCjAGJ>~AXmA=#SdAG8T@XT0yr(Mx(ovL3lt5+ovNj?;Dy zh9-{JyiRr3=~Oi0Jh&>%o0ZAHM>I?gS+~T&p2+JAd%zM1IE zn@k33sguLwMy%~vpkAeUv76-}61j1^xoVQ#S)9<28PpGHOJp+TW9vk3Se%gq(nha`P||{Z(B7Mqyrlf#`)VU&p5)+cS&gP>rPbdK^6Q@y30{7&Z($Z?>XPn4+IIZ=}Dc?-QqB1{b|#g}fh6kAY;7 z4FHEtWV2)y$_iNDuG?Mw zeF`Mqev)LIi2fxVYHX-_V$|+@vJQzd`rzBnziUA{u*k}MB2Uyx!50`|?pD*6sSse< z0g{E=emZJl2=`sjc)@*;YoEZs|=k%S{EftMjLNjJUAmsVI z@^SrJ{vbOGS|OPu%E^A5I?WKi?s+&Txne8?lQvYRaMJlta{Y2FLSLfU*bLPM+w{4O4ab&%o!fMsfeLYSZii;3P7?~OocF(j^e z&1?6_cVc{k()sl$=Tfe#4#^fHX%4h^_ft7w@`QqDGtDIrN$AqDbAQx$U6Y&fvKePyO(rK4{Sn0LbJV38G)D$kX7$50?9u6xQhgNY<#f)e5dzcy4nsj zzs;MA?S_Vizo$QWjd~$6Fv%GxD8#8LNT&{Hi6TIXochC2rAV;`wxag|6k|MHB)vt$ z;pp5MmPE<9mMD~u`ZA>24vTFc%7%d)BBh5yqCJYdiSMj*o;Yg_kJMrECCB5keCR7P z@33e|la$BpweQlJ+oqNXwrmU*8mpBbJFZdBr1M2!yEE{4T=#+P9m}XF%N=Oh!zwCS z*ruF53}yk(?1*@=KU=N>4ut^F96v`hSV?RF^c2z+UYZ^gamxbS^bwIXk}PcsHS&M~ zMZT!Fjx_Z2JdBJD)3-XECGvt#_E;4=m}wAo3OZ`4N8uRTM+GCyIRqC@*+5yCJp({& zV5x8W?C31x5)(taf1(zCH>Uj}QqW&7AGupdb*mEDf?&OYhGB-%?`z2Xs)$TZvz~LG z2|PxyUCRM(Icr{4quq=|mhXuRg<9PAu1dRF-evu&{zW90_ieLK15OWV5F;5Np+_}c zPhM4vqI={#XfH6S43)B?tkUUxqvzwg@5hB2Js@@IIB8zV*T?x1lvr)hMcBYU#T%KC zrx|z-!~$b0;?ZW?rB730tG#=DJP^@~B<6$BxSEzQ3XJ^x1fM^qYr)M0^Hji#;OOO? zW6vch3UN>D{2EUBF0Aj|7PAb11{hN?!id;=#EYsHm5R*rN!{?%T#*I=V!KOc7oxV? z!A%o;e1W@~*5w$HXd|f4a+VCcA`l|Iq#qqtI_xhJ$X3fad? zOs&Kb%6J6sXNVzFslU%(QNaj~{ooqbo6%PxjC$1rP2}@?N>WpWWM~iNc@W>B1Mr`l zo11rA8)T8em*{%sg`9I*kHr+=^!G{D2fKox77ddLUT6iJ+voba&OPl5S^_n~Da8KtEXJxi&L zQ__YMtZ*(4UfGG@q?D59qPM3l83Ufv5QWSCTXKzuEXUL=#$9PdPqn5;O{}CtsrGgM zXWjc^4ig{{1qNVXaEcGfQ4-P`I4P85eT8p$ zvGyXQ&f|qfE^jbTe+7*J5FM0??uFY+tMaw@-NFA6B*YM9KA@MRnuxDxG0>S*Xorq! zlKu^@5(~k2FpXmqv#UFVVG*ktv%k?hBgp~&3#IA;dB~LkfdOe*5aB=M6sM#6$>BJ` z$#*HKtt5=mLb5n5lOxEc-EWCu6Y#YS+fwhbUgV_rK%Tn3|BbSXStlwRJ) zg61GXP>TdTCu3~#M#Vlz<&%2z&cRV-LfDCdRDKXvgzH#fn(_UksBc1q#IN1q za+0i}!;sVNuoz~CZi<&igWq~*347DCXS3FEyV)1^zU#qRfn+(Q=9egW+Fuq<-)Nuy zH}(ny*5gAr^2o=%oR2j+EvL^!0|Q! zu?WaP>DXp9sOm+i>lEMC4xrAGE-A>#5eRtL1Oy00eF07fD0&icJDZ-@naI37jg|~F zPq&fTWbgrqS@lU*-vHJU=oUak3laM3d;>dQqnU>5Hlu+Z$_F zrVN;z+M!!L^V=*Hqn(#tb^?SXK-0RFi%-8X@c|ID@d1JzFR_GK%8vkEk%Y?Ki$16s^n=?Ax{b>00OgWPvEouc+wgei~oQHA40O zMx={=u67%L4}{c8joRF#BomelUF^Zq|BPi5;vwJ168yTN<~+6TADcw!h`qY)hpXBTQSqZ>A$rT zE89)HxgNmO#a?b0^5rG5JUraOTImVLK}~H5pT(&}XL%#!guv|4&gb^7%+}?b#t$kh z=lS^D?5Y&TasJJ&qf|H3ZPOC^8DWUUQS9y?YqWBX$hbI-bsmHkn}t&Vt@Op_0Dc@A z>0LUP(-yF8jwYwIinOO^^>eguvzNGjBwf6WNU`8WPpv_hK(kmXA`Lw~pZ7IA zDXPgA&AJdNFRwNq?P_gyzUB6hwRcgfe0GaOeB+(-o+6=1`fv9oK?S5XHsO+fY*PT?IOb)x{G9|Kb2zRMa@{RZafJ5HU z<&r|(;&fPxt`)<$Saq4$&r9N+`Enm+&~Gn&nft{jG#p|oFT)OVgkpHgf8vu&~E5=em+sl-h41bpAgO9>OK z`CQ2r*eTY>;B)GGpELpNy_hqf^-RfFcOdvw8?Y3-l$1~_Muqz+bQ+PPByfJuXjf{t ziVgK^Ei)Mq6%nkjCZHDAt>e%cA`wH#&qG48`Sattc&khrBS5CVv39Kq)Y)_1Lxa+yLE z#l8T81z7ptt*H0CC-=W+6iB?+m)_&70yVUwh1#(9ivS}3^a(861qo8zr1)}J_5tVI zEn7hqQohBYxiYkQ8#7Xd$KTJEp@9@|kAbwJ#I&?3O0ayUTAYW0tyQddkB$##yHmv$DwmY`r;uTYZUnZB(q86J8chcG35aY z#?NB2x_shVfX`$P9d-1c636Zd5eFF)-kI|)=v|Ddqjn?A6D~^67?8Ej0ZbiSmmEqErLeZ) z)kYAq$a8)sz$`8R(a?x80nom56Z&v@_{CFW1XlqSsvz?7Uxu&-=wPrzNKd5M;>kDu z08#C@a1Qge$!-M;X%#R8hAXiRfZ=Gp-OW<^0tFg-mzTQM!3!aZP2 zb#=30(gsR_lGBeu?a{Y_O*aYXD8DCA>da@mjL$Y7%M_LuXfSZR7r6bE1Qd2fQ<<_u z-)(flene~#e?h-E4NK9&>?9_cq)gZn@*=_xRE)oy#`6UIf&8Qk2sBARO#rYV{F*hO zq8NV;aF`0Vn(^jgm6l zmUpPe42Xw#m^f1ta z-ZioY^RZ8tJu{zvkyB6@F`cb>pAGy{m_nnM?@PvAqAkN4Ja>$0+@B7vBY`zNe*C*G zNj@_0Ti%kv>pf6H4#k0?g~DSt!?@CTDNI}i=D}Hf@qd0wub{OU>4p|>o`&N8f|DY) zN0sbw`D>Wv8$Fnx;V7=_qnxou$oMBUpzcYBgE_x1VGIZjhaq<7DA?C zl)?*r>{kgV+EwmF#UOJG4-Vrr8^PcTKgSD^GdJH&(a7Ht`(U?W}*^X0Fbb0$o!Tj1bH78iQ_9ruBeT){{C6l@}NDyU0I@ME(!V<`70&h1ebG zZ@@MHVk9P@R)7e&AvdCl%-9Lmd_GlYP7CE9%JC2ef;_Ug@x1N&H{UN}7nwFSPRz{* z;yeG|4-$3Qe~aLSf#WbOc4)!|)jGFg2v-)}KMhl*Gg&&_Z&?w{ilwN~ggDO;?iPIb z9R&7X_;wO4`YL52I2)v3(E0^J3VlUw1*np`I?ya5>kk-VOl4$$m=8-yt6BmDj9@on zIM>3p?&^9lbro*VuyWIl@=uYe9LRL`60t4uI5Ph95{uYF9@2qvFx6U zdRIWaz%F;J)aU^KBi4^UrU#3^AV2GIH9}KK8BP(R8J}6%CWX%JFS4lG##Gmc5(oPd z?7;_f)FHj%5H(msdf4_tdd)hJAIJT;)r(8GVK*C9q^?Yn>PIF(FD2Ow&#Xp;`fCgq z&BYwZB8=KX8%%Kf%i%LmN&#PFL;o&WAnz$UGE|LO1@>4#T0}b87q@^eJOaBC+QI!D5JIUqMfZ zcI=Sd!h+t=lM{lSiOT;*<aREY={bgc^-4%5nY-UUh^C znk2ljHcor*i_qLJMnoyG3{-@TJoFZAWgI@&;EbDgUo`A1Vh*tw>ae`~v9bGr0X)M8 zsG&MyO+81D#mt&PiYy|JgxTvJuY4k-9r?{wEEF)n^Sa)iXx)@rf)D3?fSAQ;{>(s3a~-DTmL(d*_-^RkGa?UU)KN4C9*Jx$n~l zBjB5QRo;0-1uZb+M3B@0=%>A^C2xLqC`ZS@qIF{w{x*0~(2%&U&ks^mhOQ{)TkMrl0qiceQ9SYy7IVHfiJf7= ztJQvmK~PEFiBvXx77nQF8M*y)dw5mQrrGv>-X;9Yyt6$>)Qd7Ka6~-+d1PmTHnN~~ z`VkXSkPGE3VhiUU(>Wlqb=g=EArcDxVDtFjWCTa5HzH>nR}t*llWsj_wpuk@UDVmGsr-{K5evLk8eL zcRv6e>LHeSLzN?fPt3OE;9VY|TYHwxAcKXV3@qEP`E&RU4|i$KGJJGwB{7+egvvrx zT&=18a6Y7?Dl##t($tJ*X{mXlP-r)#g=LL!Ke=j=oGA6Q4o?~b#Qwqr^Q=Bs(!6s}Kn?rzjUuF<^;be6D3H05KB z#Tj#TqcF^F;)T=K%B6$V5XJFbtqISY5r9@lKxiAt1G~u-yH2x|uf2-Welpe%cdp`v|W3f;j!l54QomI0eGA*aYj7C0hI zO#4AvRu#>LuE}}{JVHEhqU$(4rN&NVER#Tkenuj7!gptmq3c|$jQVC%O$h=_{LPAHmE93GoN&fnn#_y3mH<#Hq$h3JYbGY*bp}uUhH9WlS8^;Sko@6NN=MCbBLUr zxnOf`Bza7o;qTd{d#j>wI?p4>yhVu@o+{9Im&kkFqzxmMMWrwM9iTOw2*vfqw;vE zI2}GE)h=0*NS(*s(fo%88Vo&Hu9t9mdHErfRG_!-_(K|HEHZuGgw}e=EFT1r1V)Zy zx+MWQU;w`o;|7-xc{q0#RpDuTbnQXQBQG4ohYyk9l5(v!d*jOlt)>H}iE4v9e0ix` z)w<TisG0aVokAmQ%YaAhe>U)DHKww1Hz62x$ z+1xHbj-uZeB16T?8j@EUcfsRAS#=39Vw4-6Ss<=7Jsqi5y~xmTkm0K<$7B8V>!H|7 z-CUIq^em+oNE(8m5b*$70Z_U80f;Wn7kwV>2=)>>Q}9N4&%Vj50xl977$}QEk=Wum zO*u^@E}3r!-~(v!f%m^>V`F>&UZIhblyob@BG(fLD|9+nTI-QiC}@S`;joW~kk-cL z{ueCl(KX`1x78K68QLwf)fS&61-W((fMTy7T`Vq8RENL=8bfBaNK8!pcC!V4<0+TL zF_3ZW{r42HarcV=rVR1MUIbUS%Um7ANG>>IG-)8MShx2McrYN7TmX`9etzGz4$mY? zIj_U=(l*xv7MuC1Vwp5`5;5CIaIoTWbX4AG$J}sm_h=yAlv#oa&=AogFZGe9{rdIm z{QMk=fF~MA+c$edRKGcUSDQJVBJ4#ZuN;{O1K#VE#uF_rmCd;921jPInMcUUgM@^1 zJ2cc|s0DbOfv>FDRLX?!L(PD20>J@lkWvevXJ}+XKF`ZvfZ9jj?{?>fBpbua{@PQ? z2gAkpu|zM7GmHIzj??cQo5wQ6V`K|Ak-*Or$N^HRQBX<#a~;oL;oaux_141slk88k2LTPsTRP& z1;V{(j};$IG1uyg2|X~ z#eEI^`BXwX@T&%a%_qr9?#Q(b_FNOD>Q8qv8PC2w1){ztg=^7~#}zmtSC=h$JnSS? zVXV<$e{<^1frSYa0z`%|4x66<>s9=o026bSV?@Kf@$l8}(eBg7N5l{OKXXg0a7X;H7a<&`@;(R)(`a2Vzp;mB0KfQ+ z)iqnxvW&$sUhcf6UB6sU#wIDdg8pWh(nID+S(frdW$lfQO(p=P&C6Q~4WSVY1%|$S zlpLJ7JUWrB;&(Si>G30#*1X}G=3hTX0)coe7*9r;{DZLtc8#4ZC3CLHGnc3O;U-A# zT)jq-9_#&2CjVHxvKK$j(JLt^ft7b?M4%ggs>JD zNsw>q>i;x6G@w5@+f;slco^o9Uv>bW*_|w0S#vG$^$Yd4>k=kPLuR(Jz~Q0!(xN{E z9JYu^efWHuu<%n@=M&AO$PQ=vFU8(h;0ee%QY3GGyO5!7XWh)z-j^ivUo_W!-Zj(c zyuFb0Wqo z(hj8rQ5b&{SuDyv4$rX2Cfle8DMWwGqa2jPD_D20H2>Fnq?2m-a zE1mmz56H^Ba_x?w|3eI0^8{l@bH6^>rEhO zV_4kUAiQyk+U;z|tkHWE)j)DR)G$=pA^jcfN@!yd1_>Isnf!z`pgDMK?HDIVgp)yeXq8 z@AIRr9x)eHXVkBLa8B#8J;cFvPY^{Bpv_ySrZvF4otu7W`~Iw&c|$v`otGsnpieye z%y?kZ@x8f%lH}d{HQTSkl~p5Q4vb7_raLX^*!h(bXo0=#C}=K^HGh15GuODlG?(73 z^Ps6bBkfzYqJJJIS?oQ1kT}5gk}R*MCDMk6SZn%vSnM1q@+d`6=$jWl;nYMBtWc0Ii_!{K)pF_KSMI` z;6LvM2aZ4_IRVO?2Y~1Xz)M8x-?Q~+fNtdjj)!awL^*Ulx+6v{03hwKvUXlFGFb(J%`@J*K=gce)>$NZ_GA0v&+W zK%oww&2qA7L7&&S9|mK&LX8fi#rNLbYQ4@#bQBL8eaMU)Y#k85@&8@jt`lp*?ITxA zd6~HB6;mDBXd!oZcL@mzbMuk`V_^^tKR*F$GKT^MK!|3uR8K(;m%9!e47#u!iX{L< z_&TnxZ1kv_ZLTzp42+EOY@ao1^<8#HZvk!}z+=(rFJzH1pvjWGQ1v0b1ekg;96}>~ z{oL^A@Nkda42zeuK1~!we*wNb;FJ^=?$aG0-+l)b5)uN;?hHVj)^Y%K`3eqDT7x;i zE?YfF^Z??X;yyQknO8_>0%b`}O@*rdwEGB@jV7~v0aU)+R0&YfDCDgHz`2=EVg=KR zk~sbk7egp@AJ;rfMZ=KxA;qB&&=vSdvM_LQVWBU8Dr0!yN?LOAZ{UPN_k^euWzviC zY8nl+z=w0y5gg(SC=Ka0+h(Y?zf^pHLW;FXsC2$HdRaf+Qss4&(NP6dAfUbWP_>l# z@BvPj5#bLB0%8_W5d%gWJw6O5)BI-3lmI#35Xw*Wd!S@MyQm8w|M)@RWDQBQDxhlg z1CG{gQ11TvMJ%BUXykZ+(*PGaEPf9+cREwbIv9YT@u^Wtyz~JzW4cEKNgMFrB(|mi-Iqk{J6P~m8%IQ^C{S)bwH7!=(!@#= zlw20G5kP4M)b3-(U&>9RQNrYHJpRv*A0e1B!yifk5w_!okVo+McM1E?tMz8^U>#D# zQZSQy@QK)C=1_2;`|k!1fr2;0N5qlf5X4DZgeTyX988c8P8+Y+Z|cK4K>yQg6%-Z9 zwAuuSg%)f%`nYx4-R*!y7dP3!Fs7v`F*Ejy3GeZW0BQxfe4c3EDBIW!eE+B=fNwd$ zXT2Owc+6hV`$!;$QAr$6MY?HFXqf;G97Cjrk@d6V7#wtBSatpY@ykN7F)s%2KBV#K z>D0Q6T7JOFZT=e8fnXAr*xnPyn}Y&QwBhi`n8^ZiP3BoD`>9PJyHrr>2Mm$k4n=6a z#LI|iR^tqCE4Y+_A0&m~GGL?vgMJy=A$#-E{e(9Qse}Rbuatbq%?6T?BG$QDh#GK-_*i#QYe$Y2h=6E zu;l*$t*2sBfO4gbexrL~kifG)O zJI!b!ML{LHDv)x`tla0VH6gHKl=!=?-f;qaJ!j;UiI9#04{QZDNY+pPTKPZ%qD2$* z1AXUnT}ltUVd~p-#=@3~57naWUn5|2>04Ct+P>bPkiIEol-xNr7 zgM$&?`LI|lHPO0h4#MC!^^!FB_T+sAl=<1-Q6Kw z(v2Y9NH@a$Jn;R!^WHn}+_`gS{D+6f!#U?ud#}CL+ISh8ucMdSAb%Z@BF;K8!{q#V zGFQJ^=TRh%KM8WY0Q>J*EQ7AjOPWWiA*n1m%hjmzWWtXDZ2k?*kL7}7_0I#o z0yz(PrCx0vcw48~Vw+ELg=HG3m7_qJwyQ`Knok>F0{kMMhLHdbjXntu0WT5p=a;jt z5!;-zuk;$VLnAMkxt_uuFJeZUjEf>|Y!=;RezlE_?&-lX3N^fN(b)isq${I~7-9_L zdIkRjRI7KQr6X!RqAVI2^%+RSJPlMr*RWW11JT@O5>L~%0Dik|_nfKnBg(r#(SIaT zlE-wvx!`W(?-a3KWJi_rD6V7gu1*STJ!rFi`%3sM!k>A0P_8h?a@op{+|=SbW>AlS!GX4n;er- zS@~m%*9h`+couFULrTTqpO*;?w;V6_2f@yx&i_f9pwqngVr1$+o14%tC;vix`X&q= zlqY5S{)?Qe7YB#vxYCeOiS-9xEIX-=V|~h}38B(sWe&CqOp5czIIC>2%EXJ(rn1rw zvY7QE{@V|@371z2VI!*&hM*TQ;$Jc1d~*s# zM=Y7gxx)|bK_(k?@)D0@w5*$n<-coFYCz}QSneOtE?~F+ej04(P_O}vF2V2pr-82p zhk(&we8bZT0We2uos1UrY5&J($*=@7Wgw2>%TtmH{~k;YepixW)TiN|1DilBozCXt ziy}oc1())^5dM!W=UoXV1C2)O(0{VjQ81674?@d%pC*!#1jrI<-4eN;E|me!w-@uc zdjIPL0n^O#n%VJbjq!sSid4{@WOrWu)TggR=_eK&)ujp4Ey-a2yj5ZxMp~k{5a$vK}L;jUzYL`vevu_DP#x! z8j;x-Pe+x*;~>w!v1On=mr3m|vO_oG=>OkVjgv&X)y4#6qBgwHOEd;rqjrbp!lekF zOlRf{mp;PB!FYyn<{KA8csoO^%r}v+}1GuYL2{8pw-d z@|^T?ej1qw;Dd3bc|B0|mG*yD+MQIp;6`@m)**KL-GAXhqL=@G%5aT=?bG;?E?YyV zQR)<+q;plNrx<2EuBX+*3ueBj(buI|z9@t>$#^eL-YrvX6;Y7VE%E#|3YIA+dhc3M z@y$~>bHoBA6C*=noJD>k>K?)(p{0@U%t`uR+yH*5GQbWt=+dH77HeaXr~P&s1{Ot9 zLdrodfM(-M>bOv@+Y>qg@Gyz?*pnBQIciK#E2#{j7zknas$a(*jY}}NyAQjuOQbtp zQqIIF^3J$D&6GkyZ;%A4WGS~CbszzOe*O^)YB){JJj2kHOaWUfb-MGExk1fa@!ktq z6HE>966lxzy^hp&GIs7|vqY7z1zToO?bVn3*TupHr3K~fmf!n?e7_67efi-<-0#tZ zQJDu!k3Y}ltkg67s9*<8dZYjRyI0-h{o+FpqW7IA*=|ArX|L`jK3DzES>YOt z;xt-fWJ$~7|2F6bOwmF5BZ-Wjq+CcG@iY&)TMkR=+@=oFm{+ny*EuW$zO!=sAUNxgA~#! z?RmUK5C0B5L8d*{>x$0;DDWV4a!J)p9sO5~r@osU7ZdYiSjX3U1C-8hoZLe?*Iih8 zz{G)}@IH&FdU5RxHFZ&}S#^{5(e1s*Bolm-!pgXND_+sLtewl+)vna;RK+}lYg_JA z<7p9Ex0~gk-;L~HVIj=R2m`m4{bFPzSzT|FyM>gHkThakN^L{?mJp8D;MkYS`37CG z&|on?mz9*xf!LXn(+1ejWo2h)XJsAPgVb*ZBtwA71Pt6tA*O#KKV}i-6PpPe6OARuf=+ z*-a^_n5{a4fB*>oH;3KyMy8{n+OE19!&~l{=F?IWy+zO?<#r0T8ZU5|b+1C9ph^EI z5?iJwO{3MAPY`}yh_nwkR}DHzeAO9wI){(T8idFaWVA^hEN`k*oOh4dFTr?SqsXs+sl4ZAv+Wl$o4hh96%EtQdbJZ5A9&?A}@6%T3QTAEoef&zjAWaQw zay@Ku1Tq;=xT)FAgqGU<)Aj&Jf~Q@WGRQd0FgnMOAHXmD4s9ucpOQ?f<~t9-hmsut zei&2Xnlm4*a0pj`fGCyM-DN0&2>=RLfSU$aX8+UYg>H@61o<75?d785pv&svH<$C> z9V1lOBJA-UK1J^@P(}K4qXJNtAIRma62o*ZzJPx~)Kp*yiYfE76_xSSVff%wFxW+Z8xCH zZyz^g&U~$>rw4CnXqcguU&!eX@C>m!Rh=A*(gs+1l2{q35TKGFfEG3w^HMoUj80Zz z@GzafHEk*&^jx@kjmpjPT(92!py^_%(nZdTQpZEDqU-7+3cN=F!Tc$-IHStVB)Ux4 zZ!ctvduFW;zjwW7#ZOG0-Z-8!$1l1(ox!CjN$C!zfkw5dxAh&sKUNj)5WdN92$pEn z35Rb3(lb#g*k{|0dvGC2!z(w{x2w7PnZke{c3c94+?_Akxo@_!fvtg4I2H_hDKSU3kWM`jg<3#aTr|F9;)W%Fq%oU zaxP^v-k=gO+Eh)JB3G9Ks`gPFq;Q^(e{*y6va}zRjjKjs3pi~M(X%UTPip}P*@5xJ z#4rj#gXrJ?>er*+$JM`P{&oZmm;Ql;S*BV6o#YOx_rZBd#hNM@O9`F1A1{`nf{~A@ z&fbu?9dV6u&6jF%4Vid11&Tn(nnI8#MFV_+9(Bjb?e`Mxi?Yy&B*rS>Xr^m zqWU!%A3Fu}{KXb4?89oI^D=b|6`p5_Aw&085hi|`8!yR72tu;*ZMfaG;lSUaE|vnR;GAZQ#^&j=f-3{nH5y$SRTl&15=e0QMwo8cZ?w|KlcWP zhvT%vUYVgYwBUpsi1EqH$EY`Q!5&eq%u1zlp7Y%L>G4G(rp9eA_zHd(Ig-LR-pGn7 zcbtANijT)}^@Ug_1iuw5tx=Vs=RQ{}GEi3;tLU)TE*JY$!P6=Ti?wKLv$LvZosi&F z7JatTMNImu@d7VA7v^3o9v@p!?y?dP#N;NIV$`jxL}5L97}bp~pjK?xuOMbS6kBj_=vZI8el zb#R4d8$Mt4WrBOGnP;l9hIYEhx^af$9!JmpE;ct3cT37>J$L-G( z)}gO+^|mB1oh{4~6#`PgLk!2>Qov*R;bRE!ltSS{9)9N{GR6{UmNT3}Z4w(J#A*39 zO+Sqqs}{(niTR3E(W=-Pn)aD#HwBU3A|(SoZB{t8P{40IWrRf2xX8XN2o*E`1jeq4 zB{~fMEw^2DZjNAC)4?E)f=L_qv75_oL}VRo*a!u7;UQ0AppjeUJhOIBJ<6l^g{4Ky zBd2M;dzjg_bt=h-*+e~N9~v|6uTn7b2o+=Mzz{>V>g{xBFg3vz&0Nd=yQX+dvr8%> z-x`6p_9&-=e~q@)yG*fQFUp%+ez;t`IJ}XTd-EeVS0G|iQ0>TLluNxN=`(ZT&~VW+ z7iA)WU3I;$2=8Tvalb|uwMLDr7H`b3zjQCMp_C)8Rvt)}^m}&yDqpDxi#)rKWJ;+6 z2ISKTFhAIIf$}h80JB}~Wx~1EZfk17h0k2t3OZS%toQ9#{Z7o3siU&w&+={f6$x5Z zA^(z{PtQzPMZE>d8Km%Zl15ZCMPV*>kx^@;b^~aoF|fv()$Qet**2e1ZDzejnvMP< z&^eDuFg46hH4M8LL-z4dV3e^!Es(Q8-FosEJ{wmS^SR2QN#_gFCHODv1AeO8r!Phab(J7_PzD~nu9Dz~nAJbT%X#`AeUhEy z<{88{zC@EgI(232qP4rUich`?5fA#S=6SFHn6rs0C2abx6JZD4!&I^GWJQm@SwAH+ zn5FHGXKqjaKV(tTWUlr5EWIj77K==Xx2XqnG09l!s%=*JIu|y_Duapt;T#*p%bT?+ zIcx)T8&z+RO-`hC=04b>&-Rf`>eu9&Y;A5Dzwi6~dB^^G?jIa3wzba5-0}Jj1745E z_&4D$nYL0TncF!m3kCUixB7=SIXe?7@08Qz%KIe>rcTCm0Y_`L6$aP!CL#Q`zrypq zw6nt>ZB>`}feCrj$3%Y^UYAeQ);M_SnU3sil6ad#R+W=Gibwd^{s>j=-!6i*G%Ur& zlMU4p|ELMvUKMNkGL+hfUZX$g^X7}!N&))3wbq{(aYaOganQmm`84dtYqcDTE7UY! zh1sb7pVK7AVz*hXxBf#bqtDNooYHDVW+8IV*%iqWH?U7;Y?JpO>^Mcr$<=DriiE!P zQ}6{8VE@#zZZVXl*X)jeHB-d){7zP2c=4)zrK($K;tL=H+>Q->HQl{q3}R5ft58sV z^}t?PIDyC|RLE8!RSMsNsI0x{|S%;7ify@%E z9%xUyE0#D~H~T5l@qjY{+fR}2pgzqe{lXK7#mfIN40`}OB-gFsRIRRCdARWL!n*BO z$zOxkcby!WX^nXPR16h=KdzBfeqqA=YW2!tA^iX0UF;SVl{2Lt;u;b$-D~oir`uQF34j$A997*9cT=E*7A-X zmM;JtiPoF=vq!DDje1R*cebu-@}1)eRreOndtddJdTQhrrE?hOjLWk;(Nk}l4i+O4 za&t+(Ofhq+!Rk}zmX7btg;4CtjV`9{iQf*A>pr}c|O5Iv6SKm(eEeoA^ zPT;(bE{cMZEOfb3HNqRL;BhnqhqC_M-W|CT=4c9Bo-id$(FAr@)dG-%gN!%%sWLm*G!T+9@N zvu_L{-6j!A&kNo?ek_2+utKF6AX1>}G*fPa_>yCw1Y~1bvM@(eyTq-AQeM}J7w455hugE5hnqcy*%f<- zEIv504HSMSBBV2%e@a!)+~SlR z4B5dlW)d8c%=PJ8jW(MyV;NTE9ps8LqmrDDDhr$OUpe~7&3k`kzzuC=@08Vs?&-)+ zkzq2f{qCE#_?;E8E6T<@D(1jEZ%|+lAf;6I-Ds#p8SGF9-hdW!jC^j_!*sl@sa2u> zB22-*cwn~xbvQQiQPRz?hS~mS^|1LAr7?S*Dw8-OHT7lu^BlpW(Y^0pRacdznVb)H z>iyajoOegpAqm^&K_3IsSGN0Kefjj|i{)vAY`5jRrNO>|?X)*Vd|%i~Ycp+C6@M@p zYFDj?(7o85FY1h-zw7q)=45ij5_AXH&doZmNzB!U>T*^lMV#BbDws=O?l_gLt#jO|ARwu@z?s_S08a9N2N{lvsT@7pq7V3 zqJIRK5jbZVkAO0m$<}u(l0+nl-8w99c-5#w{1s(R9SadREzx*NTw-MgI^q{ zRnz+SUMx!Zh0hZ#^2r9*0>^TDwptyAYX%nIk&$Ka(Bx<`^#V-_*wEsxf}itvB;W>) zv1+m}+A9FNyXA`-%Q=k36Ts%kra(LSelP6L^b(h?OCaFREm?yq#a0dK0amuLm9~$- z7iTf{Z_JC(-b1wCO(2-=STd~q_08`D=AVU$wQRwLdCLqd+?w3nu*3q#@RvKF`F(rHK@BCNE(~xLaGI@SJlsbv}+66wUs% z+<~N(nq)>5ul03qJV)Xfg>mhjXB7V{rk^K(6Y<>99huxy-{~+;qk`f@%bTRX~DL{0Vz^R19Pp#@oi zXKb-pd#N!0L{mXNGs!{Axyuz2FO0@Eq&lY+E9`jHmLq zR~&{X<6XyO{~~tx&sN~SLE*;F34b67j`kILUxt+$lUU;GM*|685tK>TD3Xuz*R<3v z=i-K9$FNs9ge9w$_$GRF(WI?pbM@TSuDIZzM}Ni&La{dsWHgc)*9DskVFo-$GRrn? zD;{;(|B6tB|Mv*>-qUpp>YY#x>(Qd&1d`ac&=1kqIaEP6w3O7uK8quzsz+2OXvcF- z)B{zzA8EO95q(}3XJiCu?dyIlJo8Nxc42F}{l|_?zg8>Bmi){3!|hc!m*a4X>!Ii7 zuf#74W5y$UcivIn&rQZxP6@MMBY2oMWZ~hVhzMcaFm!R6M(*Z~r~6N)Y8fN3p#}IM zzd?KNEn8xUJ&1iq7lGvGg@y(l8p|L0%?*8)@o=mugN7=O!PMR^6MQa^A%~$$_8e3A ztt8e}rIT9FO$bS+b6o3!d2#&xdngTz7D|<7dqYYw{kIIKYe#;O43Eo>2 zXUi3s86bqW3s2cFwjs`r|mlhkFtO^IOS0H}kJ|u%^&?0p)$4IV_*$zpl*2UFg7Zq#n&9 zC4$^jJ}Pugm76L#zKp+n6QJA;EwL>&IuyD7S^{RwUsILiMiNScjW7Bq4;9FnGankZ z(u#rwN?9?MBdn(2%{6IK@6~&!vmth6T!+oy<`jqsRhdUhr@dow7q(?iK*pYP4VYa< zG${iE;^BhfMH~<_Sj_v5S@}a(EAC*}ZX$ln{4Ic*_Rtsi=|1EmG}XU+$D0x=GshUn zGZ5JQ=3Sd7E_b+k|KqzQ^o21uxu#5rU(OEa9azeBf^9B`R~cE-@+E}VOBKipg0HiL z4W*NthyPH-hFsKSC&a(Q^tn%7DA?G-TV zN}G;?n!87W0OpM^zdKrf%|>=n5E`PQAN+H-a$xou&0;*X>>!4+sQige2Y6T6_Vk9} zWH(|38nkPKUsb@r0}{S2&P%GzGAmv`=e0Fd5H{It4kf<&mA1P52av`9@9Fp>*Vlc~ zv+q+yDr*|$Yt##ZRZ58ZngW)Dhy(roD>V|N_CC;+f8J|o(O3%>7~NXZa^?wx^*@n1 z1!JFzuoiaE2H?TIhMnAGc%GlGDb*tbdqp7#g^shcL2y(x&Ro2=gJ)u&iSIl#NruadH)_-74HoaVx3%xw?%T=eLAQH&|} zH`m2)=#g-1PYn9R5k_@HCN*Pwp5?oF#$FbD>HPi@h^Coc37El4s2lx{-?w{3y)Vja&z}c+2AupGq59OJ(ik;CtSe)}tIfBT z*u(jVm}PQKc)J6XYgWjz1u~J0OBS(*KfyYzU(>V$wxzhQYpwsJp?q<8{XOF9_3i`= z&RUg8^QXt5ggS+}Q=@->RpXX|WRpmZiyEGYbY^9jkB8ql7{jDXOqMI!G8m$z%G({o zcGw2xn^>APL@?YX!O+sxCFINGz>3mbK)qrki}w|UE2v_9s@NF!M5Tai6FsS==o1R` z8!Zg`%j;LNiBQys?n;Akbp2f)dRR_*EyyWsnubhe52ijR_LB9umPk88#$r|54gn=c zZfhWx=7VBh$n_5kwlS1X5Vc@-;QCS2cyx4VPIqb-KP4-JfVLi zU+S8IWcs;i0y_D3Iuh-xm?5@i;8U>UJ1MT{rstlIksyh|k-dxd&HJCi=Lr8FZGy;Y z%ooB?tenL3)Lu{|1%AT=exr)K5NM1;u(yeJo}Zaiw;XohT~EMhs8ntsZ`QeA2-RVdw(q zAGH)Z-n+zd5x+NfYeGHmL%V~q4Wzf}x*8d^d#f@?_047o@j8pwsXi2mQ~7fvG37mJsx^W8R!0f(D@n;r1QLsj!0DcV4zx%(edCi_s zNnXaS68m4dg2|kaoft(kK911I`;CkJEm5|6Jm!cfWGx2$H994+ru~`1x;bzr1m9$4 zsuzzoLNe^Mgk%rUgW!026Tf%G7Xg#e15(5Q_Kc7%AYK1n-AFj0Kk-bJIWMMxZV10y zF~77Az`1&iJz@C4WNf=CN?AIu{+s~=H9SV$XA`oa&>w#s+cyMVXCc4a-Pkgwwx$jC zkj~}RZ%)MgR6pNK;EL>;LEd~dIa1TZ_Wg$zp#Ab9;g+u!>fisZshIDv_afI_PcRvT zgA0c;Yt}HnmiKhH21mm1`}s~(zTf#$Pn(zvv2M#+cOw}$>TpP@;0&}RSsb^jC>FQF{$D_L3U90l> z^fOL{Jp;IYIF_9&*{IP_j0QE&w=Yc%@E6WOt?5KcAwI|9K8c^@gAnl1_Nfqw$7!G? zSy>3MenEmLh6Dvp93S#!y^gb$b?mKs$GaMbw_B%b6sXC0hDV~@zV1QJecPRH{m#3v z_ETDed>tEZXa@=G8~-90cU>vS8*GGP>mRtGIKCJ?9P8n%MS2)FjSF#LNxYbWq2G$R z{4Ix^nZOfmDI^I3ln6BVmJKAanonXtp!`*ZLn2;!*k(Mg5)8B#e@XAPZ_-ob0`Dy> z#ZRk~-Rdsy3^@=%Xu%3q;Z`F|j{O)}`SNFz6Rx?1-du=nfB*{q9aQd%?`4csRFu%> z1p=Y*K^sNrkxxO`1qO%5QDR3{D}q~%>Alp9=G#wHGHo1#>q`39l0FzrkC#vO+NaSd z8-YSP9DQe9^e2*|!tLAzQ>fwTZYGoX-7F2t-NLL}L&ZkQ@uny04@65AsQb zMg}Jx-8mue!u9RSr1f*n_JO^62^aPL3$h$D1cYO@E{A|n}C9Q{msl>uGYFAL)u&WETj zQkv_J?6J>Ya7&th6Th%a0p<1*MRdZzW#px}5uMh$6Nc6+AG*Bm6X)niJn#~^;U$yV zLY*|4b0>xGJ|VP#KmlF^vry`YCx~klg{COweBMwYZsc>t=idr;vmfEey1VNSQoHpX z)eAMTW~RFB_h$+L!eJ2ELy_RP2hLyJgsKpelcePHv2WdixJOz7G$NMO5*)U-D@`N*L8#D z#*S*MDfC6%K^i8Gjnv|`6vgf%uW?m21M1H3VmIwxf6$WBk2OM`(>l6F26ajcV0hEe z&|q(k;VmOmL`6;A2w*VaYdcn^!?#+6SvswXy1Isj>9za?CUR>}GL`HUSga9YERBi0 zlOiR|ZvOEY-SZ;ks}5*2+K-tyBcac#b=Q)95PB&<+yWN;H3t zLEDJqjactZj9elT-ve8_nrEKmFPnnOdClp1{U)+Hp9Z>NxBIygrZ$;SsU6vxf2Vh! zF*T`hq~uv@4{b#@iw3O$M5Uwr03Ta=mTwYpaQDt>+57r*%X+>#@DBKCp&i9#)Xzft zi0ohh!<;WYI3~YAp&Xb-ZGMy3DuNlafV5u${EOE}B9hLr{msvYxl;~V-3xAshRwV9n zotL%@10}*Q$0@$7s$I!L6F_J92p}nA(FR~;`ss2yDqh=#sP{IL&%FTNmBfX(F_qU6`fQzT?jv1L?eX}mCH zp)V)i#*x>#T0MIZ8_1NqpRc@{`i9r7{*oj8lEqi!3RKRQ8^`L7!aeT<_f}{ma~A5X zWpr&*WuTFI@790@Nz-VRH7Y~rJw1IXptJ~!J?+NOn4pKp^G-51eimF!G3H;X)_Xs{ z!NIl44kwZ7I_|Tx??$n2dfJX9QtS?XIEx!Iv5-utbfb%2W8bHe6q7C^SQE^1P>?Pq z$&4ncQ7HbkYSUPxs;W8L=1g71V?kRP2JL3T#C+L-#Gu=952#kRlRb#Zf~Y)`PKa=0 zD>lD@S^}uVr>=b&Oo&kaX1vX1OZrOpl$m(JdB?=O>N(=QI}&W21nQSfdrnAr(VDqq zbrKQNxeU+IO#9Boxb5MZtaDL;Q0(dzqfQ(ZLy4K2=ENrv4>`StPI=`G>LR<^{iQrw z-kNcNd3ROWgc&Lx`qKMf1TV8CyzlMPYJmiY882XQyyPE1lPa{&OzuG~-QIH74Fj3C zYVvzx@0py9s^&gHl;JGSS}z{m?~mk0dcfQWCsRxv?E9WDz(lDMpx2N_H26F&XP}=@ zm*t2*fs5#OiMy3TE05TTozp)dj@YLoTU5-y;`Il%4Cb``fB3>!bZ_!-4VDB}FxgJ; zhDqgR2Ys^C)Nh^|qg}Dar1~|}P8rB@IcpGJfQJ!+iaS#Hp1cGsCgkCj(^Q)d1khQ; zNLNOxvSTw8?*lU*Upfwx?6SfJO{!2aA7O3-`m@G*@rtreCl$X_wnR8TE2vg@w1wnm=A*@ z59BP=n3zfAUDylwLc@ho;#?A!;|gmMG&HqX2UG@;-zE|j>ug(r++@#u$9KeJ8x$4{ zp#*{Nzy8?=AUTTG-6iKawC5UKDV3%oQ-7{?3Ted=U8YJ~rjpG35LD{SDG-WUO0R|S zn0h^fNoXgg!Gb{39Y0f3mK^DC|2x`n>0tbW8)bmP1b-+oqB zU-uy<<93i}A+umovIL zOMx;SLIQwvB~pp$pZnPuiPjl9gL;`)LSEObWteo|C}UVw~DMsRe~nfsP@&u;KZ89Kb8Uwle10r)H2IC9*5!0EY`5% zv-(nhvWh=*g*pQ#R!UZl3#E9I7X#mtSRKSfiuzqi^&dw`7Nb4IS6+)`QVHpFty;ZK zNx5Wzu8)K>oh*0AXiiSP)_jYtH%=zi|8jBeFd@NxAsdiB7TYpSji$r|nYZ}nFO$cf zx4m^ZgF`*%t+X&7-r7hJ$oN}4Ys|^TmE5+e1TovEt}VHixD!iK*-$tE!3Sf{WX`MA zRhM|7y@31u)0n#Q^~XRhE0*6wEazCq&L`Bf2XB0H;q&wsjYGrqA-!q()cW!?9fE25 z$bfR1rP05webr!t(K*PJw^ctp>&naAhc(WOuL>OX)2&1ZNAytdRbx=J~3Xlay z^s;6F&GV3u5J3HcbPVhHSMWR^!T}+Q6096ab5`OdYXe-LuXm8HR8}h@vQDcT7%o1t zCydR@K1lf#*YBebgl)^>=`@7vug%r2AAvadmrz+>beuP0yX>1lbRdvju~hvk_Q27u zvCiReYN*Ug`J^y~hgz$sEtfWZQGc;MZ@v5*ig-}4?E+VD_up#82HMh+U;`S&edjic zT)dWsANe8z)C;O#*tXc4H1cOZ{98M~{W35U9~ah~bH2#5Q^Gl`?hv*Zr&XiUPFH=| z>oGy?0GtS`g3o}T@RUX5z5)AHFW19dLiQY%~VFs#rS4en?>}iaqlDsv^02s9>PLImJL0x(!|Gr5>v1h2y{908Y>A3 zK|j3k-e~{;y6AY`9o|2pT}NA@d+&if+p%OK(HILbr`L16INL2y(EX zXlpOIpD&kfzyAZgT0mnHz@~cwrL^GDR+Hc4`=WN;PYKD)mZm=ZY$Bo^j2ThcfCP6E z_`}3aG;QN*syl{Z5_TgJcniG0msTzc<61bn>$O9E`QuxS&&5URYRDFO2A33*o`HN4{5-T!*`S#UhnGl z!ynHD9)+n9U(s#CVFp79J{uf31dT$nO_X9wOHX9|*zV+n$q;-${h<{a4K3Ic@Vq(M z7(~bqX3CzZ1VQBXI&B(FWUOL94&cKY^jX)hz%o~4fPRaaE7aR=0D(-{@*&B8EiM2# zg3#VW-BiOIMXX?#x-zIQsS_Y{>>Y7Gk034!B%y!%UVI1qmwe>%~Q2N zgXu1mOZR)lEys=Jr)3>o(X69G$peT0b!ai~6ED1Fz3KKR@=!ld1Do9jKk`_NP%Sa$ zpPzKbs|&a`3Hd@Wv%fb=VCG3}u-r*-UzWArAWpb;p^M~8qAG!L>+&+22yhh%i&|$O zz+Fsu!S^d~U=sA|?04i_$84{z zhBpP%mvTW_5H^pFTZI)cW8(UcaW7uwlICd?4_v9SZ>)1-aDI^I>Yk?!+g)ktSnas~ zrLfa-nh`PGG0#g+#YBEl64rziKczoiAcu;A#@3#YJw6oL<=u&U%KKUqB^){oUq^5r zi<-w?eZ1}i;B-hI&usM((;JA1A$(3m^hgGt&(&XcX;D&`mu^^~75q=)6&{s5|H>8`ZjrRz$3RW%s5(%nX{0n9w|E=Vou{lW+JuUPc*44Vnyl<7?Fpca-u1CjW%2;2D*8MYtt$UaKC?#x zPuhnT{cvaYt4d(#t7rhaNJy^BNt4Enf1kbx4)kgg#AvuEV5#Xfyh^g?44^Abal zOj6)m;-gK?itb;pc}bQV|94|O_U&HA>7E`>`rs51712-i3g?kwI}3eUu1ZBmOHOxxX-PtJ8lPWHFg?EVYMYDe? z?bOAo4`>%9WI`B9s;%h?b!$4AO2(ruLQfC=wCvC9#u5ikrICFvSF>DLvL%{p3E>s{ z{&QRUZ05^xdH3#SGLl{!P#|R{GwP?wE7BAvLEA8c1>V(4=8*HYy0Yn zYN#8!YYFY@(6jKn>LbRb)|>cIDjsJIxj-`drPbMmKLcqgYx6a4*r&g+_1+V6i#)$f zXG-iS&DWBa9GFp-44uL$6PLMEFW2L?5}i;n@4{_j_C!;X0Qh}3#`2fzos%g2k(y$MjXS)OhYCM8Cb`XDr)W2bKgHa>{Dv54 z=?u(=!IO`lpt(R}L~Aj<4Bd!M*w6G75Xy!u#0&y>QOU0v)AJ`{k{2#cuY__SpAx#k zyxk#|4QHk4J1@jJ+otc_pHRXyHlA)eD|6{|7s;;uC%BBxVv z-rv#}4v%88xZdR==c>^wdi9l#2oZmwQum>= zDln&ksNkbwB7i9bR1)a&XS;$#^VgSM%ca^l{k%yT@z_vDV03)Yet4hObjXs0xYm4< zk^H({UWL7&1p%_ne{n3R!zQ>7^5~YCOJ?&G`*LkBHnFq6_6EV7d>+rbQuQSuiCuWZ z5$G;3w)9pH%*ZXzZAxqPO>g?bD(-*+)^FFg*Qoy>z>ODL06#QLTm#TO%)N`OOA$F| zw1l)3=4P%4>l*qQue;!0tFQxl7%?ar-fg&QYF`Q(?4NH3_7B7L0lZ=eCB>!a6)*dw zF(p{&dfC!1!uodHew3e+jcFqM`2u7|D~Zk`0=+Dqj+!8>hVEhcrudQ>-&+R$_3Vm_(OXpe;XszbJn0_Y`G);(%&q|MyYHKxrr97Stq$Io6O# z8;*cD$dE#B{Qks3@r^HkDAb%qO@DB~(lBF}A;lduZA|bcl%EYB=LKyTn_#e;?Xv62 zX$5ukqD(VM=IJjVvmd8PM|)?gS(-U4%*@;|U;6ITfxVyc79+9an~_!fUCJZsbf+py z(Gp@rI_>5+TQbRpYkpB!&|nbXYeN^i>4tk~JBFMuKO0382xX@Ju@)P31?no#*Jnf) z1e^RNLK3OJ6OlK~6V1P&W8?3>+SWxxUgEqMG9k6sWI`su@BHzt)&JsTXK<1=ge7zj+(w?!rxuSBOde?)ignuHe z^Z`6SZO+dZAm-Ey=$AI+O8G$Cq(5T*g3N~W?5)zG{e%?2EITcJG{q*esxO(mavH{v z3qX<4-$ZAb)~P2h`Sg^=_Xv4~G4&i>9qYS+HW!e%$G9oqn__7M=ndb)eaq8#yd5kH zC--L~Z=y;MPuOp|tnVC;u)w#9*)n4tY2l|16iBDY52{xN1&@*|XRj#YT$f#tgb`AITr zMDF`6e^}Z-U<&te5bFpN~175FYg z_IspKT7@_UWTv^F;$FH~2xtSEHNJC{z)uEq2NJP@+yOyIl9*VyfirjpA`Bb%Cds5( zdHbO4xabf)nLcphAe!7-$Xp6WACEzk+$$H7xTXP5ltGSW|$YV za#a2ofWh;7#nV0KyqDefdr1I&f5OwXYo}VY;lhe#SX#%D@iwrZ04+S_)#H<(c?&mc zIXoRfLZfuCg-=x+FHgvcQo+PpH^B#3L6El(IZ-0f(bCHz7a0pmACD4K$d@va9*>=m zd;w3y7{U}7QgW_p3#)5Va#xOYIVy?ADBTV^)ILfA{=;O)i5~^IEBwNAz7qOc!Y>Pf zDc@0ZB&Cv1;ozD;Guu6}uhreExa4qzZLkQ+8Q&weoGiyMc$nt)s0298%NjP{;!DT9 z9G@=Jk-?jf_>-VcWk>_#gI;MAGExLA_k~PBeJVepeAphyys4YxMli?}z-jwD=o!>Z zfY<`?VhZ^Kv0&Fhc}d{9_(zNJ?+S(z)0~+NjId}K(^XU3?JJIS#^d{}dC+Dcz~mSR zf|cG1bgY9t57L5WPWz&dBpg|j338ydd-Ff@c(AuOP!C^nM<+A<ZY25=M-*MKER_+Jm;g>UpG(vjxowm@2`G6#6s?3G6#~ zvXfQ2)&m%i;CsS;Va@p~BK$$`4{~jbO^!vC0o5WYB(HY^obdLQ!-Up*c{h;n^x@H2 zU_E9Shi#UjK9niWpul=|#p4vKaq?z+~2N z3~Yva-lM!>^pb=o7(*5F`VES5w$n~JJ1L}`zPm5T{?rQ=A<9VR@+Ceq=aJUC2!XO2 z!%-)9D(w-5pxWNg049!Q-L^C-3Yh^*`-p>llW3GJGEx5Ky{-lS<)a=@Y zD|8jKqpu@Lz?mVw#$^T2hM<5p%V@emx4?u<&`n{j5EkD+D|FGRSNpQh8ch?% zkUdUAUCxO6eInb`0uC6pp558*ZbY& zLw`IwQJ`Rjv}jpXi7fl=iP4iTgOhGPrL>gC=n6(VPh&hk&VDgb_uA!bdnHpDf;4k5 zB8+zSzj>*E=oEXbpe5D(Z=1+sc6RUnvwv6%ffdu7!?#W>BK-I;*O5_m(5#U%RgF<{ zvg=#dOf&Fpi*fDxlJTt#xRH6cjt0{=!v6_F%>g+64rdX}NN62+1>#FvRCsFSspE_0 zirS9k+jwl2337RmB!@#6fwts+=la0jwqPdXY2Cn%)~7Kb8dUm+W>W`fxq-9J;5~w* z?$6DgFy*eJp@hb)?B!K*z;!h#*VJ;h#<8wZpS_&~s>0Aefv0&!y9pVB>BBFlyJzIW zH347^3gWT`l3%Adb9sIFE*kmD;XX-z^$aZwzr*MHTJB6U_$Fka#&04K>;tw}XH=dD zkSpG(A1b(Mm#9?Bh=9#aTEo^#4|NTiQ^Lb1h2=-wl!i`|0Z`#+8 zGdtlJSn2V_ZL+|I>)j)k5&2mKfBxLfGnsUPq}V3Jb_!Ka}>{sIjlDh7n5JQl>^y|+mS;}~xK7F3J=&#&R%4_|iXp76&He1Ifj zkBEPSm(HN$?SB{l^50nk3w}0#Mw<6j zX&@YcFeWQ;see75u<+h*;qR=z2TN`id&i|b#(UtIWOUm$qWe=qA3lpC{dW~(=9mEBS8qx2bt-wa|ar5-8kEH#avA4M}?Dk?H*RA%6^dd0-v3k)vYL zf5#gXd`R0Sx1%-10|Fsw-qZELSq}%5!qfP{=(~jdZz(fB$hpT4KPk!Y>;i6|oa`}f z10WPW%;d9l)z;F%dLJc|F;S3W@hSgz{GW&`xBH` z%HB*sSg!5p!Twjx$wX&=E|PQytTprZDS71nI4}A@sNk;~j+dQm*+}B&_xu}G;Ne;} zRNI5%4F4_eCV=a^W10U2F!JJ`$V`K@ME~~oRSXbx*lR|m{IwNuKuKV~2X`jx-`)T| z#~4(W@d)zQk)K{Z|6?}#|DoAf=Qcohqo$6`_-8sWJy?ooNhCXa`_pW(W&fEopTeIL z=DPa&(8=2xD*qWbC;!M`UhH+4{2Tr_he zZEY{NcU^jUf%8kU*Z0osP5}Z*#^e4lh3hA_%FnW;8+&INgWf)5zVv7^JnvT2hhKLc zp;`3`@Pwj?t^IXn2uI_I8K-{TP_ok{F;Gdic1a*-G~dlgW!HS^P-k9flq=mv`EH$3 zXsaMwJfLwtc2)ML&M;KfE|R2-ua*S-`;Y5M>YA@M;5(@bO8jL0X(z3Z|^)o0-)?aCL1Prs)S|U@`)UA zY28p=E|C2o$`($;&$5~{m}7Rx19cmrDRtIhtgl<+o*jJ2@H>~xteq`i@1anmO+-^~ z0l3X9xbsDzpd>OrKA!38WNTZS$mJPJx)K&ZkRkBm8lU-C|Vc%4IrEp zX0uAs>{D}J%oI_l==+q6GVyweELFGL8`h`mUZ`(9qqfetkKd-eCEd>E#k37{Pc8!E z;J&*j^oaQ^Va}O=Nz!@$-V@xl@mi|ub?4iHMFM}Go#F4Du1bamyVg4O009B64gxlvk`d4Ir+FrOZ8*^8N5#C{Oau>zdxNeivg)g0+OHY{CC>lUu9=o~1 zOyBTl;=jdX@0pB67ZRAPCrY+Gh)2cSvGfF`J#Wgiu49bM=?4|Jj6;PAJAY@bMU)uAWM*OFnHbC&es`kvQC}Wc8Ykhzv>%T*5=fLYIcm8mA|j&vN>N{cp*{NK zMGK%5pc)P$TymNm5gH5&4xE`fM6;9k5Z(rUAsbEyT!_V;9!AkySM^Ffu+9Q>3hxTj zF@-fpl@o~iaiM*Mmu;WcTrUd(FM4v1TZ>Mf=U^>~@}bOKpW2@8T7BR=H~AU1qR5(H zP=gDE2aoEyh-B3xOscC7k3fX*^g+2pA4;}!5Vw>O3nL@1`U7EM;eZ(3gj(c|d-y&x zGqX3OSs=8Q_X}JGfm{fw_EjsxaXu+u9?plKuBZu|!9+36X9-Ww1+KkZX{1hk@s%!$wq(qvO*nC4mgV^ci%Zv#)4g6_jsD z;{mq86yQ|jXE9B36q}2d^(WCgvN>*f-QDG-PHTuy7~T3Rw_T3{O4VV~L?sTbr4BYU zF}b4iZ6h-?^GeTkYKrHAs|?pU={bFASZxqP6HhhHZVQWOF<_j%vb3Dm_`BSA+La9} zo`YnB+o#+ix?leKWHp)WiC0gTw9nm)yrU~2B*ZiRNwo_UbTIBsX&k`bC9~1PAy19z zjL@Lx!}dKXl-VWV-|jak@aZJm*3qUO76#0%$O6I~`L!k*kZR^(@9V#ZKX$oZ?C&bA z5YMmsJY9%X5mZQ|;q_SC8C{c26vGr{zbn40AB!KW#YYn{2?&_O(f+Ux?S5$)zk{mQ z(!A+PmD77d+cd?hJ1%uP#xF&S;s@};I=L3}8Sfqpuy+;Do+6i9R{BYpT5fJ@RNbe% z>D1xsGAh*Il62XhV%VKR2kC&aaLo2^gPO*y0^N8^ofxO=1J9?cdm!;7Kw+5HQgQ0aVNwGSsr zWF81SCO!45__n||(PU<4L4$cb zl5p`%=2wv3%r@t^c>CO8T&n(Olg{OL&7NU^9OLC9E31#pXH|l_FJEe@7aFM`d~rY< z_KM0Mbp)GT4>~+p9fzm-*jwXz6OVGuYEx866XUCeilY7*A?)8Xpo4pugPCm*$N7^7GSAd(2(*foV=ueJ6S((bMWRF z$U=GvS!*DXCC!^j7wqp*f^TRn!ecC*e7>oY3t&D#ZYs=NicMGNrx&d9eo!dvw;QH9 z|Lz=Q>tr?8Om2R*i13z)P?P?pA1}h6##TNCezb<*So0Ov)7mtAiK9y>I1;C~%)pd1;(QsOP zZ1O(9B4hV9=-&%a=i-NFi*;fWW|#;M_bZ(C`{qP&t;GIcRCdSsnGpCHrtu?j9aE$p>!4La+#z&;QC~+;NB3aV5)qnv6p#Ho( zJ!7Wg^h&9VHfml>x~Mxt_P3W`r;U_=hsc7(Vq~DUrK6aH??CVQoqY%#i*Z}4b{HOP zUlFA}iT5zE$+NZ&y1ZCAvpTUgyPQNmckTpQ{>BYi)vgauLpa+t6lHIL^ToHPgz-{k zrDMrvgF^PdRnQLh(q*oDteL#u z1zE2a&6g1=&pH<@#LUYrsb_c*)mMuBzkETSr?0YgNcZl{Nxb)}k+w=08ym-^%O1wK zE223h*9(U2fzr%m^8EF$+ik*Ofn*p1!hk7eq8>7zhXplvwxu9zoDLtX>uI zQJKI#(hV@^_4K3`tT5DRWL*@31_Y+)mT!I?`g=jPS!5<=o%B&7cXt&jGSi5N|Q71eIJa_ z!Ak2QVq2}~@Oe)embPi3a^{6o^N-4A#Wf|4_S(UPbr6TelRe)y_2#$T;F{ORO3HGN zMUfgM2o+vNz*Rui*PZS-^(+M`Cn^#_l(Y}T^_uy*5~J6-${Z{y8b5Mk5)%A=d`s0B zUeXn_sQ=AMdcb_vU;S-g!2MV`ht`5-WL{cAs-;!oX<9@!6`nL`QtM5mrVvY@H-kQ6 ztgBca+gAx#pW*7WZ0M^z(_wI$u{oU8z)PM?dDEtAazEV{;`93SV{>j?=rh=EWQp&} z)c%4xl-o#E73xtUF0OM$Ny@|NeZY*I+7F27pppmIO%)e{%2CqdqF6OpDl_p^r{=DLcli$bvA8u+?UoBQZ<)qeAQS&4H z=|OZv%P-d@V9I&TLEe;CCFX{_zfeVAD=i3zTDET&RQu&DtWqa}Pedo}ALdaef?QUY z?$GXSm7GccO7vN}B6nEVr9Sb2HN0*XRtAX#vQ6?`dT_Ey>Raph#9?ADTfLYL|DZ+l z1uVlC%&M7`jB5@+MHh7!RYw2JZZiBXN#ro^$$ey4YIEv#u$(%HtH3gGX|}A|(*2cm z7AY*jElp8ne5G@l0U1mcp6a$LXGax|k0!=l9{W0w9-QAfk^MUBJ;8(8I>dqPdk6-< zZai9~{1nBM4HX!z1^=A3at)sd#)tc$luU|ur}r)j^wjA5n((a-`WEC=s&MfwZrl7Z zmNrQCT>F%4E5jwVJhr#iu(#eKFY(3MAWz1P2CLsdTZDW$OvXNa;&X)DlD#R*Pm18T zpxOLz8pYllp!m1+x3{&l#bYoMdjTFr6Y3Xam+DSYCP>WA&y0z@f3(gAGrXqFg^B^k zbIlGe77(t^m<2d`wQPi8aE|m0FC+sulk(@!a2H*F#z8FBB$2*^wO-hYH(9pJ_Yx8p z^%GdBU=n~z%K;3P%faAcy+&c+Q(Z8&`M{myS;|r^!ZEr2L*Q`j+FjZ0>fLVbi$3>? z@J2Zn<2)FNQ{sP|I zKX@^I82yd8y7uJGULc3O3g;G*F)yO~jrC8v;f+3`^zeqY(r##WP+OwX{Mw zlcp8~R6TveyK_~v%ZxU-*?pVVuoH$8KsPQpgLwBhl@=Gd+F~vAOk{T|jMA`jN!`&A z-u3IHOC8!;n%u~_BMA^?L?2xnjYP6)rvx^t27b58O14eQp}qc=M|Mj?(w27_FgF9qGvFsVfQdQ`=UwU4QRqLm&9- za4%~w-IjsZ>V2*%gkP$?gFdJ5g`T~|!T#Dt%jNLlY>>`uku)fHE>6(Xp>d|S#CV$z z_FEl|c8DAvrtEch`zib?Xo1}KnePX_nNek}ZNH*rJtU<>EWW!y+nU?cum!CO-pNXX z$vqZN%51f}izyPalsc4`-->0LJ_S=?fV*dRWr*rKA@c5+F|K33UO8ST0gm~1YKv3SnW*AGD9!b z9?U^c`P*T-i^})u5b%`bviS~7Ctd6zVdFTfC(Qe{m zb3r%uu!)J;0ryP;w3Tqz$>*as0-i7*zKx7>k>50RcvCP*KG2szd<-CAs}MDF=BCQ| zsr&7su?MNdo6T~wN+!IwBH!9T8qvOWo0Wtne_G&qBfEy;yvK&ALv5)B~;K{#WcxtO=eG>%c_ ziI!vhAW5yIt@YYn??`U(6eWU`Ys$O8?jR_BkWDRRVg;nXcVdl zZ1T~~=j|^}J*bN-xZ@Ns!>{HDT{!*%p!gDVmJGqQGCgAIS(qK?_R}qiGq!Ap9#)T_ z1KKLx9o~zBQKSHE@`(J8u+}`P>aReE4Sew#Z~o9o<%wvwQH1hD^*MRaQAeq#ziIHT zceB@$bj-|tT^NZJxVLIX< z;1vE*Oh+uJ`Pa^mgpp#-_=?XL-yycMZ8g%^TwG1@hHiIgYt9k)Bc%&UDnZ&>Q;6)> zXDNyd=P;7PvaYRBBzi7q;cT{(H`l#$T|jsXCpg3JE} z7%3z4U&f1{x+n9$D3r~Aqfj?pogH3!#Dw-R0e1@WfBVgX>b%^=ek?l0S(0w6^ytyc z3bpfv7JqJ?5&bWyX|gPg!kNE(_SQ21HM?Y5dpa*!K^)Xi55Q#xS@D({$01$9f5AB> zIz6@Lspn_@LA4JjkKN?KtR1Tv9WeNN!E*re^uO>Ixtsj@r}1kIaRBzWaW~|h(CdTL TkNXkFfS;x+6%h3M;Yq0pH literal 27326 zcmY&=Wl&sA*DcQA?hXNhd$8a(xVyW%ySsaEcbDMq?(PJ)-~{KAJa65q@5j_s_vzE8 zd+S=e&rGG`7MQ3b+~!Bz`3KiSmN@86%fa}Ao!iyZQIpmQq^r)NvA z4uL4iIr1+<-Smp`FAvyK#hnDsPn@{a>M`jw&{Jw%)^sA; zpI-u%q1le!yxzPz>NdX+tVo;nF5=>E)Ocjeaa)Th%gR`-IE}3w25ymn%CwK++UuXC za~Fl)m+q1?AC6S>GEvA}$`kis;fiRj)E;`I>0d62hxYA9t}kVJR0)iZ24Ka9`-6u# z`wB%Xyv<8XPP5@IkdFwNNu!OLF2BaldFk~Vlzm9dP2!i_Gs(AohrDUw++x;joaqzs zRTtBj*=w?a^>_ekwtN-Fd4(3)yM$I{?9Acu=yFap*+DLPLihzsI@#YJn29AgBA^+@ z^$DTr%~i zZPz?E11TkB$lMw`*!Kl@^Etj&Cx+Rcv<>%`L2bst&GxuCpe?icAluZYtPksZMOx03 zmfV!&62cMgIE1wZDhzXqEMX{TbOfu9nY|6L-~1MeU-@oyPivT-8383=k*qWrSb)T4 z2SEFM?$4hmcmpS|#?zN+62pqt*u#<_+E2nR&A;UrIC^x}#kJ6^Cac~~YSMSnASSiQ z-NbgWVfV{@9#tgkE)a&^euThv$Y9!Nj*J~CbivaN%3-h*@Em;VTbs)}pmho>?ZI;s z$2Qj&dtT3}L6)W^{|Sf`1f}#dac%=(11=tYIJJpa+vV zlkZjP6)M;diI!cp7vo%^*YaB|aF^K&BC;p8>kwExgTs;wovQZ^X@_MDj|Z0~u<=?4 zb8r9NXQvS zd$wr*k!Y({t-lT0dHNDWl*8tHp@{J8es^_v>ATr!qhIdI8Kk5on(x`nHuxBfA4U81 zbvDS(A2f`Eog=tERSI22!A=CCIvAiY3UCMnHB;jk6%muP|(ysifHXTP4FC+AKdhA{e1wB7qeld-bMjGpo+!*)_88$pws`X zI)UfovNwl=)?#b2c-_T)_7WU>_JGfl7x6M4Iq#d{xXkLJR3Lby8m;NmHVd8Ot3L2( z^(WJK@7IR!i8cZk!gYw`uPl-6iPqWUO?$Mlp>58#<7J{&c|}dE*ml>Gg(At4KwM{c zUp0}*Tf^-sZOxLGS{P;Uy-T8;1_Ph?IhNDpvhBQ$c!KLHXlDefT((o^*z7HuAdI2y z=lk}j=CPl6OvNcL6=#kqbiA*>glbD=J>0G4^E@X#W7FimJ)Ab_eyHhm^|eI2W8+Bn zT7sZ|T#Ze>>WGr*KcmAUBHqQ@p6TxumWUHwqhr=*Qau#YBHC;f8(OM1|K_t0M4B8# zXgI2&=W#RwkLIPKJqNB#QuI?w&1`(QZaohUw>%3C$pFH-nY?Gy5o{rl%(d?BqpcIM z2+THp2e<`nn%}cHFV{zySa@k+5p0P7a20&}w+wKF-`ALZ50(^)wraG?UZAs%XnB^s zDG*yv9zR4lE0QDqJ9Y88kMXTP^hPCzf)sq*HvZ9H}ISYYpw8i`J70G__q8DKoDfBxu(7*oY;I+>c^*^>>@o5w&~d4s}8Yt zJ8h~Lgt|!bGlKzSzW+sT{J{WBm^^a3Cg}-2;M1i?m|<#Y%)!on4L(FuEQ_R z$vI@vL<%Z#XfO%uvOqLv{WoPZM$e6}{_guX4HEY)!}_?2IJ=?qH4=eP#Kepup#cD6xcr&o``;ynNwOh^7|I!dfXj0|O0k!XAIX<$U$MTNI1i-CsAzP@#0s5g-Rh+WQf~(`Q#G z))Cj0USE-V_mn_n*5puLR&B*W=(L)<;eZe1Gu(|{QGbVz*)E51!?B@{XV{Z|%B!{j z)!ibl2MD>^c{zj_O(m_<$Js4bP@bW#D^D}P&1p$+Aq2rR9>z90q zD?Y2eW`pg&=h#0?aqu2S!duFTv{lNZa5UGJ)U>Yc=Sx4H z&~fW1oi77&#_tK(J6%w`Fs9Hy&Y!`x?Q+FlwZjbLddxQx<4z`R4;!ba@nU=lABc-` zky3vIvATC$N5P(7CDNo=!{PIul+=S}kK9@99?f&IzlPygFZLv3(h8eR^i8PBi^gb; z&khcfRD11$$Y#ry*RdWSxtd+o0iYs3#@h|I^q^3np%znI68?Zxu#W7`bSrZ5upV?C zIwPk(r6Dv21+d+k5Nqg_4{`sf8dYt{996Se*pM-Hw@o0@>Ab+f9~s;XZs*KIAuMA& z>+dT>;1%(oIU01_81mW?w>_!Klj$5$IDoHXLe}u|6X-}IL-^5BF2kddT}&dpixu2=?GaV@QdNpS9OIh`80a$ADQcy{T&sn(ny z%=GlXe$Sd)B0Bm9f4@!k<{qg%9nR86nYEvtma)mG-*2X&dbOhvg;O?z=la<2*A(ID zs=q0LjI^cX#|UtTMI!I|q30ncs%@aP(9myL64YzwixEk)(K(w)*-Y5IuuE|JgHEXq zvW3Zba(9@afb@5^R-IlmQ=^T%?Dxmoq@t^5YYw@BP_)sYD{dsh?=3V*!{IA%c;b0g z(TQq+$kAVZ)2w!N$0YN_*-=y!)y1!0PC2IQoljdXj%H<|x;$-$&&eeT`;d~Xg&M5& zaCeIbt0Ge`Mb2oC^+z)m(Np8U`hp6*$i%u@Gu$0l9~VE2Cm2UxaHrj1$+hu=#rpLk zhf2O0F^Suh^`4>}vbsHR`o6ys^DljwBdQT3^nZ(Z*vYo#Nbp+qP{iAok~Pb(Sz;)K z27LX=W;xHt?J1@C5s0iSG1XuMWN9?KZH4Z3x?mzs(d;rBLX10%aK>k@mLPm2sbbT& zySv#|eRsn?1DJE>nrf@D<%#z5#%l9A?k)uW7=ODAytakuqaB zi}UV`A(R&0F8?*GjL4Iw@uz$MR@{p5AFz6kFZ~znd14$&TRomOxN2biCm=JA0VrRc zmg9c1gmay{_HtdqhqJti&WM&;e@vfZ+Rb<8;*#!XK(iX?@1w|ds?0`L9O_&=KCPq- zRuYU-B^@44W;{IKP|xjc;53h?vLRjiW2=@&m1(efU$m9xz6N`0BWo$P7pe2ZZ{Uvu^hsI=Db8O?suY5U%0CvhcyR1iPxEu8VceuT& z?=&xxm0>2XO3HxeYvt8p%ruIG@ewO*`znvz!~Uz5$qL&av|L4^?}D`@|Crvl18?ZIPBRItI|{i8(rujhP4@l2j^z*-SNF|ArJ!W!O9 zaKUI-m=QcoIGRavgDnRIb9T$)_*nu-dXRy9V0~2#a>ku-u<=kB4|~yoxQE7$0`oNVL%Y&+X_A#zOdeg0wg^=|48qcf2g`xSxNS*_jFO=t5Bqx=17 zqeeC3VX^Z(dtnYy?Y`d_=UoEc${aLzaU?7q&~C9tJ^J+;@_^b~u^{ww>;JX0igK0; zgiD4)?;4BVVUte0{A$KQ# zTS^&AN^g>2mU#cFu9)yCiNess3iFCD1^Y-C!=UqBn$POsmN{NFRPZ((WEr+J?4 zli`qlV?+SI;p#i|-(0<;OgPw=h#`DXWmeq0mliiKGiY)BZr@?+R=Q=6Rh@zVHt=j6 zMfE!_q!91Z0J{=MHL_FkoaekWbi_kaRP&SXzFsdLe_EuMqY__LV)5VhNfWE|`24HZ zF<Nw3bA;x$Rzo3m9;DE8B5@gwx^h-f*%_pV|gLWOV`lE-0q*MTW4)mjs2>TM`4BRtIV`3qeDR+Fblv3kNfG!2=P%NUpEmErDNQ6fR?hSDBw0?3G{MtTh*u?ow!6bnO5_-o7RoF*}AXZ z8vl*}J9Ptb5oaCk%!N4`r;S|v#1EGR3id*rMrZ!!vWBYM$e1UNhLW>HrU@w(AC((= z2tN4=T?qix56kpK52X#o9m3VZ1M7-eVkC9z@P?Uu{c$;>#R zpZP&9)(@)dqEIJYocXhCYA)LI3=v*Hom8y-=`TKF2g!FFW2*HP1FCbEr5y=`Vowq- z$&V7x1j(v%dtY@&t5J2uy9;h4Jn#=_R+WDR`kXxdK zcmnVwx~NW2a3cWZ?&(OwrGBnx1OU+}o5MQ^ z=#-)q@*^P@uYVM$33S-DU!d}iEEAabRL%=y<);KLO_Iw z`%(OC1MBt1?UK?!LqG(GSpp`J-Q8Z+=sfAmCRhu9%aMzH_kO%Op?PdlJj7_{H3HVK z)YMGW?*U4^5}4dC3z0B&rKne(=Q7_H@tY0SNe^ao#=i+ay4WsOx6M&bTj7}QS=rQF<|J-auY`?@x zMLU`#J+^V_jkg?~KY;*4X&HIzwBZbV`wXBv9VPp28X2W=nWhy&mTS?o{d`&Dwy(Rw z_gmyOmdV0bWe$0W~#Xqxb&Sfy|F`*+^U)L=>?ey-d(qNta0 zNg$|v4r&qDs4Hgl%aeXMy{)qQ)ol&5hfMlz=h+{+5}QG?ecjT-_8i}}E`u%4byHei zNZZ9t>}^A{ZS#gUXtA7E)A5U*=JLg_;Mx-I9wsNR+aIk@s2WO_D}M?=ts}uwRXr<& zQ$Z07Oy4`02r*7LuQPa^2XYb*5($*e*Q=~Kd*zElNcp@t;g zbv7zhX8378`pb$2qN5za@DAg?v7`Ek zZh!1m$WqXEp=)8!S3GR@UL?sUxQIo;+ooFAgMlrjA!M%yh;^9d7#JGrvtK`VeZ(F1 z%Q(X(Q7^$;zg#Z0C8A`dW9;_5YkLwYyfqU38K=A#sALh;*X%}iT=KpKD*->9X;PjP zOl8mVvi~>_{4sN*LZ;vTZ4CCxwg!`c*-+)B{xP)m<&r}f6itOn(B&)5i7rhE8l*GpI*+AWKK!h5b2_f%{5X}$lW{pXv9R7o4JR|GGF z#ZeQ3tE=GhH9P7QpUg4Vj;T+={3)hj`{73;+=Fyhsx1xLPTtJS*wLF*tAosy02rb~ zYu_0IX_HKnopINrvrB)^cuwc-q<8fVJ5BM@9S7T8XBi4s&2X*;N?ESae3l#vGvHv- za}6U%2Z}RV>Wz z6PF4=g7Xs;q${v?<-lSr6w5p?z;=%Mp>tNB)u*kJt>+mOnA?!F9uRK5^n@5LEJa>5SRu#Nd2CXJW&{s`BN>{Sf z61(?k5aj?o-!UT9WwgUIcy*}Qt;DPOvq9+Q9Fhp!Vsrk8tBRkfHmTKRZ_tBdeyJrzw^Q1f%T2FS?Gmf zAlZ5iawB@<{%wIy%x06T;qB5B-xpkdBF99X`U1sJj6 z&alqL_zPw8N8OD-_gqJZGkCXlHds3AAEa|$d-gsR%FCgGs>t^)Y!{pHK01aC&TUK* zkqz^9pzVVIlGb{F_x#36o5}bCo!nCVi+@0WeuxThjqplx061l|V3jvHu9uHFJ%2xg z%<0!v7qOry5uBHdQNRjP(=pmQLs~gfB}@gb02^IJ9cca&cn0>U|-pL+*Q%Cud`gV7}?P}!|V2HL&Q`!14T*%<7<~&rhkij=`K@@hOenE<4 z&C6RBA3M2koXpwjd{D0}w^Xy?B@zmR`cm1~L{fyjtnOMQ$1j<;?2=48wTwoy2d9e6 zK&B`*TFgyqMBBEqJt4}^;y4O*L(H5h+W%|onmm+*gaR}qEj1_Ac!S!$aqPqoh{g>z z%EScK4U#GSm4rg{3`_4@N+xoFP!NLvGbafsspi>{sk)5#7v^k|U{aoMiX!0(Hb^)U zQSmq-AOvz(#5bma9%^7;E-3W(BePfK`cYOBzoEdmK|=g692&~R3d#a1tOU=fRH6kj z{{a5fMO<|i&fqb^XC4d63h{e0E}WlW6!|>!1%dna4GJ5KUeFIRBht^r1pAZhu3+l{ zS^%H?mmVxVO&?IJXK3h0vO@}?(0WHi+*Nr=+WZ42guh9Mm_qcO05y-y$^98 z5Je8dszf%2@Vgr$2biWPBk~FmS;T(~Tl&DBu09H4vHRnl+bqbmUFj6EZkq4BOy>uX zzU6A0lAFGOQh5^LmQTQj0$m-odll^p{=ofbuHsKwi*Jrfbcv>bQek2QGOaMgS!U+w zSj%`I+3$zTaH5CMUZhymeoU+kf=s=O}@P9Dk2keeYW@>Kj4TP z-uQj@Iw$t-mXU8&tyQniRQHbl;XvN#N=Vd}b_n(pKq1>X1HOx|XeBb!; zvEhzrwb}W7QnPLJl;KQ`<_2}qIWJ(Va^vw>mZz7Kc=WsK$EJ%dF6Ty!r#p-YKD&0B8@ch!*iXw!ogwsw zxmb%1HZmx4+^RpTO)+*n_JZhIW=wthnjwC-$aZEy+(s&k6QaX5^;-hyCB&f$ARA^_RirY0)a6bpw}cGWwV>D9zisNYNuaqSNmD`(|-l z15ZI!i*{OIM->d4z2k~_TCEX9W&1T^T75I-gf_p~3rMLy>cPpA6M;sE;Pn*Z2#Etm zbJSe=X{9OEsOSMvw7SSZN`2k#MwNY*y6il{;)cKz+ut()XvaGYgqI~{NIZh}Q4g{u zfcT|eHEG$U-qo&l8c8X8o^U2KC~UQ}P>3I@wBpnova)x4Xa*)T$YP~(Xif6^e)Qeq zF9^_NENgy-@=3|ZC7^--jt*}^E7g<2ZpG59&EeUm(~lV-G%HK=2=yegq*i+UAp0#HUUctX zGG&}FAddgooA=Rl@n9L{*jOcP9%4CDa0O?8@mDVd9Y4p3(Hv~nTrhswKpqMrVCK{O z`2U&Tohafvj?^I?GF0MdJqF;Gw%N3QZTZ@si%jg@94zAdH%!TgtKoJEbeBI%whbmT zW7fwj`Qw+`KIM|aT#ikS8EcvCLWh_Dc#<9n8LqSdK`OTah%0k6g}RL%d$S;L;=fXS zEQS5X<${20K9oeG>7(6tAl2c|f~`Og-uYye=|6vahnh{HvRtFZWZ8H8++^QBL+sOM}@plPN?zAWG;TOY58!|)l>A&CYvxmk7 zX>{!j#!rv)D#_PQ}K^1qaVNl-5C0MM%bg zi>vRXY=jrFd}`GU!^4YhuK8)dTcal&SL+!1`K3EOFeqG7z=w|FZ4rNJYeJQF@Pugvz2pTQRDU?FC{1H6?sMfREm1PxC zn_Ru){xC`&j9~vBbO?w(5+mU%GaAH`QP94a7`x|)khk9z>8r;p!Q+1(b9#-oz(7sy zjo=`B>7g}WRQhxHbiW&VEMrcZGr9e;@6{v9c%mzZ@IbLj@rRC&)CKjode$p^-nA4< zsLnUX74=Vn1#%L@OUW04qH)ys1>E3E?J#BjMxl?4{q)+H&5!p@hKdoY2_YARt_g#VHa2yyrlAA%wyEAqf(?}lTpOHg49 zV1!6Q{!B%8i$HRbsA=SpEI_HQXgO@t1`O#Ln-KqJF}Z|P`N--({Lagr1(!kh6D%Rw&MzA~cTy<&X1o}#&O zG&YYIsB}bQUJ*s|i}PGa)Ms>O`a5|lJsC+51hHSpHd4Lhd{3UMxrW&|AcWsVn16Ai znAhckzo%~qk)x9Og*5IUC4LSVXpZos5TK~_Ad=~xZHXeshtX<-17j}|1C9J;E(n_} zQ_HhaZP*b8j9x=jP%9p+7A#(}$9QuB0btZ>T!`)j;H(cREMdzPP-v1U_qWFeQwR00 zY>E2PGBb}W9cfXdtPZMj2rfj-186bBNh8Ek*9_rT5hcc>C2L^znqUv{^MF`jAXA?F zE0fMhsRWX^^}iB4HDqt@9ey51{#;NQ?6()c1j(=mV>LP<;u6waA8G{*D;I-QtrpYk z6h$%AvsARd0N~VcIXs(58Q$N@-!H2SxZho_hxSvy{qOr_etyqoK;>GhL3(we0OgyB zP`zxFzy0W+9NvV|g=7mDUv7dQ!f(URZ%&=?psidho&gGN08hc%1|p`Cz=Q+Fi4DrF z7+<dFb}{K1agvsHjN{XxC2UaB9tICr@+JR!$@{$ zA&g2$)ervNHnyfG^?4h}e;Z8IA449o{@>{GtGcteGQj}|2kL(|()+0^N9x>4U3mXH zvRvwwB!kq=DVYyYJ=}Wk{{~RNh1w}*RFN28>rZF}_{}MOx#%j_YanVre@I7H8PW#; z|C5QTh2N)EIPpMV6j3%^m3u|mfwLx%kS@f z3&Zq*$^Vr2S8+{ByM|a_6obQH_LU$OWJS`hn1pvNB9QaJ#5f3D${}z>jZ`66yS`rX zvtQ~Z{sxWE4rv)UUqgX@Q(2s>UI<;rST<=)@3 z)jj=JPCusE{witvL-3zn75 z>hUI#PZhfW7bi^kToywSg2>V1JCexm9R|Wsyym4=jPlKotq~i#99_W(%=h`hp&#dj zGETfxWBQ#O{_XbXB|;Dmx}P#KI4(zDH7e7mqjs57STWDC-hB&I_k9UWs}F5){7C!n zHfQCrMm~($oAQ8Y8{(pqo%kixn98UzpyJ%<<0=4@ot^bvveDoWTs`MHIl)TvQ%0Yr z^37$p1duOc+ym$f_>K(1U^9&c#ump93~spa*NZWP@c1LxTwOrq%WvzfBe!-|1VESh zK-Ep0fE4*><7v;J|jU zEPvQYmVW;-UyQ-!i%F*wwDr}*<)K&Tu9tWr7lq4%_N+a}1!+-M#0n?PB}rW}fIs-g z>{+(d(UgXesm^Q)pY;jah*>=?N@AIL&TBQhO?(n$QW>y+Ep~g9FNswdZ^D>+KqL1A zd)z+SNJNSJh++alRjk_%Jp=et&NROO~r`<|H$XMpXou8->=Yu zh>c8%ryX*b{gL0c)`{08gis-tr`7FGAtmfi4XoUA75-_ffstZ@?I$3KCa}p$5c(l6H&?Sz z+KTqs9+p}YlvoP0+T7s`=X~kkbosi|Cj#xvWN!@d>97jt zeL+Kqtj1Oi0@BeIX4^VmAu470tLq~`Ru_EOvXG|tWQ5mgSo-q6Q9Hvbm3U$V?F;Re z(e>!B2|@qk*rMY*s)h2RRYh%PjTQi8stAk8VTx&3mP1rhF=?3tWru;#_GSvMUS?&8 zUpx1+2kEmD`dG&D>Dt4^BgK7|rwU?0W{h8X+GpC}+T<&qX$9Q*5^W*~ZF^ZqH{N2& zoi!a-+0f3-g*DQo#0OY@hGzcwLn()vjzrZ&$uV5FZ=f|cqXb{_~>uPqzR z6O;SDE|bMo8yB8!8e?9N$+4kdYz8-3{}f%T_{!=7R*v6CI?3x`USm8y(rB0}t%*4j zy5s!A(Nr$u+aci>$^u*<9oZ^Oz1spe}Rc2=J-bssclG(+9YqLAEB!-^TwQ6m% z2{otr*NCX(=~5|SKRPlN6fepjzh4O3yy2vur*O@&81h^$3OPY_*r->S6%Zs= zHH+nioL&JMt@z1^-(2@sS?)#wN)?FDroIoqH6CuV>Bt(EuN@}V6Ub-oa!|h520?zI zf`NI&F>vc~Oh|ZF8fNe&;2s=%8OcPot_aT- zlNj!odEI70>oWa& zwQ!U~V7hMak$R*|5iAfT%@0IMgnCc<)9(~iDV$iLGZr4(lr@Nk)p@^$$<;T_J`fl2crcT)(ZO(+Tf_x7bW{>YzSfzh?oi)* zwsJX~Ds9SgqxQ7G|6mbk5gIaIBjF19G?Sz*Zmpt-jD!W7XvOh91r z@^@Qlb)?J-rnA@ZK3}{1@&g&d4>O|Y3&a&9VjkpZrX)7U9${i$gLv#n_W*}ZgVP>QN=2;peKp9nV0U6AL3<6yk=e#_9)hJrPFr8tos3OU%$hc6+XRE_K zpf)l*>YFx~+U+>)=)u4v}G08xFFyMKk$N-D-t>TKtSwi zcQ~<_BinU&NMJR`!(TU!oC>tmb9xZ;m-RCOHQJhIECuC~ z!iu!_pmTtcuw{93Ue)7t@E{=h0*JWCy!|WtB~y2o_0^c)&fV<()5td$@$UdQp#MmW zn{nwhqxGMY=nzEFXuJ$Ge`H5HPmf_2R#)E7Y$4pZM;eDZmW-?`G4bt>gviuDAh3u2Jw@f}CTEN;zi0C=C> z)58kW-Pxtt2q#lTtI>_c2 zmyoXhW#9L8G?rwcj69k>+zk8TUT*DC^S&&(Dm8Vw_~_g8kpt6v{e!X4NRGg6b@t3) zzw+Uq#4cIJ4DF}8+w=w7?x4?hE6)i}{epd}zM)G?yHe;m{c)%{0zlm4Zm1G~lr zC)wSL`atN={tM<2){REaa&06&lR+D)3V#;L(N8+?8GaV+Yvh?8BjiOLW-oi+pljES znMW8?KKTdBKL9KAC;VzV9t)n z<@5w`)|d*}yf~wCg_{BM`^xqLik$NlR9yz%FS@S)Y3$IgOVe8=UaxF}Rifiis^h0y zA)q4e!5hC*4xMvUnsAILzqeT_Y!iMo#&3LDbdrg!6aCw-hDKj}Zpn51adM)t4MqJV z^=wlQ9(#Appg#?>)f-2>hJLLLN4qQR`QbAG>^F zbJTZqxh2shqbBRXhmSxRLNQzCI$m?gZM6Wr`J(YWbG`;y8PmzVl!Fe1?kFV;EA?vM z6US0l2B%YqwNAL7R{F|L5BO6|TIA^4KSCg$D;Xh_{?SC|sZSoI{G3WG84TPSZo}bZ#7kSC&L5|ON|U6yW-iC+hPX$ zr$?elI*Z&?71uv>PWRFWV4p00^|MWv&NApUhmvJ^f1)hcAaK4I;+2Z`j$*nY-+ zG(G*G2ILXxao_>_q(o{qpDX>dHB5WbWu^V#T_N0mCMKQAU~T~~OFh<<@#xLC!K(R+ z7s;ybhCOc%*v~iq^8PDGtJO_1n}KrN4c@@UY=Yxsm&jqRC-DRsU(f5xofJm)7c zk(yG;|3}c#o(IT#JdK??>ewflcfal%B>soy7UQKj&Ds?H4@u~){LOO9P(u87Ugw;@ zSO|jHh?eqZ&T7o1d|$ejeRAJke}gvYKcG~8iSzUgHSSyfdGrIn2P^P#0)?D%pG-n9M_B&=++iM?*1zJfZ+FI%H;+1nte#2NWXsXEsGi z;lWm72=zezs|2O5E(}-0Jr7BJZh#8xUn(_GME|i4@6Y;A!cY1}@`S<$uz5&tRYOT? zvOCFAu$UdX88BVF@?GE}>|`)?3G#m%M9(oJ2f@6{LLRmB`N#@M;pCznL~uZD4@po@s0=8A6dS%k zxRP3Fy=<* z&&p30WJChtZY@kuLLu6df+nMipSVmIs5Cd(D*_xiuFqU>y-f z-5cjb&UB8LmW#0#4)QL}8W+9XwlAZ8EkGNazp$mJtuki-dX@T!nU3Hm?+dlv+^TD; zvj9jC9U0`;bCi5=GJIPz@W#%RlWNBzI(1VKZ)hAUu_pcJG`+8H5=Lw-m2i#snGI1v z0#x{TpOuZ`dSzDF2lITD@=P0Y%RZ_lbDE%(wFC!#=DuH<^73+{ktHZ{Rm#TX&l*YAKm}rVP$x+m<;Wj}EOXi55*kr8{8-V;FoG75t#Hbq z$SFQ+d|+Uwsh^dq&!lz+v7H7R!jJeL+uFpBKt010;z#+k^1l_r#(rvSuvWs)7D{_r zmU&>X77tolsH1B-QhR`3Pf1!KW_h7hslu;$Wm24%_J_%MjV5Km|0;yZ6O3Kxpa3L= z+%dt3n)}`vN(6CuWF~*A#j*r4VRRCRV6g2jLOUTd16^kJg?eKn8Qw9*CGkIc1CdZX zyT}xsMY|wfx&DWe<^rey1qd8WTQKy`xX=`Z&ObgE#Mlzd;Xf;DMjUnq2JHeP?P%04 zwgBq^anQ(E6;y1FBU&NwiRKq`_bqU+=L=^Fh|IqBV7Y@!p$z9~&*3k?29>lkcOygk9#Z>FP5)f*@Y|!-k}mTM2}lq&G6z30J46g{s4FpmF$@JEK#=_B z1IA&K#y`Q1TVJ)dbkFtDoq>eKlPhs+j~3l5tP@AAo?mSa(%{3?)v#yBucr_{1P2tLh5j5t|u ze7Dnd(-8ygXgbF=nW|*9Ou1qyA=*>9{H0cGU5Q-1wg?sl%?=T4Yild_cz-l;Nt-NwztZ zGGUjkf>imZ2#wp6xlXZ3LTIH>RGK1+ALGm2ysSR9X$@jq`>xn7$AEbpR1?1;*n41i z3&`+mC4RT1ZDO_F9;t3xwbm@F^{>O<^w|DDOWt?fh+!Kx{NwH}ndDzKy!@emBrWPL z#wI((QB>h4|LAbDvIPxhfZ&qV(}KxgQ;}}dXYy$_s1PiYm{?!*ECQq3Ju7GLXyvi8 z3nc|{3&JDsMhe;;*>@k!D|mzSWXR%GY7<_1J=nwx{rTnDa}u>J^FZEBiL?6+PQJDs z1HWE#1qz4$-e_8Er|(R-nxE)_jpYk9=X?|Cq*fOfUxuHi*M>`Vl*Gcpp$itJe)@i{ z(U^1w8$-gYLs@4S76Ts}r81rRbp%ATehcv7}a^Q5m3x->bJ#g~(5u>XOhS20() zrstWNS)>wDNc+1dRkue1Ik=1PwX72tr zHkh!5B@sh)_U^NBqEU0v~UR>BU}eS<$4urEQ3I z)K+V*z3y~*uT%4zK&-Mw?`Qr%vxE_Ckt9=Zw-FEY`iY|Nqw%v+!7RsfB8h$YC|9RA zv{$Ei5p)>?83O*FuL7!Ex_&v$SQ|fBZq8IL3q418dYz2(J(JySbg~4%?y{FD*iaPBe3(8nE;v1ZqWsghv0&Sta;fv z2F{*m$+P&*O{kr{4dR^xO$KUsZjUDueotR+MN{pN|JZ|x?SPb5XW*s`YU>U~UtN0M zn9Dw>MCdH1e#t#5C$^1U@UOQ}EMBMp6DPvc5-5{iwz0*%zP>m?T7G`ZbnU}pFVNt9 zt={+RCY`#I<#=^I!s%z|IE`WuyCQ#j_ct!x!xC63>MDX##(5t8;a85v75cVO9M&@- z*HD&@4FK%r(`>ZONCUh#JBvii$dOpPALhh6o#D8(9rlj;vng;d2*4AY<$+v zE)3PmZrv`hIuqL@DYxWk4G<7&)xZA*VBcwqsdj+{yU3KO|EI=Yp|vL$m0SV>5(*=b z!(6TfTh5J-h>(ua8WI8%Ty#F$+k?!Bla`bU)Cakyn7C?by;~S~KSPXfH5!W)bLhME z5|!g~44}5E)A#auK5{*~ZZJF&d9eY1c}{0~TPlzaw1kH6a$(Zp__?@t4f;AWu%UKK z#R_xGXfa4e&=|3PcM=-;aQQ(Pwe*I7FX7{51$N2**4w?=4<-kn+AO{64hl+C9et$k zP#(7+uCvq;f0@3!)hD27N_Uwd`{xwaLW6gNN4Ga&h9AqZQtGI6yhesz2w`8d{V4a^ zIzr!DAyoo#o%d$h)yLPQDP`BUBZA$t+w};F#2AGQISNJWNcaBuR=$Kd=yj}hGO{vgoLo>9fm<8QeUubPOMo-#|1Q37h&8gE_;q zj*=B&rMy8IUQQ=H0>N!IYSl);qb)O=kG4VH>`w2Ep5mw6DLY#&vt?s&%$(KN?%{P4 zXQ5Jp+5Z=(C|K8^yEUd#n`P~%AG_Jvbo!4b@6?IjeSbug{-1=bS`ae#!0>HtDjnGldnvC|EJo&AN{+5=GqfC0P#NvjXN6dS#!>8Nszg>c!#9r?C`tj8Ns$xjwTnY?njL`h2@tR`(s?Z?N zrgN)D3A6^?i@S&`R<)RU6y*&pqInU8gH3@CzgaFT2M_FXmaWuhHdv7+8t^}ex0n=8 zXE1n{XsUm8I;zrGy_+`4fHdZTB=1t`DxRJQTj}uM)jR)6&wb@v3EaF0jUU&ob3i~y zmkAX=UOl*OUKCL8*N4Zx?^R*Z=+31AK06a>ObGiIM&AA(->nc-y46S1=QKg&+$AAw z-!c@sf636$prC4Rja}LiO`E$Hm8e>^0Qz5pdUdLY0;6byN@9{TqVna4ov&b z$YW!%WDkG5YeKOJF$L%oT?q`4*A2Ukv9CE}%CoerQsA7eR;w-JDqHIOIH&=hUGjn7EqPW<-Q&|dW{f=KF;CjP|^)U;YD>(oa~WboF;oL|YRc~IQV2=gW1 z@VmFUQbpjmNr|7qzS*dUo7(Wt%?*()5N;a0i?{e6CTtog@1!1VK5m*)er_@G(FrMf zh%U~{2UajT6PIK(Ma4!}%ID+hZcj<4Z}P423HjN(V)rR9tC)XPP}*q%rtp}-~y?r_*HDy8(r=C?ecrkI$iv;YuD~$k9Cjs@4p;r$Wysy zEs?yi3#ycyBr<$Z$#l)VP$^jZox4jG3(qE3?D_uM>urJp;GFRK^Ou^)hlkPsio-cr z!i{-HLb}#1YPKlnQslLx2vsZMvJ*B#d}L&Jc;pLBeB?v8sTR*4g@9TghU(YOU-ul& zR<8W{!x67;{qaHoDe(maGoFB(+VJmny#C^~u<;YWfq&yBehu3b=PpGhq(mj?VXM*$ z;U~|Yd|s`4jr`u>3Gs;r_aq~JP{mJ+qI4#s$t_-QDBx*X=I}bIclQ+@)B?uw_3=+f zCHf-mxn1U`w}>SWlQqfN%spEE8k&t@(u)J1d_4RU!mB)J_ttLtg1n9_9NJ{q+Z(?{ z6^DI4rpeb=iIRYN%MbrHpy}JCzQxi#CT*SCwGa^?SdF3`tud1^yZbUVD)LcFiTWUD>>F&~S1#@HfCf)HqYWUge&K2OaB-y4FLRgi& zlW|1Sy?7`2u6b4c?B}{I$839VcaLM^E6?b^;Z=t>z)<^1%eU6404KWR)}Di_ldrC9 zzgA&rwS1N}g^Wut*L0ry&hIDjrA(KUgxjlo4c~}Oxosc$-uA-1=d2wJSE{``{kY_6 z-=Tfm{s}cz-k+t?0+K2=k4)p5A`iyN9R|>#s@A z<5~tCJbnOIYP9(Rt=BksCd!wOtl$K9fBnHhNGNpe+0Ox$AFsXgeN7MVpdi7d&v;ETE$S>UPvix)4#vypa5=$neyE zmk#Z*ZU`totme-6ap5o1x9&Y$Ii#9k^Y5LzrBD6S0R?(K8`LA!K_Dm;6JR~R+^t@C z;t>Lgeh~TT8JK6yQ>{}TM25i0=PeE@o+);c--od-=7X?=Czf%1U+bSwG-%b^vFDIN z*NTmOcx>C5p^UfD2_oIQ7_^xnL zxT#G7Zpf%F8#HfSxWWtBoD$JYBx| z)=o841vl%!|6<#h%GI@SN88eWGf^qDmU(H3E69{*5wZI>LxRn&MOK+h5y*Bg*O24n z#GBeI*mCL6cYU|BW3Wlj#L|Ns3%2y2$J(kz08$K)7oXKO$cb|HZjt50{<1zX1TqZ) zHnU|K^ts9~OZWqan+0308uOXiS(fl8LxPPZ{K?EgomFkKgg@yDHkR-ws}5}Dm(vhn z34c$%JRBLC@W%qUZqN9d zkgedVIjw+&otD3nggN$7`o#Sf5HRHoyv>v5V$QvjhW4&cxswJbo!3OSV_eg=Z*}k0 zt5^58+Kydu+WJC=Qeqz^zVm#tuPLV`{2{&7#2jC+@E$_ zA8nfhds52TykX^#X>;zKHI*G|MTA|SawiQ=I`Q@ELmo2CcpU zY$-=B*^Z^X^{<_jK1o+LFTMBzeqVX#SaLZSb3y!SJp~!sSiNAQzMkX9wosWIOIS z+0H6Rw$oDdqKo>G0ttEAE;zZQZ)Ie>(g~sBD=z;8@0%v)Z~F1C7azvd)8NEDB3mBT z+&kEgeGM%tVHuoqr$hT@CCuOpDR=6I$iGmF+vKcrW6ReQwnX;&aZz>hrjt@)A0>YF zL?iWJb4kJHsucS-X+`fO9pB)0s zlI^5<*w`fG5#=et*2T6&xp#29(XD8j_bJPy+(|P+>1r5pbUH-Z$R+=DuVzV9rkvO( zhb8<;eP$yGe{RP{Yd!tkwH}C1_=8N4iJVJHjH^+=$J1_hA(HS1j?eumDVrxm$sVZN zJAc21zSuPCT}#H1)=`^hk6pZX#0p5Hg9eOUSbEm`!6^JrR6re^T1U#62+4LXOzKrk z8+G?#a@mm5vakEfns$iEC-#xpvgF>Wp=J>XDR+)W*JxaY&I07>lsgXf*}1~TTZ_Nl zjZDpp8}41coP_kKaK&mxVI|2Y_L(_(jqNr6Y~1l#XV%2meYe@J zuYlWN+R;5BRb@ERWIK>4#x_fjfDs{-QuwD`=OGvfoa7bIquP3&XMz0(Jpr=lSX zq}&lf;nH1Q(rd8i_TSi#{v&pK&DuVsI-IF0CH7I`yUy#}mhcCEUIz+&kCj@hPrSGi;Lu$Ym*aQu8Wf>si8|?2_n~2vBgYXZ+sU}mBTei> za_>;-!|WN)$|-m3`JM4~N{M}x_!;jJ+?KF>#fByPaRuC}Y^0KVM^Oh$xuXb8)~H|! zf3n7LU|u+aKz7VQ0{vqNe;hf;Og3vEkR5}KCH%=6V~2U+2m&nO51_>o{x~vZm@Gpe z9SEqp&z27TtSLhPBft{=00=DDSTMK<0haJ5LxPPZ{BhCv%~obu!k=^n8%y|;twuX@ zHZ2GU&qfN3FPuMHvsNAaMGXgw0~bg%?(VTh)3Zcfc#$$pYR7h zT&G-=lL9HX$(R~=HWL2n^aiq>^24MKt(%=Ye;&Ss$p16&pF~t;TWzpqY{H-9E2Bx~ z1F~)K-n7*x<5l@@Ur3Vn2gF6T0y>|;-=0=kHK7& zIPDKUJQiCa`IGR=WZS?6XeQnGH#*Zn=D$hOw!mi8>|k9}6mRif zQ}p|tXRlGoXlafetz04?88L;!0WYV$czpA5KpsO9@-7?{8;sZRF;@ zZx3$L|C5ka3qs}|7+$AXI3!1D`d?Yd#)r?AQ?Z-5NrwzC&mF$<@X5&qD-Lx1ZdC}p z|EFB&q(I728C&DTXCqTTXCn!J5)CLu&&8y0@ZEUN5>555PDfQ5t9R2TIVs_f6fg*h zCr_dh!f=kA_i#R+f;gYgzmU%dSrY+;1edPfuzB^OvSL!5gab>{*}a>DhSsQ2x5fu+ zZ`<5!wEWOIq>yAQ8VcEpYD2an1lfv+A#?JdkJ~kPvq>j}K7#Z??=@}Oy&D|SsaR6(eXi(K)(m%V$b#KtB-`px_@7ag`C8|~}fd1E@ zUY+V8ekT5t<(3~S`*w+MIO$OSO3fNnA){SLIz(zSaBFyd>Yu;%{Id^CynGq~c_x&K zB`MHU={i%XNfzf*$5X*mmn8g=*w!W9N2qUTDWp9Hb>bX5=}Y?q;X3v3<6+hDtJt_C zUm$?kAx zl8DLnm_KK;so{q!&Uyfa4{}V%<@UjRX;I2n#7o*s{zD?f{BvixadAHi^wP>YBUNSV zMs`d(RHR1JAcS5e$;@rCNr7y8?7BU7Hx2xAb3=C!>oza6 z!!ic#>VgXZtynGf%7J`@m5L- zWa+z${|Yy?b4bD;I6EoKpGVCmKvwC!V9%w#4TABWLXMqur2WxSnT2?J+A`hebZa^4 z%}tQEYr=$(DN|s1CU4g!pAX*_y;RIr6xjvFktI`tT>7AZddm<0HlXR-rM|_gdQ93n z6%xWCB;$<4Zh0^GmCVy{+^6XMo~33r-T!pQXFu0%IcD2?yR&%GA;Z7le6l9ayq;<` zY>u#oeEsa%9n)((uPY`6!YH}Ej4$qV(0!nMzA6K?4H@-i zgXXPESE!Px=TEO~h3)T&e#q?$tF5h>3<3KHuyZ#1_^?`r zfYT6Q!R9n5nIeXOeFRvr*~f>~G6bB401Gy!LCF*`1neVVy{S#)_UzeXzd`n@ZP%_H zIGiIpmBVh>ke69fKF!IJdXrY*IjBtz?@EpQNz2x1jf*x0500Xj!^ ziu92Mo9I|)r(MfjV)dB>pAdo~!cvPzJdAs2285ds0DQGDAqfOrLxcDrSJFr`*ped1 zSTtMs=D%hs%O5O7?D7O*i?=zlQ>2p_5^OJCyfB$eMT-^{fPDV^IXtdHidL(os|1;B zM?g2hXQR;worSK!D1qX}m%@c1>nwWu^r>F24-O8_pstx$T=a}Y%~bUCL7!?tgz(N{ zr)>Ee(hI)AGW%E}4bMkB&$^{&KiUV1&o?q}Y;939CsAz?&5@lVoy(A5gTV(+fWg~w zWE8r)yTdMQ4F&@Y#U#D}QL0oa3&Pp&FGLyy67d`QLI~i%c!gd+c<=xS-~)%mBndy~ z_EXEBv!zd`-8m_Js?*jwM|O%pks-kbs{Q=@;3uC9M;Ls*zP>Q{JUl!|_>reEZ{4~D zpZ0?hnGpjqZAV&VtB8mQ_~C%=2w?{Og0}F30$nCF%yNW)jzblMoYT7rCO|VkQ<*P| zD9s*pzm#Os!>9Gr{?L3{Kh5VmVP)jVPLb|qRIq_|7>F<&fq*ebhMj;YtR%^=espv+ z#9KxTM8THR2_e!zGlU$8C1M4FP3SWG)(`>cI}9K)hMen+hdV!`Lc~EhlPQW2IJ#|$ z?ZpY}Ih*ZL6R(6xcgU3t^ZAtu{WiwT-tbP)k!KTalv3ikMMaM66zQCK{bT8A#{Pxz zClolS5OT1EcYJ_J)>;{B-Wl43NP`&+B8_wh2q>T)c11rcz$C+$Y@OkPScjlrAczFH z?gv{T(ja(9{FtK%JIBkyRv^Uct^SMj(}J`uhLq^#>dCQR|vAj{01F? zUVzWA5{BLh0|jCXXy`S3n?vZePzyvx%xroiUc2&z#0mrxw#nZNv*Gg&SiLnjlKErS zLkAx}Ihk*pDe8g1!G?)1JOR6+f@Lg$MhJ-rw8)X2B1mLNu!%s1xYFr#a25w|sgf$P zT!8@!qZVo*4nZL6zrYGt7lpYC&O(JB4xzuVU%!T42;CI;8ARZ~vJ7DJxP|D0F)hTP z#V`a6oK(VAW#J{n>#l$M z_NepbnFtlG(s9V>kLnkPpF(T+@S(;>C*9W0fR!_gd4f?|fYwR#VJU7$-d2)Y`o2E# z=bS04k6Y`x&?%c|SJgaRxp?(+zdkKH*5E&Qu_5056oV>8yhVR>ZStCO^8d8^0wrh1y zBx9{`yi*A~#&%zNUCa504*hIEg#zxcBkrC)_!KS%8#M0u5%;W+hsMb92P4xuMY@+! z!4?@AX~mDHU|d2_LA=2p3{7$!96}4?4W>94#83-?CyZlJ^Gx4``3i~MB|H+CD0OVJC{79l=iYLtvdx&LBoHzW?6|CN|Wd}`g%)aw0(W`j4Md+cs zJ$LN*2So-=p3pu|%I!sC#@{MB==%xn{gZDm{^G|+rMh*la&N|>y*<909=&|Vty0|v zG>Dxw?az2FsK+;So{foq9-cmUwvS+k6=Fy)W2qn%2=`!_@y z;zB3?J|om0gHXCmrCJTaD%|bmF)?9VKGTt0X4KQ|)aqpj6C4ftqa$0AxR@c8(u z#ee*LGa?@7x`P&sd#eoineg!F!WnZ;K8QztWd}@{+@)FptHX|Witibfq?PPDWeX=` z5H{qR0Z|B!k|mZ%KZIOVR1`2_jKWlaLE*TpIk^dQ8hA*00X{<^zZ#@>y!*ITkf9|B z?Zhqh=`aVin(%3zCi3RKLt9V$wgPd*`V4O22|xc_;)DAM58_`ZLo_BQhCN7ln84F1 zKu0`$u;|u*$j`s1J4%4vM-LWF{tuO`TqOR+^9?iiwOP|Yz(Fr$#U1U`-Sa0wPk~PF zR`M|!$V@Nj<+}+}c#4tpj5#^# zxSoCwkKfmF1`~&3PJTZ8$Cq44?~yI;ZJ4`!!q+9&EC}&4A9q2$qeOJCX7`3O){&N?hCj|7Q=!wq|2lWw4@-A5d>TTRjZbtO7MgN1%52} zcAA7^i)S5!pfd%xYEZEN)<$N$|F?HFuu)V&c&~To^$v>s8Z4a)gC-`>1Ab(adS!adVwO5(>kTh2KvvMp-_;<+1Eien-YZ> zXC3=-Jv^=Rm_;)S^Nqs3RTF3KJG%Yo`Ei4MoHOuL*P2;FUwmyCJr=f(@7e(7E<+1P zju_PK@cIL1Dt@oH*sp*4=mNGE(JDbVzppK=)gemgI0^> zrVs3L9S3uiaufkl(742aaM&F9ZKP4-HS7}BXYboIk}L*qxnQZg7JXdu?76bli@sPl zcT)9|EpzUIUjejbU5=Q6CNI-wlS%1#&}Pn3-!5jx?o?(S)E)xhSC-`HvxPt0C6V`MLEvA|rtckzt9N>-#PLcnfRDUs4v z54B-=urM63JpDk6ZlJJWI3yaCPVWAYkOg%BTl`JDOGllkGWg@P*7J<$rS^@xJU;-uo&^qCl4@=JI~PRzl<^Gi4F zToc~9CcJZU|143>R;P7JK^l%WjBL77$z+Ko6u=%5F%9MjI+4LuEjWFGM{X|@v0abK ziKA|~h2xEkR>15~CKoTg#M&w9r>XutL{q+X}Sob~!qwhIUcKSfs?)-6unLEBaO%94U zLHs0xs-_ywre}J69mo@c0WW>T9kJM=o&2T?7LP{Q$W^Zhi5-YG@wcc1P_|Nm;ctaA zzy4&^&i(toTC$H>tk8&d$WV%!j58y9)Ccn~6c?}EuxJ5Tf;msmdGnQyxNH~=C-#KP z_I$(Rx(|PKT2H)0*lonS@6>&^bmf8dOAc_yguEh0yI5@8&Baxe5~id%8&V@U{n%mw zhuqqU<3+K%i~>mg(U}`^r+CAe7Kv_))Z32m1~x%yChJ!rfYa=za!AAQ=Pn4-pZyRM z7M=)o`}3!kEPwt#(~}hpc|DV7f`|590maXEYvAg)AiRTKO~h{Pj@-H7oib5`@#r#J z#6~F?jOUqUdV);H4Jp-e@Z`4#KUZ`9LQTZ*)B7Xs0+4DNfUR(1Biv=+3-5;u>+28? zeZf!w3q?VcmSW45+D2q7{T@iXn}xk{-8QuQgUI1yS5K!S;gCkBODAL!<>As1J8x7NdKEVl(GE_upc*?cT1!-F zcF_cVxK4{YygW{GAdm@Za5D!vYCeAuTP#Za@Xe{ydjRXUd4RAS_FAg`m8QyMzcOkwZ0yq22FmCB@K~;=`e4ckOG$UDr{X#IA ziL#qGo)v4qr3i>4CKMvc&yi8Jb1yS#mPUQlhC`oACPhi@>TPI{3L&+|&n1w!*%l~V zQZf?a!J$t?G8Ml_AYF)uH@UG&DRo#B@esi!S41w6bl4d5xpe-UQ%dGYrn4yo{5~OE zPM)?&qYF#;7!o-MXwDS@SBK={#20!1fWw#CN8%zZ)i_`+@wC*g50B-Eishosj~gEDNAkxCD?Vx5DyV!k8P*@r?>%UL_F$c3~VpipCLzYXA}wp zJCz!XCIP_=xHOjLks=~mX#6J`Gqgr$zPr+VAJhW-z4ItE`(|4t$)!%%IHKofZIqZQ7!q-1{_HY4zdc@S-K6U{X_~D2{U)> z($U6_XK3)S5wkPkOS|wYDshkxNl2p#yA-w4!!!fg0vc)RFn~nE>}OIWgK`+^8>6;6G7t4dkCaqO)Jr>C^fcdgluG^c=1;b@x^bgLs?bYs zZ%NIT`0X7ZMLD^VL)ns$xOU#gs^kriW*M<~1i3RXC6yBG($40b<=c<2-;QF_=U@eg zK!SnXLQI?7LVB6Fs*m6;Mfe6vwGejn<7lR2DzVG~VcbO(A)I-|KdGHUnEKut-8h1S z#nV`HupBMSQavS=5=EPFHvON!2vZ7_DXG*iKkrF_Qxm5`N-8BfdhnOz;^+SyX@q^4DJ=L)2q&7J2ZjVc9K;C}%I ViW`=gx%mJ9002ovPDHLkV1lRV(o_Hd From d38a9584c578ea54662e1b19830ae2c0346aae87 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Thu, 23 Mar 2017 12:25:51 +1100 Subject: [PATCH 13/15] Bunch of little stm32 compatibility tweaks --- platformio.ini | 2 +- speeduino/comms.ino | 12 ++++++++---- speeduino/globals.h | 5 +++++ speeduino/idle.h | 9 +++++++++ speeduino/idle.ino | 12 ++++++++++-- 5 files changed, 33 insertions(+), 7 deletions(-) diff --git a/platformio.ini b/platformio.ini index 222e59e3..0622a647 100644 --- a/platformio.ini +++ b/platformio.ini @@ -34,7 +34,7 @@ framework = arduino ; framework-arduinoststm32 board = genericSTM32F103RB lib_deps = EEPROM -build_flags = -fpermissive +build_flags = -fpermissive -std=gnu++11 [platformio] diff --git a/speeduino/comms.ino b/speeduino/comms.ino index d704bb24..968a1570 100644 --- a/speeduino/comms.ino +++ b/speeduino/comms.ino @@ -204,9 +204,11 @@ void sendValues(int packetlength, byte portNum) if (portNum == 3) { - //CAN serial - Serial3.write("A"); //confirm cmd type - Serial3.write(packetlength); //confirm no of byte to be sent + #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) //ATmega2561 does not have Serial3 + //CAN serial + Serial3.write("A"); //confirm cmd type + Serial3.write(packetlength); //confirm no of byte to be sent + #endif } else { @@ -267,7 +269,9 @@ void sendValues(int packetlength, byte portNum) //cli(); if (portNum == 0) { Serial.write(response, (size_t)packetlength); } - else if (portNum == 3) { Serial3.write(response, (size_t)packetlength); } + #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) //ATmega2561 does not have Serial3 + else if (portNum == 3) { Serial3.write(response, (size_t)packetlength); } + #endif //sei(); return; } diff --git a/speeduino/globals.h b/speeduino/globals.h index 6ac0ad1a..a492137b 100644 --- a/speeduino/globals.h +++ b/speeduino/globals.h @@ -5,6 +5,11 @@ #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) #define CORE_AVR +#elif defined(STM32_MCU_SERIES) + #define CORE_STM32 + + inline unsigned char digitalPinToInterrupt(unsigned char Interrupt_pin) { return Interrupt_pin; } //This isn't included in the stm32duino libs (yet) + #define portOutputRegister(port) (volatile byte *)( &(port->regs->ODR) ) //Seems to be missing from stm32duino. Not even sure it's correct yet #endif //Handy bitsetting macros diff --git a/speeduino/idle.h b/speeduino/idle.h index c2a8c7dd..234eea06 100644 --- a/speeduino/idle.h +++ b/speeduino/idle.h @@ -39,6 +39,15 @@ struct StepperIdle #define IDLE_TIMER_ENABLE() FTM2_C0SC |= FTM_CSC_CHIE #define IDLE_TIMER_DISABLE() FTM2_C0SC &= ~FTM_CSC_CHIE +#elif defined(CORE_STM32) + + //Placeholders only + #define IDLE_COUNTER 0 + #define IDLE_COMPARE 0 + + #define IDLE_TIMER_ENABLE() + #define IDLE_TIMER_DISABLE() + #endif struct table2D iacClosedLoopTable; diff --git a/speeduino/idle.ino b/speeduino/idle.ino index cd959420..37be5793 100644 --- a/speeduino/idle.ino +++ b/speeduino/idle.ino @@ -75,6 +75,8 @@ void initialiseIdle() // enable IRQ Interrupt NVIC_ENABLE_IRQ(IRQ_FTM2); + #elif defined(MCU_STM32F103RB) + #endif //Initialising comprises of setting the 2D tables with the relevant values from the config pages @@ -98,6 +100,7 @@ void initialiseIdle() iacPWMTable.values = configPage4.iacOLPWMVal; iacPWMTable.axisX = configPage4.iacBins; + iacCrankDutyTable.xSize = 4; iacCrankDutyTable.valueSize = SIZE_BYTE; iacCrankDutyTable.values = configPage4.iacCrankDuty; @@ -376,14 +379,14 @@ static inline void enableIdle() { } - } #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER4_COMPC_vect) -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined (CORE_STM32) static inline void idleInterrupt() //Most ARM chips can simply call a function #endif +#if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) || defined (CORE_TEENSY) { if (idle_pwm_state) { @@ -422,3 +425,8 @@ static inline void idleInterrupt() //Most ARM chips can simply call a function } } +#elif defined (CORE_STM32) +{ + //No PWM idle for STM32 yet +} +#endif From a5e47cb3ff941e528a3513135076fa27de2f55b7 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Thu, 23 Mar 2017 17:19:18 +1100 Subject: [PATCH 14/15] Compile (but not link) cleanly on stm32 --- platformio.ini | 15 ++++++++--- speeduino/comms.ino | 7 +++++- speeduino/globals.h | 3 ++- speeduino/scheduler.h | 48 +++++++++++++++++++++++++++++++++++ speeduino/scheduler.ino | 18 +++++++------- speeduino/timers.h | 6 +++-- speeduino/timers.ino | 55 ++++++++++++++++++++++------------------- speeduino/utils.ino | 20 +++++++++++++++ 8 files changed, 131 insertions(+), 41 deletions(-) diff --git a/platformio.ini b/platformio.ini index 0622a647..ddc25424 100644 --- a/platformio.ini +++ b/platformio.ini @@ -28,11 +28,19 @@ framework = energia board = lptm4c1294ncpdt lib_deps = EEPROM -[env:genericSTM32F103RB] +[env:genericSTM32F103R8] platform = ststm32 framework = arduino ; framework-arduinoststm32 -board = genericSTM32F103RB +board = genericSTM32F103R8 +lib_deps = EEPROM +build_flags = -fpermissive -std=gnu++11 + +[env:bluepill_f103c8] +platform = ststm32 +framework = arduino +; framework-arduinoststm32 +board = bluepill_f103c8 lib_deps = EEPROM build_flags = -fpermissive -std=gnu++11 @@ -43,4 +51,5 @@ env_default = megaatmega2560 ;The following lines are for testing / experimentation only. Comment the line above to try them out ;env_default = teensy35 ;env_default = LaunchPad_tm4c1294ncpdt -;env_default = genericSTM32F103RB +;env_default = genericSTM32F103R8 +;env_default = bluepill_f103c8 diff --git a/speeduino/comms.ino b/speeduino/comms.ino index 968a1570..c5e934d8 100644 --- a/speeduino/comms.ino +++ b/speeduino/comms.ino @@ -204,10 +204,13 @@ void sendValues(int packetlength, byte portNum) if (portNum == 3) { + //CAN serial #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) //ATmega2561 does not have Serial3 - //CAN serial Serial3.write("A"); //confirm cmd type Serial3.write(packetlength); //confirm no of byte to be sent + #elif defined(CORE_STM32) + Serial2.write("A"); //confirm cmd type + Serial2.write(packetlength); //confirm no of byte to be sent #endif } else @@ -271,6 +274,8 @@ void sendValues(int packetlength, byte portNum) if (portNum == 0) { Serial.write(response, (size_t)packetlength); } #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) //ATmega2561 does not have Serial3 else if (portNum == 3) { Serial3.write(response, (size_t)packetlength); } + #elif defined(CORE_STM32) + else if (portNum == 3) { Serial2.write(response, (size_t)packetlength); } #endif //sei(); return; diff --git a/speeduino/globals.h b/speeduino/globals.h index a492137b..9f899129 100644 --- a/speeduino/globals.h +++ b/speeduino/globals.h @@ -9,7 +9,8 @@ #define CORE_STM32 inline unsigned char digitalPinToInterrupt(unsigned char Interrupt_pin) { return Interrupt_pin; } //This isn't included in the stm32duino libs (yet) - #define portOutputRegister(port) (volatile byte *)( &(port->regs->ODR) ) //Seems to be missing from stm32duino. Not even sure it's correct yet + #define portOutputRegister(port) (volatile byte *)( &(port->regs->ODR) ) //These are defined in STM32F1/variants/generic_stm32f103c/variant.h but return a non byte* value + #define portInputRegister(port) (volatile byte *)( &(port->regs->IDR) ) //These are defined in STM32F1/variants/generic_stm32f103c/variant.h but return a non byte* value #endif //Handy bitsetting macros diff --git a/speeduino/scheduler.h b/speeduino/scheduler.h index 950cce01..1b1e8b60 100644 --- a/speeduino/scheduler.h +++ b/speeduino/scheduler.h @@ -157,8 +157,56 @@ See page 136 of the processors datasheet: http://www.atmel.com/Images/doc2549.pd #elif defined(STM32_MCU_SERIES) //Placeholders ONLY! + + //https://github.com/rogerclarkmelbourne/Arduino_STM32/blob/master/STM32F4/cores/maple/libmaple/timer.h#L51 #define MAX_TIMER_PERIOD 139808 // 2.13333333uS * 65535 #define uS_TO_TIMER_COMPARE(uS) ((uS * 15) >> 5) //Converts a given number of uS into the required number of timer ticks until that time has passed. + + #define FUEL1_COUNTER (TIMER2->regs).gen->CNT + #define FUEL2_COUNTER (TIMER2->regs).gen->CNT + #define FUEL3_COUNTER (TIMER2->regs).gen->CNT + #define FUEL4_COUNTER (TIMER2->regs).gen->CNT + + #define IGN1_COUNTER (TIMER3->regs).gen->CNT + #define IGN2_COUNTER (TIMER3->regs).gen->CNT + #define IGN3_COUNTER (TIMER3->regs).gen->CNT + #define IGN4_COUNTER (TIMER3->regs).gen->CNT + #define IGN5_COUNTER (TIMER1->regs).gen->CNT + + #define FUEL1_COMPARE (TIMER2->regs).gen->CCR1 + #define FUEL2_COMPARE (TIMER2->regs).gen->CCR2 + #define FUEL3_COMPARE (TIMER2->regs).gen->CCR3 + #define FUEL4_COMPARE (TIMER2->regs).gen->CCR4 + + #define IGN1_COMPARE (TIMER3->regs).gen->CCR1 + #define IGN2_COMPARE (TIMER3->regs).gen->CCR2 + #define IGN3_COMPARE (TIMER3->regs).gen->CCR3 + #define IGN4_COMPARE (TIMER3->regs).gen->CCR4 + #define IGN5_COMPARE (TIMER1->regs).gen->CCR1 + + //https://github.com/rogerclarkmelbourne/Arduino_STM32/blob/754bc2969921f1ef262bd69e7faca80b19db7524/STM32F1/system/libmaple/include/libmaple/timer.h#L444 + #define FUEL1_TIMER_ENABLE() (TIMER2->regs).gen->CCER |= TIMER_CCER_CC1E + #define FUEL2_TIMER_ENABLE() (TIMER2->regs).gen->CCER |= TIMER_CCER_CC2E + #define FUEL3_TIMER_ENABLE() (TIMER2->regs).gen->CCER |= TIMER_CCER_CC3E + #define FUEL4_TIMER_ENABLE() (TIMER2->regs).gen->CCER |= TIMER_CCER_CC4E + + #define IGN1_TIMER_ENABLE() (TIMER3->regs).gen->CCER |= TIMER_CCER_CC1E + #define IGN2_TIMER_ENABLE() (TIMER3->regs).gen->CCER |= TIMER_CCER_CC2E + #define IGN3_TIMER_ENABLE() (TIMER3->regs).gen->CCER |= TIMER_CCER_CC3E + #define IGN4_TIMER_ENABLE() (TIMER3->regs).gen->CCER |= TIMER_CCER_CC4E + #define IGN5_TIMER_ENABLE() (TIMER1->regs).gen->CCER |= TIMER_CCER_CC1E + + #define FUEL1_TIMER_DISABLE() (TIMER2->regs).gen->CCER &= ~TIMER_CCER_CC1E + #define FUEL2_TIMER_DISABLE() (TIMER2->regs).gen->CCER &= ~TIMER_CCER_CC2E + #define FUEL3_TIMER_DISABLE() (TIMER2->regs).gen->CCER &= ~TIMER_CCER_CC3E + #define FUEL4_TIMER_DISABLE() (TIMER2->regs).gen->CCER &= ~TIMER_CCER_CC4E + + #define IGN1_TIMER_DISABLE() (TIMER3->regs).gen->CCER &= ~TIMER_CCER_CC1E + #define IGN2_TIMER_DISABLE() (TIMER3->regs).gen->CCER &= ~TIMER_CCER_CC2E + #define IGN3_TIMER_DISABLE() (TIMER3->regs).gen->CCER &= ~TIMER_CCER_CC3E + #define IGN4_TIMER_DISABLE() (TIMER3->regs).gen->CCER &= ~TIMER_CCER_CC4E + #define IGN5_TIMER_DISABLE() (TIMER1->regs).gen->CCER &= ~TIMER_CCER_CC1E + #endif void initialiseSchedulers(); diff --git a/speeduino/scheduler.ino b/speeduino/scheduler.ino index bd100bff..a1b9d616 100644 --- a/speeduino/scheduler.ino +++ b/speeduino/scheduler.ino @@ -428,7 +428,7 @@ void setIgnitionSchedule5(void (*startCallback)(), unsigned long timeout, unsign //Timer3A (fuel schedule 1) Compare Vector #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER3_COMPA_vect, ISR_NOBLOCK) //fuelSchedules 1 and 5 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void fuelSchedule1Interrupt() //Most ARM chips can simply call a function #endif { @@ -450,7 +450,7 @@ static inline void fuelSchedule1Interrupt() //Most ARM chips can simply call a f #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER3_COMPB_vect, ISR_NOBLOCK) //fuelSchedule2 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void fuelSchedule2Interrupt() //Most ARM chips can simply call a function #endif { @@ -471,7 +471,7 @@ static inline void fuelSchedule2Interrupt() //Most ARM chips can simply call a f #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER3_COMPC_vect, ISR_NOBLOCK) //fuelSchedule3 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void fuelSchedule3Interrupt() //Most ARM chips can simply call a function #endif { @@ -492,7 +492,7 @@ static inline void fuelSchedule3Interrupt() //Most ARM chips can simply call a f #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER4_COMPB_vect, ISR_NOBLOCK) //fuelSchedule4 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void fuelSchedule4Interrupt() //Most ARM chips can simply call a function #endif { @@ -513,7 +513,7 @@ static inline void fuelSchedule4Interrupt() //Most ARM chips can simply call a f #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER5_COMPA_vect) //ignitionSchedule1 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void ignitionSchedule1Interrupt() //Most ARM chips can simply call a function #endif { @@ -537,7 +537,7 @@ static inline void ignitionSchedule1Interrupt() //Most ARM chips can simply call #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER5_COMPB_vect) //ignitionSchedule2 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void ignitionSchedule2Interrupt() //Most ARM chips can simply call a function #endif { @@ -561,7 +561,7 @@ static inline void ignitionSchedule2Interrupt() //Most ARM chips can simply call #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER5_COMPC_vect) //ignitionSchedule3 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void ignitionSchedule3Interrupt() //Most ARM chips can simply call a function #endif { @@ -585,7 +585,7 @@ static inline void ignitionSchedule3Interrupt() //Most ARM chips can simply call #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER4_COMPA_vect) //ignitionSchedule4 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void ignitionSchedule4Interrupt() //Most ARM chips can simply call a function #endif { @@ -609,7 +609,7 @@ static inline void ignitionSchedule4Interrupt() //Most ARM chips can simply call #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) || defined(__AVR_ATmega2561__) //AVR chips use the ISR for this ISR(TIMER1_COMPC_vect) //ignitionSchedule5 -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) static inline void ignitionSchedule5Interrupt() //Most ARM chips can simply call a function #endif { diff --git a/speeduino/timers.h b/speeduino/timers.h index f21727a2..c0981750 100644 --- a/speeduino/timers.h +++ b/speeduino/timers.h @@ -4,7 +4,7 @@ NOTE - This file and it's associated functions need a CLEARER NAME //Purpose -We're implementing a lower frequency interrupt loop to perform calculations that are needed less often, some of which depend on time having passed (delta/time) to be meaningful. +We're implementing a lower frequency interrupt loop to perform calculations that are needed less often, some of which depend on time having passed (delta/time) to be meaningful. //Technical @@ -12,7 +12,7 @@ Timer2 is only 8bit so we are setting the prescaler to 128 to get the most out o Max Period = (Prescale)*(1/Frequency)*(2^8) (See http://arduinomega.blogspot.com.au/2011/05/timer2-and-overflow-interrupt-lets-get.html) -We're after a 1ms interval so we'll need 131 intervals to reach this ( 1ms / 0.008ms per tick = 125). +We're after a 1ms interval so we'll need 131 intervals to reach this ( 1ms / 0.008ms per tick = 125). Hence we will preload the timer with 131 cycles to leave 125 until overflow (1ms). */ @@ -29,6 +29,8 @@ volatile uint16_t lastRPM_100ms; //Need to record this for rpmDOT calculation #if defined (CORE_TEENSY) IntervalTimer lowResTimer; void oneMSInterval(); +#elif defined(CORE_STM32) + void oneMSInterval(); #endif void initialiseTimers(); diff --git a/speeduino/timers.ino b/speeduino/timers.ino index e7be9b5c..f21c7de4 100644 --- a/speeduino/timers.ino +++ b/speeduino/timers.ino @@ -18,10 +18,10 @@ Timers are typically low resolution (Compared to Schedulers), with maximum frequ #include #endif -void initialiseTimers() -{ +void initialiseTimers() +{ #if defined(CORE_AVR) //AVR chips use the ISR for this - //Configure Timer2 for our low-freq interrupt code. + //Configure Timer2 for our low-freq interrupt code. TCCR2B = 0x00; //Disbale Timer2 while we set it up TCNT2 = 131; //Preload timer2 with 131 cycles, leaving 125 till overflow. As the timer runs at 125Khz, this causes overflow to occur at 1Khz = 1ms TIFR2 = 0x00; //Timer2 INT Flag Reg: Clear Timer Overflow Flag @@ -33,10 +33,15 @@ void initialiseTimers() //Enable the watchdog timer for 2 second resets (Good reference: https://tushev.org/articles/arduino/5/arduino-and-watchdog-timer) //wdt_enable(WDTO_2S); //Boooooooooo WDT is currently broken on Mega 2560 bootloaders :( - + #elif defined (CORE_TEENSY) //Uses the PIT timer on Teensy. lowResTimer.begin(oneMSInterval, 1000); + +#elif defined(CORE_STM32) + Timer4.setChannel1Mode(TIMER_OUTPUTCOMPARE); + Timer4.setPeriod(1000); + Timer4.attachCompare1Interrupt(oneMSInterval); #endif dwellLimit_uS = (1000 * configPage2.dwellLimit); @@ -47,27 +52,27 @@ void initialiseTimers() //Timer2 Overflow Interrupt Vector, called when the timer overflows. //Executes every ~1ms. #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) //AVR chips use the ISR for this -ISR(TIMER2_OVF_vect, ISR_NOBLOCK) -#elif defined (CORE_TEENSY) +ISR(TIMER2_OVF_vect, ISR_NOBLOCK) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) void oneMSInterval() //Most ARM chips can simply call a function #endif { - + //Increment Loop Counters loop100ms++; loop250ms++; loopSec++; unsigned long targetOverdwellTime; - + //Overdwell check targetOverdwellTime = micros() - dwellLimit_uS; //Set a target time in the past that all coil charging must have begun after. If the coil charge began before this time, it's been running too long //Check first whether each spark output is currently on. Only check it's dwell time if it is - + if(ignitionSchedule1.Status == RUNNING) { if(ignitionSchedule1.startTime < targetOverdwellTime && configPage2.useDwellLim) { endCoil1Charge(); } } if(ignitionSchedule2.Status == RUNNING) { if(ignitionSchedule2.startTime < targetOverdwellTime && configPage2.useDwellLim) { endCoil2Charge(); } } if(ignitionSchedule3.Status == RUNNING) { if(ignitionSchedule3.startTime < targetOverdwellTime && configPage2.useDwellLim) { endCoil3Charge(); } } - if(ignitionSchedule4.Status == RUNNING) { if(ignitionSchedule4.startTime < targetOverdwellTime && configPage2.useDwellLim) { endCoil4Charge(); } } + if(ignitionSchedule4.Status == RUNNING) { if(ignitionSchedule4.startTime < targetOverdwellTime && configPage2.useDwellLim) { endCoil4Charge(); } } if(ignitionSchedule5.Status == RUNNING) { if(ignitionSchedule5.startTime < targetOverdwellTime && configPage2.useDwellLim) { endCoil5Charge(); } } //Loop executed every 100ms loop @@ -79,30 +84,30 @@ void oneMSInterval() //Most ARM chips can simply call a function currentStatus.rpmDOT = (currentStatus.RPM - lastRPM_100ms) * 10; //This is the RPM per second that the engine has accelerated/decelleratedin the last loop lastRPM_100ms = currentStatus.RPM; //Record the current RPM for next calc } - + //Loop executed every 250ms loop (1ms x 250 = 250ms) //Anything inside this if statement will run every 250ms. - if (loop250ms == 250) + if (loop250ms == 250) { loop250ms = 0; //Reset Counter. #if defined(CORE_AVR) //wdt_reset(); //Reset watchdog timer #endif } - + //Loop executed every 1 second (1ms x 1000 = 1000ms) - if (loopSec == 1000) + if (loopSec == 1000) { loopSec = 0; //Reset counter. dwellLimit_uS = (1000 * configPage2.dwellLimit); //Update uS value incase setting has changed - if ( configPage2.ignCranklock && BIT_CHECK(currentStatus.engine, BIT_ENGINE_CRANK)) { dwellLimit_uS = dwellLimit_uS * 3; } //Make sure the overdwell doesn't clobber the fixed ignition cranking if enabled. - + if ( configPage2.ignCranklock && BIT_CHECK(currentStatus.engine, BIT_ENGINE_CRANK)) { dwellLimit_uS = dwellLimit_uS * 3; } //Make sure the overdwell doesn't clobber the fixed ignition cranking if enabled. + //************************************************************************************************************************************************** //This updates the runSecs variable //If the engine is running or cranking, we need ot update the run time counter. if (BIT_CHECK(currentStatus.engine, BIT_ENGINE_RUN)) - { //NOTE - There is a potential for a ~1sec gap between engine crank starting and ths runSec number being incremented. This may delay ASE! + { //NOTE - There is a potential for a ~1sec gap between engine crank starting and ths runSec number being incremented. This may delay ASE! if (currentStatus.runSecs <= 254) //Ensure we cap out at 255 and don't overflow. (which would reset ASE) { currentStatus.runSecs++; } //Increment our run counter by 1 second. } @@ -116,14 +121,14 @@ void oneMSInterval() //Most ARM chips can simply call a function //************************************************************************************************************************************************** //Check the fan output status if (configPage4.fanEnable == 1) - { - fanControl(); // Fucntion to turn the cooling fan on/off + { + fanControl(); // Fucntion to turn the cooling fan on/off } - + //Check whether fuel pump priming is complete if(!fpPrimed) { - if(currentStatus.secl >= configPage1.fpPrime) + if(currentStatus.secl >= configPage1.fpPrime) { fpPrimed = true; //Mark the priming as being completed if(currentStatus.RPM == 0) { digitalWrite(pinFuelPump, LOW); fuelPumpOn = false; } //If we reach here then the priming is complete, however only turn off the fuel pump if the engine isn't running @@ -140,7 +145,7 @@ void oneMSInterval() //Most ARM chips can simply call a function } else if (flexCounter > 151) //1 pulse buffer { - + if(flexCounter < 169) { currentStatus.ethanolPct = 100; @@ -161,13 +166,13 @@ void oneMSInterval() //Most ARM chips can simply call a function //Off by 1 error check if (currentStatus.ethanolPct == 1) { currentStatus.ethanolPct = 0; } - + } } #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) //AVR chips use the ISR for this - //Reset Timer2 to trigger in another ~1ms + //Reset Timer2 to trigger in another ~1ms TCNT2 = 131; //Preload timer2 with 100 cycles, leaving 156 till overflow. TIFR2 = 0x00; //Timer2 INT Flag Reg: Clear Timer Overflow Flag -#endif +#endif } diff --git a/speeduino/utils.ino b/speeduino/utils.ino index 686ac8d7..b8ee91e4 100644 --- a/speeduino/utils.ino +++ b/speeduino/utils.ino @@ -30,11 +30,31 @@ int freeRam () // The difference is the free, available ram. return (uint16_t)stackTop - heapTop; +#elif defined(CORE_STM32) + //Figure this out some_time + return 0; #endif } void setPinMapping(byte boardID) { + //This is dumb, but it'll do for now to get things compiling + #if defined(CORE_STM32) + #define A0 0 + #define A1 1 + #define A2 2 + #define A3 3 + #define A4 4 + #define A5 5 + #define A6 6 + #define A7 7 + #define A8 8 + #define A9 9 + #define A13 13 + #define A14 14 + #define A15 15 + #endif + switch (boardID) { case 0: From 9c3776f12c98051d70d8acf3b41e8fb258791456 Mon Sep 17 00:00:00 2001 From: Josh Stewart Date: Thu, 23 Mar 2017 17:34:10 +1100 Subject: [PATCH 15/15] Complete compiling (and linking) on stm32 --- platformio.ini | 6 +++--- speeduino/auxiliaries.ino | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/platformio.ini b/platformio.ini index ddc25424..f238e787 100644 --- a/platformio.ini +++ b/platformio.ini @@ -28,11 +28,11 @@ framework = energia board = lptm4c1294ncpdt lib_deps = EEPROM -[env:genericSTM32F103R8] +[env:genericSTM32F103RB] platform = ststm32 framework = arduino ; framework-arduinoststm32 -board = genericSTM32F103R8 +board = genericSTM32F103RB lib_deps = EEPROM build_flags = -fpermissive -std=gnu++11 @@ -51,5 +51,5 @@ env_default = megaatmega2560 ;The following lines are for testing / experimentation only. Comment the line above to try them out ;env_default = teensy35 ;env_default = LaunchPad_tm4c1294ncpdt -;env_default = genericSTM32F103R8 +;env_default = genericSTM32F103RB ;env_default = bluepill_f103c8 diff --git a/speeduino/auxiliaries.ino b/speeduino/auxiliaries.ino index 79e8078e..2cb9d778 100644 --- a/speeduino/auxiliaries.ino +++ b/speeduino/auxiliaries.ino @@ -127,7 +127,7 @@ ISR(TIMER1_COMPB_vect) } } -#elif defined (CORE_TEENSY) +#elif defined (CORE_TEENSY) || defined(CORE_STM32) //YET TO BE IMPLEMENTED ON TEENSY void initialiseAuxPWM() { } void boostControl() { }