Add missing pin defaults for v0.3 board

This commit is contained in:
Josh Stewart 2022-11-07 12:12:31 +11:00
parent 2fc29ceef5
commit be58be3b18
1 changed files with 2 additions and 0 deletions

View File

@ -1301,6 +1301,8 @@ void setPinMapping(byte boardID)
pinLaunch = 51; //Can be overwritten below
pinFlex = 2; // Flex sensor (Must be external interrupt enabled)
pinResetControl = 50; //Reset control output
pinBaro = A5;
pinVSS = 20;
#if defined(CORE_TEENSY35)
pinTrigger = 23;