More test improvements.

git-svn-id: svn://svn.code.sf.net/p/chibios/svn/trunk@10867 35acf78f-673a-0410-8e92-d51de3d6d3f4
This commit is contained in:
Giovanni Di Sirio 2017-10-21 17:44:06 +00:00
parent 0e3a108a64
commit f748c68476
21 changed files with 90 additions and 84 deletions

View File

@ -1,52 +1,52 @@
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchConfiguration type="org.eclipse.cdt.debug.gdbjtag.launchConfigurationType">
<stringAttribute key="bad_container_name" value="\RT-STM32F746G-DISCOVERY\debug"/>
<intAttribute key="org.eclipse.cdt.debug.gdbjtag.core.delay" value="1"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.doHalt" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.doReset" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.imageFileName" value=""/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.imageOffset" value=""/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.initCommands" value="set remotetimeout 20&#13;&#10;monitor reset init&#13;&#10;monitor sleep 50&#13;&#10;"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.ipAddress" value="localhost"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.jtagDevice" value="Generic TCP/IP"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.loadImage" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.loadSymbols" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.pcRegister" value=""/>
<intAttribute key="org.eclipse.cdt.debug.gdbjtag.core.portNumber" value="3333"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.runCommands" value=""/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.setPcRegister" value="false"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.setResume" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.setStopAt" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.stopAt" value="main"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.symbolsFileName" value=""/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.symbolsOffset" value=""/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useFileForImage" value="false"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useFileForSymbols" value="false"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useProjBinaryForImage" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useProjBinaryForSymbols" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useRemoteTarget" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.DEBUG_NAME" value="arm-none-eabi-gdb"/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.commandFactory" value="Standard"/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.protocol" value="mi"/>
<booleanAttribute key="org.eclipse.cdt.debug.mi.core.verboseMode" value="false"/>
<stringAttribute key="org.eclipse.cdt.dsf.gdb.DEBUG_NAME" value="arm-none-eabi-gdb"/>
<intAttribute key="org.eclipse.cdt.launch.ATTR_BUILD_BEFORE_LAUNCH_ATTR" value="2"/>
<stringAttribute key="org.eclipse.cdt.launch.COREFILE_PATH" value=""/>
<stringAttribute key="org.eclipse.cdt.launch.DEBUGGER_REGISTER_GROUPS" value=""/>
<stringAttribute key="org.eclipse.cdt.launch.FORMAT" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&lt;contentList&gt;&lt;content id=&quot;delta-next-vtlist-null-_idle_thread.lto_priv.25-(format)&quot; val=&quot;4&quot;/&gt;&lt;/contentList&gt;"/>
<stringAttribute key="org.eclipse.cdt.launch.GLOBAL_VARIABLES" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#13;&#10;&lt;globalVariableList/&gt;&#13;&#10;"/>
<stringAttribute key="org.eclipse.cdt.launch.MEMORY_BLOCKS" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#13;&#10;&lt;memoryBlockExpressionList&gt;&#13;&#10;&lt;memoryBlockExpressionItem&gt;&#13;&#10;&lt;expression text=&quot;0x0&quot;/&gt;&#13;&#10;&lt;/memoryBlockExpressionItem&gt;&#13;&#10;&lt;/memoryBlockExpressionList&gt;&#13;&#10;"/>
<stringAttribute key="org.eclipse.cdt.launch.PROGRAM_NAME" value="./build/ch.elf"/>
<stringAttribute key="org.eclipse.cdt.launch.PROJECT_ATTR" value="RT-STM32F746G-DISCOVERY"/>
<booleanAttribute key="org.eclipse.cdt.launch.PROJECT_BUILD_CONFIG_AUTO_ATTR" value="true"/>
<stringAttribute key="org.eclipse.cdt.launch.PROJECT_BUILD_CONFIG_ID_ATTR" value="0.114656749"/>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_PATHS">
<listEntry value="/RT-STM32F746G-DISCOVERY"/>
</listAttribute>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_TYPES">
<listEntry value="4"/>
</listAttribute>
<listAttribute key="org.eclipse.debug.ui.favoriteGroups">
<listEntry value="org.eclipse.debug.ui.launchGroup.debug"/>
</listAttribute>
</launchConfiguration>
<?xml version="1.0" encoding="UTF-8" standalone="no"?>
<launchConfiguration type="org.eclipse.cdt.debug.gdbjtag.launchConfigurationType">
<stringAttribute key="bad_container_name" value="\RT-STM32F746G-DISCOVERY\debug"/>
<intAttribute key="org.eclipse.cdt.debug.gdbjtag.core.delay" value="1"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.doHalt" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.doReset" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.imageFileName" value=""/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.imageOffset" value=""/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.initCommands" value="set remotetimeout 20&#13;&#10;monitor reset init&#13;&#10;monitor sleep 50&#13;&#10;"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.ipAddress" value="localhost"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.jtagDevice" value="Generic TCP/IP"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.loadImage" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.loadSymbols" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.pcRegister" value=""/>
<intAttribute key="org.eclipse.cdt.debug.gdbjtag.core.portNumber" value="3333"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.runCommands" value=""/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.setPcRegister" value="false"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.setResume" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.setStopAt" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.stopAt" value="main"/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.symbolsFileName" value=""/>
<stringAttribute key="org.eclipse.cdt.debug.gdbjtag.core.symbolsOffset" value=""/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useFileForImage" value="false"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useFileForSymbols" value="false"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useProjBinaryForImage" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useProjBinaryForSymbols" value="true"/>
<booleanAttribute key="org.eclipse.cdt.debug.gdbjtag.core.useRemoteTarget" value="true"/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.DEBUG_NAME" value="arm-none-eabi-gdb"/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.commandFactory" value="Standard"/>
<stringAttribute key="org.eclipse.cdt.debug.mi.core.protocol" value="mi"/>
<booleanAttribute key="org.eclipse.cdt.debug.mi.core.verboseMode" value="false"/>
<stringAttribute key="org.eclipse.cdt.dsf.gdb.DEBUG_NAME" value="arm-none-eabi-gdb"/>
<intAttribute key="org.eclipse.cdt.launch.ATTR_BUILD_BEFORE_LAUNCH_ATTR" value="2"/>
<stringAttribute key="org.eclipse.cdt.launch.COREFILE_PATH" value=""/>
<stringAttribute key="org.eclipse.cdt.launch.DEBUGGER_REGISTER_GROUPS" value=""/>
<stringAttribute key="org.eclipse.cdt.launch.FORMAT" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&lt;contentList&gt;&lt;content id=&quot;delta-next-vtlist-null-_idle_thread.lto_priv.25-(format)&quot; val=&quot;4&quot;/&gt;&lt;/contentList&gt;"/>
<stringAttribute key="org.eclipse.cdt.launch.GLOBAL_VARIABLES" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#10;&lt;globalVariableList/&gt;&#10;"/>
<stringAttribute key="org.eclipse.cdt.launch.MEMORY_BLOCKS" value="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#10;&lt;memoryBlockExpressionList&gt;&#10;&lt;memoryBlockExpressionItem&gt;&#10;&lt;expression text=&quot;0x0&quot;/&gt;&#10;&lt;/memoryBlockExpressionItem&gt;&#10;&lt;/memoryBlockExpressionList&gt;&#10;"/>
<stringAttribute key="org.eclipse.cdt.launch.PROGRAM_NAME" value="./build/ch.elf"/>
<stringAttribute key="org.eclipse.cdt.launch.PROJECT_ATTR" value="RT-STM32F746G-DISCOVERY"/>
<booleanAttribute key="org.eclipse.cdt.launch.PROJECT_BUILD_CONFIG_AUTO_ATTR" value="true"/>
<stringAttribute key="org.eclipse.cdt.launch.PROJECT_BUILD_CONFIG_ID_ATTR" value="0.114656749"/>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_PATHS">
<listEntry value="/RT-STM32F746G-DISCOVERY"/>
</listAttribute>
<listAttribute key="org.eclipse.debug.core.MAPPED_RESOURCE_TYPES">
<listEntry value="4"/>
</listAttribute>
<listAttribute key="org.eclipse.debug.ui.favoriteGroups">
<listEntry value="org.eclipse.debug.ui.launchGroup.debug"/>
</listAttribute>
</launchConfiguration>

View File

@ -53,8 +53,7 @@ int main(void) {
/*
* ARD_D13 is programmed as output (board LED).
*/
palClearLine(LINE_ARD_D13);
*/ palClearLine(LINE_ARD_D13);
palSetLineMode(LINE_ARD_D13, PAL_MODE_OUTPUT_PUSHPULL);
/*

View File

@ -225,7 +225,7 @@ void test_emit_token_i(char token) {
* @api
*/
msg_t test_execute(BaseSequentialStream *stream, const testsuite_t *tsp) {
int i, j;
int tseq, tcase;
test_chp = stream;
test_println("");
@ -253,22 +253,28 @@ msg_t test_execute(BaseSequentialStream *stream, const testsuite_t *tsp) {
test_println("");
test_global_fail = false;
i = 0;
while (tsp->sequences[i] != NULL) {
j = 0;
while (tsp->sequences[i]->cases[j] != NULL) {
tseq = 0;
while (tsp->sequences[tseq] != NULL) {
print_line();
test_print("--- Test Sequence ");
test_printn(tseq + 1);
test_print(" (");
test_print(tsp->sequences[tseq]->name);
test_println(")");
tcase = 0;
while (tsp->sequences[tseq]->cases[tcase] != NULL) {
print_line();
test_print("--- Test Case ");
test_printn(i + 1);
test_printn(tseq + 1);
test_print(".");
test_printn(j + 1);
test_printn(tcase + 1);
test_print(" (");
test_print(tsp->sequences[i]->cases[j]->name);
test_print(tsp->sequences[tseq]->cases[tcase]->name);
test_println(")");
#if TEST_DELAY_BETWEEN_TESTS > 0
osalThreadSleepMilliseconds(TEST_DELAY_BETWEEN_TESTS);
#endif
execute_test(tsp->sequences[i]->cases[j]);
execute_test(tsp->sequences[tseq]->cases[tcase]);
if (test_local_fail) {
test_print("--- Result: FAILURE (#");
test_printn(test_step);
@ -278,11 +284,12 @@ msg_t test_execute(BaseSequentialStream *stream, const testsuite_t *tsp) {
test_print(test_failure_message);
test_println("\")");
}
else
else {
test_println("--- Result: SUCCESS");
j++;
}
tcase++;
}
i++;
tseq++;
}
print_line();
test_println("");

View File

@ -177,6 +177,6 @@ const testcase_t * const nil_test_sequence_001_array[] = {
* @brief Information.
*/
const testsequence_t nil_test_sequence_001 = {
NULL,
"Information",
nil_test_sequence_001_array
};

View File

@ -185,6 +185,6 @@ const testcase_t * const nil_test_sequence_002_array[] = {
* @brief Threads Functionality.
*/
const testsequence_t nil_test_sequence_002 = {
NULL,
"Threads Functionality",
nil_test_sequence_002_array
};

View File

@ -259,7 +259,7 @@ const testcase_t * const nil_test_sequence_003_array[] = {
* @brief Semaphores.
*/
const testsequence_t nil_test_sequence_003 = {
NULL,
"Semaphores",
nil_test_sequence_003_array
};

View File

@ -201,6 +201,6 @@ const testcase_t * const nil_test_sequence_004_array[] = {
* @brief Suspend/Resume and Event Flags.
*/
const testsequence_t nil_test_sequence_004 = {
NULL,
"Suspend/Resume and Event Flags",
nil_test_sequence_004_array
};

View File

@ -409,7 +409,7 @@ const testcase_t * const oslib_test_sequence_001_array[] = {
* @brief Mailboxes.
*/
const testsequence_t oslib_test_sequence_001 = {
NULL,
"Mailboxes",
oslib_test_sequence_001_array
};

View File

@ -296,7 +296,7 @@ const testcase_t * const oslib_test_sequence_002_array[] = {
* @brief Memory Pools.
*/
const testsequence_t oslib_test_sequence_002 = {
NULL,
"Memory Pools",
oslib_test_sequence_002_array
};

View File

@ -273,7 +273,7 @@ const testcase_t * const oslib_test_sequence_003_array[] = {
* @brief Memory Heaps.
*/
const testsequence_t oslib_test_sequence_003 = {
NULL,
"Memory Heaps",
oslib_test_sequence_003_array
};

View File

@ -231,6 +231,6 @@ const testcase_t * const rt_test_sequence_001_array[] = {
* @brief Information.
*/
const testsequence_t rt_test_sequence_001 = {
NULL,
"Information",
rt_test_sequence_001_array
};

View File

@ -284,6 +284,6 @@ const testcase_t * const rt_test_sequence_002_array[] = {
* @brief System layer and port interface.
*/
const testsequence_t rt_test_sequence_002 = {
NULL,
"System layer and port interface",
rt_test_sequence_002_array
};

View File

@ -346,6 +346,6 @@ const testcase_t * const rt_test_sequence_003_array[] = {
* @brief Threads Functionality.
*/
const testsequence_t rt_test_sequence_003 = {
NULL,
"Threads Functionality",
rt_test_sequence_003_array
};

View File

@ -132,6 +132,6 @@ const testcase_t * const rt_test_sequence_004_array[] = {
* @brief Suspend/Resume.
*/
const testsequence_t rt_test_sequence_004 = {
NULL,
"Suspend/Resume",
rt_test_sequence_004_array
};

View File

@ -509,7 +509,7 @@ const testcase_t * const rt_test_sequence_005_array[] = {
* @brief Counter Semaphores.
*/
const testsequence_t rt_test_sequence_005 = {
NULL,
"Counter Semaphores",
rt_test_sequence_005_array
};

View File

@ -1071,7 +1071,7 @@ const testcase_t * const rt_test_sequence_006_array[] = {
* @brief Mutexes, Condition Variables and Priority Inheritance.
*/
const testsequence_t rt_test_sequence_006 = {
NULL,
"Mutexes, Condition Variables and Priority Inheritance",
rt_test_sequence_006_array
};

View File

@ -123,7 +123,7 @@ const testcase_t * const rt_test_sequence_007_array[] = {
* @brief Synchronous Messages.
*/
const testsequence_t rt_test_sequence_007 = {
NULL,
"Synchronous Messages",
rt_test_sequence_007_array
};

View File

@ -573,7 +573,7 @@ const testcase_t * const rt_test_sequence_008_array[] = {
* @brief Event Sources and Event Flags.
*/
const testsequence_t rt_test_sequence_008 = {
NULL,
"Event Sources and Event Flags",
rt_test_sequence_008_array
};

View File

@ -284,7 +284,7 @@ const testcase_t * const rt_test_sequence_009_array[] = {
* @brief Dynamic threads.
*/
const testsequence_t rt_test_sequence_009 = {
NULL,
"Dynamic threads",
rt_test_sequence_009_array
};

View File

@ -1033,6 +1033,6 @@ const testcase_t * const rt_test_sequence_010_array[] = {
* @brief Benchmarks.
*/
const testsequence_t rt_test_sequence_010 = {
NULL,
"Benchmarks",
rt_test_sequence_010_array
};

View File

@ -209,7 +209,7 @@ const testcase_t * const ${prefix_lower}test_sequence_${(sequence_index + 1)?str
* @brief ${utils.WithDot(sequence.brief.value[0]?string)}
*/
const testsequence_t ${prefix_lower}test_sequence_${(sequence_index + 1)?string("000")} = {
NULL,
"${utils.WithoutDot(sequence.brief.value[0]?string)}",
${prefix_lower}test_sequence_${(sequence_index + 1)?string("000")}_array
};
[#if sequence.condition.value[0]?trim?length > 0]