wbo: add external swd pads

This commit is contained in:
Andrei 2021-04-24 18:40:17 +03:00
parent 7d700e4fda
commit 89e19595ca
20 changed files with 60113 additions and 25307 deletions

View File

@ -1,4 +1,4 @@
19425980974085072 19421055066278867
Audio_Module Audio_Module
Reverb_BTDR-1H Reverb_BTDR-1H
Digital Reverberation Unit, http://www.belton.co.kr/inc/downfile.php?seq=17&file=pdf (footprint from http://www.uk-electronic.de/PDF/BTDR-1.pdf) Digital Reverberation Unit, http://www.belton.co.kr/inc/downfile.php?seq=17&file=pdf (footprint from http://www.uk-electronic.de/PDF/BTDR-1.pdf)
@ -44919,6 +44919,13 @@ usb usb-c 2.0 pd
20 20
17 17
Connector_USB Connector_USB
USB_C_Receptacle_JAE_DX07S016JA1R1500
USB TYPE C, USB 2.0, SMT, https://www.jae.com/en/connectors/series/detail/product/id=91780
USB C Type-C Receptacle SMD USB 2.0
0
22
17
Connector_USB
USB_C_Receptacle_JAE_DX07S024WJ1R350 USB_C_Receptacle_JAE_DX07S024WJ1R350
http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ117219.pdf http://www.jae.com/z-en/pdf_download_exec.cfm?param=SJ117219.pdf
USB C Type-C Receptacle SMD USB C Type-C Receptacle SMD
@ -84172,7 +84179,7 @@ PAD-0805-PAD
0 0
6 4
2 2
footprints footprints
PAD-1206-PAD PAD-1206-PAD
@ -84189,6 +84196,13 @@ Through hole pad
1 1
1 1
footprints footprints
PROTO_AREA
0
15
15
footprints
R0603 R0603
@ -84224,6 +84238,13 @@ SMD-2_2.9x3.9x1.7
2 2
2 2
footprints footprints
SO20POWER
PowerSO20
0
23
23
footprints
SOD-323 SOD-323
@ -84249,5 +84270,5 @@ wbo
0 0
24 28
11 15

File diff suppressed because it is too large Load Diff

View File

@ -37,7 +37,6 @@
8, 8,
9, 9,
10, 10,
11,
12, 12,
13, 13,
14, 14,

View File

@ -58,7 +58,7 @@
"drc_exclusions": [], "drc_exclusions": [],
"meta": { "meta": {
"filename": "board_design_settings.json", "filename": "board_design_settings.json",
"version": 1 "version": 2
}, },
"rule_severities": { "rule_severities": {
"annular_width": "error", "annular_width": "error",
@ -114,11 +114,7 @@
"min_through_hole_diameter": 0.3, "min_through_hole_diameter": 0.3,
"min_track_width": 0.1651, "min_track_width": 0.1651,
"min_via_annular_width": 0.049999999999999996, "min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997, "min_via_diameter": 0.39999999999999997
"solder_mask_clearance": 0.048999999999999995,
"solder_mask_min_width": 0.19999999999999998,
"solder_paste_clearance": 0.0,
"solder_paste_margin_ratio": -0.0
}, },
"track_widths": [ "track_widths": [
0.0, 0.0,
@ -403,6 +399,7 @@
"default_text_size": 50.0, "default_text_size": 50.0,
"default_wire_thickness": 6.0, "default_wire_thickness": 6.0,
"field_names": [], "field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "", "intersheets_ref_prefix": "",
"intersheets_ref_short": false, "intersheets_ref_short": false,
"intersheets_ref_show": false, "intersheets_ref_show": false,
@ -417,6 +414,12 @@
"version": 0 "version": 0
}, },
"net_format_name": "", "net_format_name": "",
"ngspice": {
"meta": {
"version": 0
},
"model_mode": 0
},
"page_layout_descr_file": "", "page_layout_descr_file": "",
"plot_directory": "gerber", "plot_directory": "gerber",
"spice_adjust_passive_values": false, "spice_adjust_passive_values": false,
@ -426,7 +429,7 @@
}, },
"sheets": [ "sheets": [
[ [
"42f22aa3-1da6-47ff-8d70-6b371832b328", "759a7f60-bcfd-4446-a468-fcc3b8c86cdc",
"" ""
] ]
], ],

View File

@ -1,4 +1,6 @@
(kicad_sch (version 20210126) (generator eeschema) (kicad_sch (version 20210406) (generator eeschema)
(uuid 759a7f60-bcfd-4446-a468-fcc3b8c86cdc)
(paper "A4") (paper "A4")
@ -29,23 +31,23 @@
(rectangle (start -1.27 -2.413) (end 0 -2.667) (rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524)) (fill (type none)) (stroke (width 0.1524)) (fill (type none))
) )
(rectangle (start -1.27 2.667) (end 0 2.413) (rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524)) (fill (type none)) (stroke (width 0.1524)) (fill (type none))
) )
(rectangle (start -1.27 3.81) (end 3.81 -3.81) (rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.254)) (fill (type background))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524)) (fill (type none)) (stroke (width 0.1524)) (fill (type none))
) )
(rectangle (start 3.81 -2.413) (end 2.54 -2.667) (rectangle (start 3.81 -2.413) (end 2.54 -2.667)
(stroke (width 0.1524)) (fill (type none)) (stroke (width 0.1524)) (fill (type none))
) )
(rectangle (start 3.81 0.127) (end 2.54 -0.127)
(stroke (width 0.1524)) (fill (type none))
)
(rectangle (start 3.81 2.667) (end 2.54 2.413) (rectangle (start 3.81 2.667) (end 2.54 2.413)
(stroke (width 0.1524)) (fill (type none)) (stroke (width 0.1524)) (fill (type none))
) )
(rectangle (start 3.81 0.127) (end 2.54 -0.127) (rectangle (start -1.27 3.81) (end 3.81 -3.81)
(stroke (width 0.1524)) (fill (type none)) (stroke (width 0.254)) (fill (type background))
) )
(pin passive line (at -5.08 2.54 0) (length 3.81) (pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27)))) (name "Pin_1" (effects (font (size 1.27 1.27))))
@ -94,26 +96,6 @@
(name "LSU_Un" (effects (font (size 1.27 1.27)))) (name "LSU_Un" (effects (font (size 1.27 1.27))))
(number "E1" (effects (font (size 1.27 1.27)))) (number "E1" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at 40.64 -10.16 180) (length 7.62)
(name "LSU_Rtrim" (effects (font (size 1.27 1.27))))
(number "E4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -2.54 180) (length 7.62)
(name "5V_IN" (effects (font (size 1.27 1.27))))
(number "W1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -10.16 0) (length 7.62)
(name "CAN_RX" (effects (font (size 1.27 1.27))))
(number "W3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -20.32 180) (length 7.62)
(name "GND" (effects (font (size 1.27 1.27))))
(number "G" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -12.7 0) (length 7.62)
(name "CAN_TX" (effects (font (size 1.27 1.27))))
(number "W4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -15.24 180) (length 7.62) (pin passive line (at 40.64 -15.24 180) (length 7.62)
(name "LSU_Vm" (effects (font (size 1.27 1.27)))) (name "LSU_Vm" (effects (font (size 1.27 1.27))))
(number "E2" (effects (font (size 1.27 1.27)))) (number "E2" (effects (font (size 1.27 1.27))))
@ -122,6 +104,10 @@
(name "LSU_Ip" (effects (font (size 1.27 1.27)))) (name "LSU_Ip" (effects (font (size 1.27 1.27))))
(number "E3" (effects (font (size 1.27 1.27)))) (number "E3" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at 40.64 -10.16 180) (length 7.62)
(name "LSU_Rtrim" (effects (font (size 1.27 1.27))))
(number "E4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -7.62 180) (length 7.62) (pin passive line (at 40.64 -7.62 180) (length 7.62)
(name "LSU_H+" (effects (font (size 1.27 1.27)))) (name "LSU_H+" (effects (font (size 1.27 1.27))))
(number "E5" (effects (font (size 1.27 1.27)))) (number "E5" (effects (font (size 1.27 1.27))))
@ -130,10 +116,42 @@
(name "LSU_H-" (effects (font (size 1.27 1.27)))) (name "LSU_H-" (effects (font (size 1.27 1.27))))
(number "E6" (effects (font (size 1.27 1.27)))) (number "E6" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at -7.62 -7.62 0) (length 7.62) (pin passive line (at 40.64 -20.32 180) (length 7.62)
(name "GND" (effects (font (size 1.27 1.27))))
(number "G" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -2.54 180) (length 7.62)
(name "V5_IN" (effects (font (size 1.27 1.27))))
(number "W1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -2.54 0) (length 7.62)
(name "CAN_VIO" (effects (font (size 1.27 1.27)))) (name "CAN_VIO" (effects (font (size 1.27 1.27))))
(number "W2" (effects (font (size 1.27 1.27)))) (number "W2" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at -7.62 -5.08 0) (length 7.62)
(name "CAN_RX" (effects (font (size 1.27 1.27))))
(number "W3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -7.62 0) (length 7.62)
(name "CAN_TX" (effects (font (size 1.27 1.27))))
(number "W4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -20.32 0) (length 7.62)
(name "nReset" (effects (font (size 1.27 1.27))))
(number "W5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -17.78 0) (length 7.62)
(name "SWDIO" (effects (font (size 1.27 1.27))))
(number "W6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -15.24 0) (length 7.62)
(name "SWCLK" (effects (font (size 1.27 1.27))))
(number "W7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -12.7 0) (length 7.62)
(name "V33_OUT" (effects (font (size 1.27 1.27))))
(number "W8" (effects (font (size 1.27 1.27))))
)
) )
) )
(symbol "hellen1-wbo-rescue:+3.3V-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) (symbol "hellen1-wbo-rescue:+3.3V-power" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
@ -1443,14 +1461,26 @@
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 2f9b66fb-e76f-4850-a826-860aac5e5bae) (uuid 2f9b66fb-e76f-4850-a826-860aac5e5bae)
) )
(wire (pts (xy 157.48 139.7) (xy 147.32 139.7)) (wire (pts (xy 145.415 144.78) (xy 157.48 144.78))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 9bbaabb7-9d04-4fd5-a0a9-a0d46586d5fe)
)
(wire (pts (xy 148.59 149.86) (xy 157.48 149.86))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid cee2fdf5-ca02-46b8-8603-285c51dd0864)
)
(wire (pts (xy 157.48 134.62) (xy 147.32 134.62))
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(uuid b4f6c456-e51c-4f40-9768-afa6e7e996d4) (uuid b4f6c456-e51c-4f40-9768-afa6e7e996d4)
) )
(wire (pts (xy 157.48 142.24) (xy 147.32 142.24)) (wire (pts (xy 157.48 137.16) (xy 147.32 137.16))
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(uuid a26beae7-1535-4722-8aef-00077d9ae08f) (uuid a26beae7-1535-4722-8aef-00077d9ae08f)
) )
(wire (pts (xy 157.48 147.32) (xy 145.415 147.32))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 870fdb4c-22cb-4f77-a09d-057851e8fec0)
)
(wire (pts (xy 158.75 105.41) (xy 175.895 105.41)) (wire (pts (xy 158.75 105.41) (xy 175.895 105.41))
(stroke (width 0) (type solid) (color 0 0 0 0)) (stroke (width 0) (type solid) (color 0 0 0 0))
(uuid d2d1852a-7463-455c-8e1d-6896b8922bb4) (uuid d2d1852a-7463-455c-8e1d-6896b8922bb4)
@ -1765,11 +1795,23 @@
(effects (font (size 1.27 1.27)) (justify left bottom)) (effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 5e489e21-68e6-46ab-a3f3-0006b84f9036) (uuid 5e489e21-68e6-46ab-a3f3-0006b84f9036)
) )
(label "CAN_RX" (at 156.21 139.7 180) (label "SWCLK" (at 145.415 144.78 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 86c5bbff-cadc-4c84-9070-52c8256100ac)
)
(label "SWDIO" (at 145.415 147.32 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 1693e077-75f1-4046-9350-73926740d3cf)
)
(label "nRESET" (at 148.59 149.86 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 3f4d1110-24ff-4825-9da8-88a2edb1805f)
)
(label "CAN_RX" (at 156.21 134.62 180)
(effects (font (size 1.27 1.27)) (justify right bottom)) (effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 3c1f2d4c-134a-429f-a640-24006318b619) (uuid 3c1f2d4c-134a-429f-a640-24006318b619)
) )
(label "CAN_TX" (at 156.21 142.24 180) (label "CAN_TX" (at 156.21 137.16 180)
(effects (font (size 1.27 1.27)) (justify right bottom)) (effects (font (size 1.27 1.27)) (justify right bottom))
(uuid 4f125825-5d37-40d3-aaeb-f01cd23aec4e) (uuid 4f125825-5d37-40d3-aaeb-f01cd23aec4e)
) )
@ -1878,42 +1920,6 @@
(uuid ef0cf1cf-c77c-476d-ac47-38b64970af30) (uuid ef0cf1cf-c77c-476d-ac47-38b64970af30)
) )
(symbol (lib_id "hellen1-wbo-rescue:PWR_FLAG-power") (at 229.235 149.225 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000060746e03)
(property "Reference" "#FLG0600" (id 0) (at 229.235 147.32 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 229.235 145.9992 90)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Footprint" "" (id 2) (at 229.235 149.225 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 229.235 149.225 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1a25eb94-80dc-41c7-82a4-bc00e15ec655))
)
(symbol (lib_id "hellen1-wbo-rescue:PWR_FLAG-power") (at 234.95 139.065 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000602cf71b)
(property "Reference" "#FLG0601" (id 0) (at 233.045 139.065 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 231.7242 139.065 90)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Footprint" "" (id 2) (at 234.95 139.065 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 234.95 139.065 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid aa66597a-0722-45ec-8109-d699629c4707))
)
(symbol (lib_id "hellen1-wbo-rescue:VDDA-power") (at 27.94 22.225 0) (unit 1) (symbol (lib_id "hellen1-wbo-rescue:VDDA-power") (at 27.94 22.225 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005ff18494) (uuid 00000000-0000-0000-0000-00005ff18494)
@ -2074,22 +2080,38 @@
(pin "1" (uuid 42f8802b-7c4f-44b6-8a71-1b5d63f406dd)) (pin "1" (uuid 42f8802b-7c4f-44b6-8a71-1b5d63f406dd))
) )
(symbol (lib_id "hellen1-wbo-rescue:+3.3V-power") (at 157.48 137.16 0) (unit 1) (symbol (lib_id "hellen1-wbo-rescue:+3.3V-power") (at 157.48 132.08 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 85464752-66ec-4a65-8d45-ea14c184e4d2) (uuid 85464752-66ec-4a65-8d45-ea14c184e4d2)
(property "Reference" "#PWR?" (id 0) (at 157.48 140.97 0) (property "Reference" "#PWR?" (id 0) (at 157.48 135.89 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Value" "+3.3V" (id 1) (at 157.861 132.7658 0)) (property "Value" "+3.3V" (id 1) (at 157.861 127.6858 0))
(property "Footprint" "" (id 2) (at 157.48 137.16 0) (property "Footprint" "" (id 2) (at 157.48 132.08 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(property "Datasheet" "" (id 3) (at 157.48 137.16 0) (property "Datasheet" "" (id 3) (at 157.48 132.08 0)
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "1" (uuid 25237782-e1ab-4a82-9199-04ec501071f1)) (pin "1" (uuid 25237782-e1ab-4a82-9199-04ec501071f1))
) )
(symbol (lib_id "hellen1-wbo-rescue:+3.3V-power") (at 157.48 142.24 90) (mirror x) (unit 1)
(in_bom yes) (on_board yes)
(uuid 6ac4b304-1d58-401a-a4a8-775f6dbed43e)
(property "Reference" "#PWR?" (id 0) (at 161.29 142.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3.3V" (id 1) (at 151.13 142.24 90))
(property "Footprint" "" (id 2) (at 157.48 142.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 157.48 142.24 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e5b5dc15-008b-4b3c-8888-cc863e55f5c3))
)
(symbol (lib_id "hellen1-wbo-rescue:+5V-power") (at 178.435 20.32 0) (unit 1) (symbol (lib_id "hellen1-wbo-rescue:+5V-power") (at 178.435 20.32 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005fecf4ff) (uuid 00000000-0000-0000-0000-00005fecf4ff)
@ -2218,6 +2240,42 @@
(pin "1" (uuid 3e98e6d5-2241-4755-bd86-4a31ee73fa04)) (pin "1" (uuid 3e98e6d5-2241-4755-bd86-4a31ee73fa04))
) )
(symbol (lib_id "hellen1-wbo-rescue:PWR_FLAG-power") (at 229.235 149.225 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000060746e03)
(property "Reference" "#FLG0600" (id 0) (at 229.235 147.32 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 229.235 145.9992 90)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Footprint" "" (id 2) (at 229.235 149.225 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 229.235 149.225 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 1a25eb94-80dc-41c7-82a4-bc00e15ec655))
)
(symbol (lib_id "hellen1-wbo-rescue:PWR_FLAG-power") (at 234.95 139.065 90) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-0000602cf71b)
(property "Reference" "#FLG0601" (id 0) (at 233.045 139.065 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "PWR_FLAG" (id 1) (at 231.7242 139.065 90)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
(property "Footprint" "" (id 2) (at 234.95 139.065 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 234.95 139.065 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid aa66597a-0722-45ec-8109-d699629c4707))
)
(symbol (lib_id "hellen1-wbo-rescue:GND-power") (at 20.32 42.545 0) (unit 1) (symbol (lib_id "hellen1-wbo-rescue:GND-power") (at 20.32 42.545 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005eb130b2) (uuid 00000000-0000-0000-0000-00005eb130b2)
@ -2738,6 +2796,26 @@
(pin "1" (uuid 4993940d-c6be-48d9-a395-122bb14d2b28)) (pin "1" (uuid 4993940d-c6be-48d9-a395-122bb14d2b28))
) )
(symbol (lib_id "hellen1-wbo-rescue:MCP6004-Amplifier_Operational") (at 28.575 182.88 0) (unit 5)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005fbafe30)
(property "Reference" "U600" (id 0) (at 26.035 182.88 0))
(property "Value" "MCP6004" (id 1) (at 33.02 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "Package_SO:TSSOP-14_4.4x5mm_P0.65mm" (id 2) (at 27.305 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/21733j.pdf" (id 3) (at 29.845 177.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C50282" (id 4) (at 28.575 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "11" (uuid ff07b912-2d21-479d-89c6-404244a6c236))
(pin "4" (uuid 099b3d2c-e63e-4b8c-a377-fd5fc3406d8b))
)
(symbol (lib_id "hellen1-wbo-rescue:R-Device") (at 27.94 26.035 0) (unit 1) (symbol (lib_id "hellen1-wbo-rescue:R-Device") (at 27.94 26.035 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005eb0f879) (uuid 00000000-0000-0000-0000-00005eb0f879)
@ -3376,26 +3454,6 @@
(pin "2" (uuid 342e242a-a2c3-45d4-a0fe-3d9c1d661191)) (pin "2" (uuid 342e242a-a2c3-45d4-a0fe-3d9c1d661191))
) )
(symbol (lib_id "hellen1-wbo-rescue:MCP6004-Amplifier_Operational") (at 28.575 182.88 0) (unit 5)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005fbafe30)
(property "Reference" "U600" (id 0) (at 26.035 182.88 0))
(property "Value" "MCP6004" (id 1) (at 33.02 179.07 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "Package_SO:TSSOP-14_4.4x5mm_P0.65mm" (id 2) (at 27.305 180.34 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/21733j.pdf" (id 3) (at 29.845 177.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C50282" (id 4) (at 28.575 182.88 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "11" (uuid ff07b912-2d21-479d-89c6-404244a6c236))
(pin "4" (uuid 099b3d2c-e63e-4b8c-a377-fd5fc3406d8b))
)
(symbol (lib_id "hellen1-wbo-rescue:C-Device") (at 20.32 38.735 0) (unit 1) (symbol (lib_id "hellen1-wbo-rescue:C-Device") (at 20.32 38.735 0) (unit 1)
(in_bom yes) (on_board yes) (in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00005eb1294f) (uuid 00000000-0000-0000-0000-00005eb1294f)
@ -3955,16 +4013,20 @@
(effects (font (size 1.27 1.27)) hide) (effects (font (size 1.27 1.27)) hide)
) )
(pin "E1" (uuid bd56ee96-9ce5-47af-8c26-e5898fe7bbc7)) (pin "E1" (uuid bd56ee96-9ce5-47af-8c26-e5898fe7bbc7))
(pin "E4" (uuid 0bb508f1-3b88-4bcc-8c60-0907957c82ba))
(pin "W1" (uuid 2d75fd78-cb6f-4de6-b268-dcbd4e01813f))
(pin "W3" (uuid 2f1f506c-c71d-46c7-9d97-616d39440c37))
(pin "G" (uuid f8239630-0016-4280-9d3e-eb53d244dcac))
(pin "W4" (uuid 64008b64-71f4-4f5c-b8e7-bf4efafd4870))
(pin "E2" (uuid 26076a18-fae8-4f14-9ff7-dbc270d41e08)) (pin "E2" (uuid 26076a18-fae8-4f14-9ff7-dbc270d41e08))
(pin "E3" (uuid eb182a38-239a-4c78-b231-95739515e977)) (pin "E3" (uuid eb182a38-239a-4c78-b231-95739515e977))
(pin "E4" (uuid 0bb508f1-3b88-4bcc-8c60-0907957c82ba))
(pin "E5" (uuid e9c6d681-25a9-47fc-9f72-875ced4eb88c)) (pin "E5" (uuid e9c6d681-25a9-47fc-9f72-875ced4eb88c))
(pin "E6" (uuid f7595e7e-b100-46f0-8fff-1ab61c283fb0)) (pin "E6" (uuid f7595e7e-b100-46f0-8fff-1ab61c283fb0))
(pin "G" (uuid f8239630-0016-4280-9d3e-eb53d244dcac))
(pin "W1" (uuid 2d75fd78-cb6f-4de6-b268-dcbd4e01813f))
(pin "W2" (uuid cc90244f-6466-4298-8e0f-2bd5827ffb44)) (pin "W2" (uuid cc90244f-6466-4298-8e0f-2bd5827ffb44))
(pin "W3" (uuid 2f1f506c-c71d-46c7-9d97-616d39440c37))
(pin "W4" (uuid 64008b64-71f4-4f5c-b8e7-bf4efafd4870))
(pin "W5" (uuid 907f1e72-175e-44cc-a50e-c62ea2ae4cf7))
(pin "W6" (uuid d6707a3e-9dc5-4607-8fd1-0986599ecab9))
(pin "W7" (uuid 90ef4f63-f082-4cd6-981d-ba70e527175e))
(pin "W8" (uuid 04354acb-0f53-4388-803d-2775011b4fbd))
) )
(symbol (lib_id "hellen1-wbo-rescue:STM32F042K6Tx-MCU_ST_STM32F0") (at 188.595 87.63 0) (unit 1) (symbol (lib_id "hellen1-wbo-rescue:STM32F042K6Tx-MCU_ST_STM32F0") (at 188.595 87.63 0) (unit 1)
@ -4176,6 +4238,9 @@
(path "/00000000-0000-0000-0000-00005fd14741" (path "/00000000-0000-0000-0000-00005fd14741"
(reference "#PWR0649") (unit 1) (value "GND") (footprint "") (reference "#PWR0649") (unit 1) (value "GND") (footprint "")
) )
(path "/6ac4b304-1d58-401a-a4a8-775f6dbed43e"
(reference "#PWR?") (unit 1) (value "+3.3V") (footprint "")
)
(path "/85464752-66ec-4a65-8d45-ea14c184e4d2" (path "/85464752-66ec-4a65-8d45-ea14c184e4d2"
(reference "#PWR?") (unit 1) (value "+3.3V") (footprint "") (reference "#PWR?") (unit 1) (value "+3.3V") (footprint "")
) )

View File

@ -20,7 +20,7 @@ Comment,Designator,Footprint,LCSC Part #
"LED-GREEN-0603","D601","LED-0603","C72043" "LED-GREEN-0603","D601","LED-0603","C72043"
"LED-BLUE-0603","D602","LED-0603","C72041" "LED-BLUE-0603","D602","LED-0603","C72041"
"LED-RED-0603","D603","LED-0603","C2286" "LED-RED-0603","D603","LED-0603","C2286"
"Module:wbo/0.1","M600","hellen-one-wbo-0.1:wbo","" "Module:wbo/0.1","M600","wbo",""
"BTS3028","Q600","DPAK","C112639" "BTS3028","Q600","DPAK","C112639"
"1k","R600","R0402","C11702" "1k","R600","R0402","C11702"
"1k","R601","R0402","C11702" "1k","R601","R0402","C11702"

1 Comment Designator Footprint LCSC Part #
20 LED-GREEN-0603 D601 LED-0603 C72043
21 LED-BLUE-0603 D602 LED-0603 C72041
22 LED-RED-0603 D603 LED-0603 C2286
23 Module:wbo/0.1 M600 hellen-one-wbo-0.1:wbo wbo
24 BTS3028 Q600 DPAK C112639
25 1k R600 R0402 C11702
26 1k R601 R0402 C11702

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -1,121 +1,128 @@
M48 M48
; DRILL file {KiCad (5.99.0-9294-gd3cf97847e)} date 03/10/21 21:33:52 ; DRILL file {KiCad (5.99.0-10200-gdf5f010514)} date 04/24/21 18:33:34
; FORMAT={2:4/ absolute / inch / suppress leading zeros} ; FORMAT={2:4/ absolute / inch / suppress leading zeros}
; #@! TF.CreationDate,2021-03-10T21:33:52+02:00 ; #@! TF.CreationDate,2021-04-24T18:33:34+03:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-9294-gd3cf97847e) ; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.99.0-10200-gdf5f010514)
; #@! TF.FileFunction,MixedPlating,1,2
FMAT,2 FMAT,2
INCH,TZ INCH,TZ
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.0118 T1C0.0118
T2C0.0390 ; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T2C0.0118
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T3C0.0390
% %
G90 G90
G05 G05
T1 T1
X30768Y-31673 X177Y5748
X30787Y-32618 X197Y4803
X30807Y-32972 X236Y3012
X30807Y-33366 X256Y3701
X30807Y-34764 X276Y276
X30807Y-35157 X417Y2014
X30827Y-34409 X565Y1746
X30827Y-37205 X650Y5256
X30846Y-33720 X866Y531
X30846Y-35531 X1102Y1516
X31122Y-36752 X1181Y551
X31240Y-32165 X1407Y2983
X31457Y-36890 X1417Y2244
X31693Y-35906 X1433Y4846
X31772Y-36870 X1437Y3937
X31998Y-34438 X1614Y5295
X32024Y-32575 X1988Y354
X32028Y-33484 X2382Y2283
X32205Y-32126 X2441Y4311
X32579Y-37067 X2480Y3134
X32972Y-35138 X2677Y236
X33031Y-33110 X2736Y5689
X33071Y-34287 X2992Y768
X33268Y-37185 X3012Y1811
X33327Y-31732 X3051Y5315
X33583Y-36654 X3366Y2977
X33602Y-35610 X3445Y2657
X33642Y-32106 X3622Y3327
X33957Y-34444 X3661Y5551
X34035Y-34764 X3858Y2382
X34213Y-34094 X3996Y236
X34252Y-31870 X4134Y4331
X34449Y-35039 X4409Y2913
X34587Y-37185 X4744Y2126
X34724Y-33091 X4764Y5492
X35000Y-34508 X5177Y315
X35335Y-35295 X5309Y4411
X35354Y-31929 X5374Y3051
X35768Y-37106 X5606Y2681
X35900Y-33010 X5629Y3431
X35965Y-34370 X5630Y4370
X36197Y-34740 X5630Y4055
X36220Y-33990 X5984Y1654
X36220Y-33051 X5984Y1319
X36220Y-33366 X6240Y512
X36575Y-35768 X6280Y4921
X36575Y-36102 X6713Y2677
X36831Y-36909 X6732Y217
X36870Y-32500 X6791Y4469
X37303Y-34744 X7106Y802
X37323Y-37205 X7109Y3171
X37382Y-32953 X7244Y1102
X37697Y-36619 X7303Y5217
X37700Y-34250 X8228Y1654
X37835Y-36319 X8386Y2224
X37894Y-32205 X8465Y3248
X38819Y-35768 X8622Y2933
X38976Y-35197 X8642Y4626
X39055Y-34173 X8760Y5394
X39213Y-34488 X8839Y5098
X39232Y-32795 X9173Y217
X39350Y-32028 X9272Y4154
X39429Y-32323 X9291Y807
X39764Y-37205 X9409Y1909
X39862Y-33268 X9567Y2776
X39882Y-36614 X9567Y2421
X40000Y-35512 X9665Y3268
X40157Y-34646 X9705Y5059
X40157Y-35000 X10000Y4154
X40256Y-34154 X10309Y3471
X40295Y-32362 X10335Y709
X40591Y-33268 X10531Y4508
X40900Y-33950 X10719Y1911
X40925Y-36713 X11260Y5413
X41122Y-32913 X11449Y2261
X41310Y-35510 X11457Y3209
X41850Y-32008 X11459Y2571
X42040Y-35160 X11459Y1501
X42047Y-34213 X11535Y4252
X42050Y-34850 X12047Y217
X42050Y-35920 X12382Y5157
X42126Y-33169 X12382Y4724
X42638Y-37205 X12697Y5157
X42972Y-32264 X12697Y4724
X42972Y-32697 X12854Y4213
X43287Y-32264 X13661Y689
X43287Y-32697 X13720Y5689
X43445Y-33209 X13917Y4114
X44252Y-36732 X14331Y5236
X44311Y-31732 X14331Y4862
X44508Y-33307 X14783Y5236
X44921Y-32185 X14783Y4862
X44921Y-32559 X15236Y5709
X45374Y-32185 X15276Y4882
X45374Y-32559 X15295Y217
X45827Y-31713
X45866Y-32539
X45866Y-35591
X45866Y-35984
X45866Y-36378
X45866Y-36772
X45886Y-37205
T2 T2
X31008Y-36339 X217Y4449
X33008Y-35939 X217Y4055
X33008Y-36739 X217Y2657
X217Y2264
X15276Y1831
X15276Y1437
X15276Y1043
X15276Y650
T3
X417Y1083
X2417Y1483
X2417Y683
T0 T0
M30 M30

File diff suppressed because it is too large Load Diff

View File

@ -1,27 +1,27 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-9294-gd3cf97847e)* G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-10200-gdf5f010514)*
G04 #@! TF.CreationDate,2021-03-10T21:33:55+02:00* G04 #@! TF.CreationDate,2021-04-24T18:33:29+03:00*
G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?* G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?*
G04 #@! TF.SameCoordinates,Original* G04 #@! TF.SameCoordinates,PX4a19ba0PY5aa5910*
G04 #@! TF.FileFunction,Legend,Bot* G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive* G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*% %FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.99.0-9294-gd3cf97847e)) date 2021-03-10 21:33:55* G04 Created by KiCad (PCBNEW (5.99.0-10200-gdf5f010514)) date 2021-04-24 18:33:29*
%MOMM*% %MOMM*%
%LPD*% %LPD*%
G01* G01*
G04 APERTURE LIST* G04 APERTURE LIST*
%ADD10C,0.120000*% %ADD10C,0.200000*%
G04 APERTURE END LIST* G04 APERTURE END LIST*
D10* D10*
G04 #@! TO.C,M600* G04 #@! TO.C,M600*
X116950000Y-80050000D02* X39250000Y15000000D02*
X77800000Y-80050000D01* X100000Y15000000D01*
X77800000Y-80050000D02* X100000Y15000000D02*
X77800000Y-94950000D01* X100000Y100000D01*
X77800000Y-94950000D02* X100000Y100000D02*
X116950000Y-94950000D01* X39250000Y100000D01*
X116950000Y-94950000D02* X39250000Y100000D02*
X116950000Y-80050000D01* X39250000Y15000000D01*
G04 #@! TD* G04 #@! TD*
M02* M02*

View File

@ -1,22 +1,22 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-9294-gd3cf97847e)* G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-10200-gdf5f010514)*
G04 #@! TF.CreationDate,2021-03-10T21:33:55+02:00* G04 #@! TF.CreationDate,2021-04-24T18:33:29+03:00*
G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?* G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?*
G04 #@! TF.SameCoordinates,Original* G04 #@! TF.SameCoordinates,PX4a19ba0PY5aa5910*
G04 #@! TF.FileFunction,Soldermask,Bot* G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative* G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*% %FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.99.0-9294-gd3cf97847e)) date 2021-03-10 21:33:55* G04 Created by KiCad (PCBNEW (5.99.0-10200-gdf5f010514)) date 2021-04-24 18:33:29*
%MOMM*% %MOMM*%
%LPD*% %LPD*%
G01* G01*
G04 APERTURE LIST* G04 APERTURE LIST*
%ADD10C,1.088600*% %ADD10C,0.990600*%
G04 APERTURE END LIST* G04 APERTURE END LIST*
D10* D10*
G04 #@! TO.C,J600* G04 #@! TO.C,J600*
X78760000Y-92300000D03* X1060000Y2750000D03*
X83840000Y-93316000D03* X6140000Y1734000D03*
X83840000Y-91284000D03* X6140000Y3766000D03*
G04 #@! TD* G04 #@! TD*
M02* M02*

View File

@ -1,14 +1,26 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-9294-gd3cf97847e)* G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-10200-gdf5f010514)*
G04 #@! TF.CreationDate,2021-03-10T21:33:55+02:00* G04 #@! TF.CreationDate,2021-04-24T18:33:29+03:00*
G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?* G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?*
G04 #@! TF.SameCoordinates,Original* G04 #@! TF.SameCoordinates,PX4a19ba0PY5aa5910*
G04 #@! TF.FileFunction,Profile,NP* G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*% %FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.99.0-9294-gd3cf97847e)) date 2021-03-10 21:33:55* G04 Created by KiCad (PCBNEW (5.99.0-10200-gdf5f010514)) date 2021-04-24 18:33:29*
%MOMM*% %MOMM*%
%LPD*% %LPD*%
G01* G01*
G04 APERTURE LIST* G04 APERTURE LIST*
G04 #@! TA.AperFunction,Profile*
%ADD10C,0.020000*%
G04 #@! TD*
G04 APERTURE END LIST* G04 APERTURE END LIST*
D10*
X0Y0D02*
X39350000Y0D01*
X39350000Y0D02*
X39350000Y15100000D01*
X39350000Y15100000D02*
X0Y15100000D01*
X0Y15100000D02*
X0Y0D01*
M02* M02*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-9294-gd3cf97847e)* G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-10200-gdf5f010514)*
G04 #@! TF.CreationDate,2021-03-10T21:33:55+02:00* G04 #@! TF.CreationDate,2021-04-24T18:33:29+03:00*
G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?* G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?*
G04 #@! TF.SameCoordinates,Original* G04 #@! TF.SameCoordinates,PX4a19ba0PY5aa5910*
G04 #@! TF.FileFunction,Other,User* G04 #@! TF.FileFunction,Other,User*
%FSLAX46Y46*% %FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.99.0-9294-gd3cf97847e)) date 2021-03-10 21:33:55* G04 Created by KiCad (PCBNEW (5.99.0-10200-gdf5f010514)) date 2021-04-24 18:33:29*
%MOMM*% %MOMM*%
%LPD*% %LPD*%
G01* G01*
@ -13,12 +13,12 @@ G04 APERTURE LIST*
%ADD10C,0.020000*% %ADD10C,0.020000*%
G04 APERTURE END LIST* G04 APERTURE END LIST*
D10* D10*
X77700000Y-95050000D02* X0Y0D02*
X117050000Y-95050000D01* X39350000Y0D01*
X117050000Y-95050000D02* X39350000Y0D02*
X117050000Y-79950000D01* X39350000Y15100000D01*
X117050000Y-79950000D02* X39350000Y15100000D02*
X77700000Y-79950000D01* X0Y15100000D01*
X77700000Y-79950000D02* X0Y15100000D02*
X77700000Y-95050000D01* X0Y0D01*
M02* M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,143 +1,146 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-9294-gd3cf97847e)* G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.99.0-10200-gdf5f010514)*
G04 #@! TF.CreationDate,2021-03-10T21:33:55+02:00* G04 #@! TF.CreationDate,2021-04-24T18:33:29+03:00*
G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?* G04 #@! TF.ProjectId,hellen1-wbo,68656c6c-656e-4312-9d77-626f2e6b6963,rev?*
G04 #@! TF.SameCoordinates,Original* G04 #@! TF.SameCoordinates,PX4a19ba0PY5aa5910*
G04 #@! TF.FileFunction,Legend,Top* G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive* G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*% %FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.99.0-9294-gd3cf97847e)) date 2021-03-10 21:33:55* G04 Created by KiCad (PCBNEW (5.99.0-10200-gdf5f010514)) date 2021-04-24 18:33:29*
%MOMM*% %MOMM*%
%LPD*% %LPD*%
G01* G01*
G04 APERTURE LIST* G04 APERTURE LIST*
%ADD10C,0.120000*% %ADD10C,0.120000*%
%ADD11C,0.150000*% %ADD11C,0.150000*%
%ADD12C,0.200000*%
G04 APERTURE END LIST* G04 APERTURE END LIST*
D10* D10*
G04 #@! TO.C,C616* G04 #@! TO.C,C616*
X83435000Y-87437221D02* X5735000Y7612779D02*
X83435000Y-87762779D01* X5735000Y7287221D01*
X82415000Y-87437221D02* X4715000Y7612779D02*
X82415000Y-87762779D01* X4715000Y7287221D01*
G04 #@! TO.C,R609* G04 #@! TO.C,R609*
X101691221Y-85854000D02* X23991221Y9196000D02*
X102016779Y-85854000D01* X24316779Y9196000D01*
X101691221Y-84834000D02* X23991221Y10216000D02*
X102016779Y-84834000D01* X24316779Y10216000D01*
G04 #@! TO.C,U604* G04 #@! TO.C,U604*
X79498000Y-85867600D02* X1798000Y9182400D02*
X79498000Y-86797600D01* X1798000Y8252400D01*
X79498000Y-89027600D02* X1798000Y6022400D02*
X81658000Y-89027600D01* X3958000Y6022400D01*
X79498000Y-89027600D02* X1798000Y6022400D02*
X79498000Y-88097600D01* X1798000Y6952400D01*
X79498000Y-85867600D02* X1798000Y9182400D02*
X80958000Y-85867600D01* X3258000Y9182400D01*
D11* D11*
G04 #@! TO.C,U600* G04 #@! TO.C,U600*
X98005000Y-91525000D02* X20305000Y3525000D02*
X98005000Y-91300000D01* X20305000Y3750000D01*
X98005000Y-86275000D02* X20305000Y8775000D02*
X102655000Y-86275000D01* X24955000Y8775000D01*
X102655000Y-91525000D02* X24955000Y3525000D02*
X102655000Y-91300000D01* X24955000Y3750000D01*
X102655000Y-86275000D02* X24955000Y8775000D02*
X102655000Y-86500000D01* X24955000Y8550000D01*
X98005000Y-91525000D02* X20305000Y3525000D02*
X102655000Y-91525000D01* X24955000Y3525000D01*
X98005000Y-86275000D02* X20305000Y8775000D02*
X98005000Y-86400000D01* X20305000Y8650000D01*
X98005000Y-86400000D02* X20305000Y8650000D02*
X96655000Y-86400000D01* X18955000Y8650000D01*
D10* D10*
G04 #@! TO.C,Q600* G04 #@! TO.C,Q600*
X107220000Y-84205000D02* X29520000Y10845000D02*
X107220000Y-83105000D01* X29520000Y11945000D01*
X113850000Y-85705000D02* X36150000Y9345000D02*
X113850000Y-84205000D01* X36150000Y10845000D01*
X106950000Y-84205000D02* X29250000Y10845000D02*
X107220000Y-84205000D01* X29520000Y10845000D01*
X106950000Y-85705000D02* X29250000Y9345000D02*
X106950000Y-84205000D01* X29250000Y10845000D01*
X113580000Y-84205000D02* X35880000Y10845000D02*
X113580000Y-81375000D01* X35880000Y13675000D01*
X113850000Y-84205000D02* X36150000Y10845000D02*
X113580000Y-84205000D01* X35880000Y10845000D01*
G04 #@! TO.C,D601* G04 #@! TO.C,D601*
X89878000Y-93445000D02* X12178000Y1605000D02*
X92163000Y-93445000D01* X14463000Y1605000D01*
X92163000Y-93445000D02* X14463000Y1605000D02*
X92163000Y-91975000D01* X14463000Y3075000D01*
X92163000Y-91975000D02* X14463000Y3075000D02*
X89878000Y-91975000D01* X12178000Y3075000D01*
G04 #@! TO.C,U601* G04 #@! TO.C,U601*
X100830000Y-80740000D02* X23130000Y14310000D02*
X99070000Y-80740000D01* X21370000Y14310000D01*
X99070000Y-83810000D02* X21370000Y11240000D02*
X101500000Y-83810000D01* X23800000Y11240000D01*
G04 #@! TO.C,D600* G04 #@! TO.C,D600*
X104421000Y-82766000D02* X26721000Y12284000D02*
X104421000Y-85051000D01* X26721000Y9999000D01*
X105891000Y-85051000D02* X28191000Y9999000D02*
X105891000Y-82766000D01* X28191000Y12284000D01*
X104421000Y-85051000D02* X26721000Y9999000D02*
X105891000Y-85051000D01* X28191000Y9999000D01*
G04 #@! TO.C,D602* G04 #@! TO.C,D602*
X86145000Y-91975000D02* X8445000Y3075000D02*
X86145000Y-93445000D01* X8445000Y1605000D01*
X88430000Y-91975000D02* X10730000Y3075000D02*
X86145000Y-91975000D01* X8445000Y3075000D01*
X86145000Y-93445000D02* X8445000Y1605000D02*
X88430000Y-93445000D01* X10730000Y1605000D01*
G04 #@! TO.C,U602* G04 #@! TO.C,U602*
X92860000Y-83140000D02* X15160000Y11910000D02*
X92860000Y-83440000D01* X15160000Y11610000D01*
X85640000Y-90360000D02* X7940000Y4690000D02*
X85640000Y-90060000D01* X7940000Y4990000D01*
X85940000Y-90360000D02* X8240000Y4690000D02*
X85640000Y-90360000D01* X7940000Y4690000D01*
X92860000Y-90060000D02* X15160000Y4990000D02*
X94175000Y-90060000D01* X16475000Y4990000D01*
X92560000Y-90360000D02* X14860000Y4690000D02*
X92860000Y-90360000D01* X15160000Y4690000D01*
X92860000Y-90360000D02* X15160000Y4690000D02*
X92860000Y-90060000D01* X15160000Y4990000D01*
X85640000Y-83140000D02* X7940000Y11910000D02*
X85640000Y-83440000D01* X7940000Y11610000D01*
X92560000Y-83140000D02* X14860000Y11910000D02*
X92860000Y-83140000D01* X15160000Y11910000D01*
X85940000Y-83140000D02* X8240000Y11910000D02*
X85640000Y-83140000D01* X7940000Y11910000D01*
G04 #@! TO.C,U603* G04 #@! TO.C,U603*
X78805000Y-82014000D02* X1105000Y13036000D02*
X78805000Y-84174000D01* X1105000Y10876000D01*
X81965000Y-82014000D02* X4265000Y13036000D02*
X81035000Y-82014000D01* X3335000Y13036000D01*
X81965000Y-82014000D02* X4265000Y13036000D02*
X81965000Y-83474000D01* X4265000Y11576000D01*
X78805000Y-82014000D02* X1105000Y13036000D02*
X79735000Y-82014000D01* X2035000Y13036000D01*
G04 #@! TO.C,D603* G04 #@! TO.C,D603*
X82282550Y-80995050D02* X4582550Y14054950D02*
X82282550Y-83280050D01* X4582550Y11769950D01*
X83752550Y-80995050D02* X6052550Y14054950D02*
X82282550Y-80995050D01* X4582550Y14054950D01*
X83752550Y-83280050D02* X6052550Y11769950D02*
X83752550Y-80995050D01* X6052550Y14054950D01*
D12*
G04 #@! TO.C,M600* G04 #@! TO.C,M600*
X116950000Y-80050000D02* X39250000Y15000000D02*
X77800000Y-80050000D01* X100000Y15000000D01*
X77800000Y-80050000D02* X100000Y15000000D02*
X77800000Y-94950000D01* X100000Y100000D01*
X77800000Y-94950000D02* X100000Y100000D02*
X116950000Y-94950000D01* X39250000Y100000D01*
X116950000Y-94950000D02* X39250000Y100000D02*
X116950000Y-80050000D01* X39250000Y15000000D01*
D10*
G04 #@! TO.C,J600* G04 #@! TO.C,J600*
X80030000Y-93570000D02* X2330000Y1480000D02*
X79395000Y-93570000D01* X1695000Y1480000D01*
X79395000Y-93570000D02* X1695000Y1480000D02*
X79395000Y-92935000D01* X1695000Y2115000D01*
G04 #@! TD* G04 #@! TD*
M02* M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,5 +1,5 @@
(footprint "wbo" (version 20210126) (generator pcbnew) (layer "F.Cu") (footprint "wbo" (version 20210228) (generator pcbnew) (layer "F.Cu")
(tedit 60491D5E) (tedit 6084344C)
(fp_text reference "REF**" (at 2.38 -15.86 unlocked) (layer "F.SilkS") hide (fp_text reference "REF**" (at 2.38 -15.86 unlocked) (layer "F.SilkS") hide
(effects (font (size 1 1) (thickness 0.15))) (effects (font (size 1 1) (thickness 0.15)))
(tstamp 3bbb3196-d395-42e9-93c4-2cfa30650db5) (tstamp 3bbb3196-d395-42e9-93c4-2cfa30650db5)
@ -24,7 +24,7 @@
(zone_connect 2) (tstamp 2ad180d1-7c43-4b56-8fbd-9e4f306e1594)) (zone_connect 2) (tstamp 2ad180d1-7c43-4b56-8fbd-9e4f306e1594))
(pad "G" smd rect (at 39.175 -13.575) (size 0.25 2.95) (layers "F.Cu") (pad "G" smd rect (at 39.175 -13.575) (size 0.25 2.95) (layers "F.Cu")
(zone_connect 2) (tstamp 34d9d2f7-268a-4994-8d5b-7a7defb4e42a)) (zone_connect 2) (tstamp 34d9d2f7-268a-4994-8d5b-7a7defb4e42a))
(pad "G" smd rect (at 0.175 -2.575) (size 0.25 5.05) (layers "F.Cu") (pad "G" smd rect (at 0.175 -0.775) (size 0.25 1.45) (layers "F.Cu")
(zone_connect 2) (tstamp 427551ff-0943-4349-abe6-0de7da87ad35)) (zone_connect 2) (tstamp 427551ff-0943-4349-abe6-0de7da87ad35))
(pad "G" smd rect (at 0.175 -13.5) (size 0.25 3.1) (layers "F.Cu") (pad "G" smd rect (at 0.175 -13.5) (size 0.25 3.1) (layers "F.Cu")
(zone_connect 2) (tstamp 532d131f-0458-4b5a-8c7b-711b7a6ca528)) (zone_connect 2) (tstamp 532d131f-0458-4b5a-8c7b-711b7a6ca528))
@ -48,7 +48,11 @@
(pad "W2" thru_hole circle (at 0.55 -10.3 90) (locked) (size 0.7 0.7) (drill 0.3) (layers *.Cu) (tstamp a50d5a68-d0c1-48fb-983c-95cbe23e1236)) (pad "W2" thru_hole circle (at 0.55 -10.3 90) (locked) (size 0.7 0.7) (drill 0.3) (layers *.Cu) (tstamp a50d5a68-d0c1-48fb-983c-95cbe23e1236))
(pad "W3" thru_hole circle (at 0.55 -6.75 90) (locked) (size 0.7 0.7) (drill 0.3) (layers *.Cu) (tstamp b096beef-fa9d-41b7-a576-961ce3f5cde5)) (pad "W3" thru_hole circle (at 0.55 -6.75 90) (locked) (size 0.7 0.7) (drill 0.3) (layers *.Cu) (tstamp b096beef-fa9d-41b7-a576-961ce3f5cde5))
(pad "W4" thru_hole circle (at 0.55 -5.75 90) (locked) (size 0.7 0.7) (drill 0.3) (layers *.Cu) (tstamp ca51a353-6225-4047-8a22-0cf23634991e)) (pad "W4" thru_hole circle (at 0.55 -5.75 90) (locked) (size 0.7 0.7) (drill 0.3) (layers *.Cu) (tstamp ca51a353-6225-4047-8a22-0cf23634991e))
(zone (net 0) (net_name "") (layers *.Cu) (tstamp 87fa9153-b479-4d9c-b0d5-b99127cabe93) (hatch edge 0.508) (pad "W5" smd roundrect (at 0.4 -5) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) (tstamp 7cbebee5-4862-4534-91ac-aac658577025))
(pad "W6" smd roundrect (at 0.4 -4.5) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) (tstamp 2477433b-31ba-4fde-a7df-5b08e2a50701))
(pad "W7" smd roundrect (at 0.4 -4) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) (tstamp fcc4df26-94d3-4cc2-a6f3-e39922b67cf2))
(pad "W8" smd roundrect (at 0.4 -1.9) (size 0.5 0.25) (layers "F.Cu") (roundrect_rratio 0.5) (tstamp 947693b4-07d5-4653-af82-a2e5c26bc7a2))
(zone (net 0) (net_name "") (layers *.Cu) (tstamp e35db30e-fb91-4156-ac5e-504825ea8b23) (hatch edge 0.508)
(connect_pads (clearance 0)) (connect_pads (clearance 0))
(min_thickness 0.254) (min_thickness 0.254)
(keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed))

View File

@ -1,5 +1,5 @@
(kicad_symbol_lib (version 20201005) (generator kicad_symbol_editor) (kicad_symbol_lib (version 20201005) (generator kicad_symbol_editor)
(symbol "wbo:Module-wbo-0.1" (in_bom yes) (on_board yes) (symbol "hellen-one-wbo-0.1:Module-wbo-0.1" (in_bom yes) (on_board yes)
(property "Reference" "M" (id 0) (at 1.27 1.27 0) (property "Reference" "M" (id 0) (at 1.27 1.27 0)
(effects (font (size 1.27 1.27))) (effects (font (size 1.27 1.27)))
) )
@ -20,26 +20,6 @@
(name "LSU_Un" (effects (font (size 1.27 1.27)))) (name "LSU_Un" (effects (font (size 1.27 1.27))))
(number "E1" (effects (font (size 1.27 1.27)))) (number "E1" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at 40.64 -10.16 180) (length 7.62)
(name "LSU_Rtrim" (effects (font (size 1.27 1.27))))
(number "E4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -2.54 180) (length 7.62)
(name "5V_IN" (effects (font (size 1.27 1.27))))
(number "W1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -10.16 0) (length 7.62)
(name "CAN_RX" (effects (font (size 1.27 1.27))))
(number "W3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -20.32 180) (length 7.62)
(name "GND" (effects (font (size 1.27 1.27))))
(number "G" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -12.7 0) (length 7.62)
(name "CAN_TX" (effects (font (size 1.27 1.27))))
(number "W4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -15.24 180) (length 7.62) (pin passive line (at 40.64 -15.24 180) (length 7.62)
(name "LSU_Vm" (effects (font (size 1.27 1.27)))) (name "LSU_Vm" (effects (font (size 1.27 1.27))))
(number "E2" (effects (font (size 1.27 1.27)))) (number "E2" (effects (font (size 1.27 1.27))))
@ -48,6 +28,10 @@
(name "LSU_Ip" (effects (font (size 1.27 1.27)))) (name "LSU_Ip" (effects (font (size 1.27 1.27))))
(number "E3" (effects (font (size 1.27 1.27)))) (number "E3" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at 40.64 -10.16 180) (length 7.62)
(name "LSU_Rtrim" (effects (font (size 1.27 1.27))))
(number "E4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -7.62 180) (length 7.62) (pin passive line (at 40.64 -7.62 180) (length 7.62)
(name "LSU_H+" (effects (font (size 1.27 1.27)))) (name "LSU_H+" (effects (font (size 1.27 1.27))))
(number "E5" (effects (font (size 1.27 1.27)))) (number "E5" (effects (font (size 1.27 1.27))))
@ -56,10 +40,42 @@
(name "LSU_H-" (effects (font (size 1.27 1.27)))) (name "LSU_H-" (effects (font (size 1.27 1.27))))
(number "E6" (effects (font (size 1.27 1.27)))) (number "E6" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at -7.62 -7.62 0) (length 7.62) (pin passive line (at 40.64 -20.32 180) (length 7.62)
(name "GND" (effects (font (size 1.27 1.27))))
(number "G" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 40.64 -2.54 180) (length 7.62)
(name "V5_IN" (effects (font (size 1.27 1.27))))
(number "W1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -2.54 0) (length 7.62)
(name "CAN_VIO" (effects (font (size 1.27 1.27)))) (name "CAN_VIO" (effects (font (size 1.27 1.27))))
(number "W2" (effects (font (size 1.27 1.27)))) (number "W2" (effects (font (size 1.27 1.27))))
) )
(pin passive line (at -7.62 -5.08 0) (length 7.62)
(name "CAN_RX" (effects (font (size 1.27 1.27))))
(number "W3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -7.62 0) (length 7.62)
(name "CAN_TX" (effects (font (size 1.27 1.27))))
(number "W4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -20.32 0) (length 7.62)
(name "nReset" (effects (font (size 1.27 1.27))))
(number "W5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -17.78 0) (length 7.62)
(name "SWDIO" (effects (font (size 1.27 1.27))))
(number "W6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -15.24 0) (length 7.62)
(name "SWCLK" (effects (font (size 1.27 1.27))))
(number "W7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -7.62 -12.7 0) (length 7.62)
(name "V33_OUT" (effects (font (size 1.27 1.27))))
(number "W8" (effects (font (size 1.27 1.27))))
)
) )
) )
) )