dead logic

This commit is contained in:
rusefi 2019-07-09 07:52:20 -04:00
parent e1b00468f0
commit f457417e45
2 changed files with 2 additions and 5 deletions

View File

@ -156,8 +156,7 @@ void WaveChart::publish() {
Logging *l = &chart->logging;
scheduleSimpleMsg(&debugLogging, "IT'S TIME", strlen(l->buffer));
#endif
bool isFullLog = getFullLog();
if (ENGINE(isEngineChartEnabled) && isFullLog) {
if (ENGINE(isEngineChartEnabled)) {
scheduleLogging(&logging);
}
}

View File

@ -51,9 +51,7 @@ void scAddData(float angle, float value) {
// message terminator
appendPrintf(&scLogging, DELIMETER);
// output pending data
if (getFullLog()) {
scheduleLogging(&scLogging);
}
scheduleLogging(&scLogging);
pendingData = false;
}
return;