rusefi-hardware/VR-Hall/VR-Hall.kicad_pro

592 lines
17 KiB
Plaintext

{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.127,
"copper_line_width": 0.127,
"copper_text_italic": false,
"copper_text_size_h": 1.016,
"copper_text_size_v": 1.016,
"copper_text_thickness": 0.127,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 1.5,
"height": 2.5,
"width": 2.5
},
"silk_line_width": 0.254,
"silk_text_italic": false,
"silk_text_size_h": 0.508,
"silk_text_size_v": 0.508,
"silk_text_thickness": 0.127,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.19999999999999998
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [
"lib_footprint_mismatch|89081250|137888750|6888477f-55e8-4e98-8c04-3b480b0aeac6|00000000-0000-0000-0000-000000000000",
"silk_over_copper|101167500|98821480|8c55908c-7a37-417a-9a1c-871f4da05baf|00000000-0000-0000-0000-000000000000",
"silk_over_copper|102267500|102210520|1d19000b-6197-40e4-b7bf-fa07715692db|00000000-0000-0000-0000-000000000000",
"silk_over_copper|102276303|102115517|6fd76302-5f05-4c27-8019-96f706534529|00000000-0000-0000-0000-000000000000",
"silk_over_copper|102278928|106087189|1593e724-8242-4df0-a8a0-468ebaa86061|00000000-0000-0000-0000-000000000000",
"silk_over_copper|102282879|106987444|d8fe3af4-7e61-4f62-95de-deffeb306647|00000000-0000-0000-0000-000000000000",
"silk_over_copper|102287698|98027619|ca25102e-1d23-4ba7-a7ac-8be1f805dd53|00000000-0000-0000-0000-000000000000",
"silk_over_copper|88267500|102210520|23676a9e-bce6-46ff-aa91-09850afbe2d0|00000000-0000-0000-0000-000000000000",
"silk_over_copper|88267500|98773142|dfc331e9-8813-482f-af19-81b7b76c13c3|00000000-0000-0000-0000-000000000000",
"silk_over_copper|88267553|102209944|d9e19570-eff8-4c4b-96cd-cdade764f65c|00000000-0000-0000-0000-000000000000",
"silk_over_copper|88270178|106181616|ea75bcc2-8362-485b-a053-ddec5c585875|00000000-0000-0000-0000-000000000000",
"silk_over_copper|88281116|98063583|862f4ef9-9603-4a08-864e-be546ee43c96|00000000-0000-0000-0000-000000000000",
"silk_over_copper|88282879|106987444|8347f860-63cb-4fb1-9428-0470bc54d50b|00000000-0000-0000-0000-000000000000",
"silk_over_copper|90651893|106043755|f920d845-a93f-463c-8132-67da1cc4ef35|00000000-0000-0000-0000-000000000000",
"silk_over_copper|91767500|102210520|d6a2d078-099c-4286-9e75-a85f0a550038|00000000-0000-0000-0000-000000000000",
"silk_over_copper|91767500|106473142|45e363ed-3f06-4a12-87b3-63b80272045c|00000000-0000-0000-0000-000000000000",
"silk_over_copper|91767500|98773142|60eb9826-3b74-478a-8d28-7e9bfb9ee688|00000000-0000-0000-0000-000000000000",
"silk_over_copper|91781116|98063583|2fff311e-e160-4ac7-9b40-609ac4f0e947|00000000-0000-0000-0000-000000000000",
"silk_over_copper|91782879|102987444|70467e78-b7dd-42ff-bca4-f2ba83bf5d01|00000000-0000-0000-0000-000000000000",
"silk_over_copper|95267500|102210520|2bf13eda-de34-4a3e-820f-66608f118ead|00000000-0000-0000-0000-000000000000",
"silk_over_copper|95274553|106134402|69cc1415-6989-493c-a68a-fb61bf93b356|00000000-0000-0000-0000-000000000000",
"silk_over_copper|95276020|102168068|7ee6fed2-3b52-4e8a-bfc1-ad1e86a57aef|00000000-0000-0000-0000-000000000000",
"silk_over_copper|95281116|98063582|bdef123e-3d7b-4ea4-b3d6-523fffe39db8|00000000-0000-0000-0000-000000000000",
"silk_over_copper|95281116|98063583|404eb5e3-8564-4e84-b1e9-f30a6d74c48e|00000000-0000-0000-0000-000000000000",
"silk_over_copper|95282879|106987444|974c26d3-6b09-4264-ae8c-8cd5bd002dec|00000000-0000-0000-0000-000000000000",
"silk_over_copper|98767500|102210520|0cfaf285-0192-419a-b0ce-0c74b0f2ec94|00000000-0000-0000-0000-000000000000",
"silk_over_copper|98776303|102115517|56f09042-6f98-42ca-ab7b-614923bcb6a2|00000000-0000-0000-0000-000000000000",
"silk_over_copper|98778928|106087189|bc13a8e9-26a2-4ae7-b7ba-a2dd96db3061|00000000-0000-0000-0000-000000000000",
"silk_over_copper|98781116|98063583|b61d753b-3bfc-4c96-b272-1ebf32ac9c91|00000000-0000-0000-0000-000000000000",
"silk_over_copper|98782879|106987444|1400e815-4eff-4e27-8a9d-e1e1ad9f4878|00000000-0000-0000-0000-000000000000",
"silk_over_copper|98787698|98027619|1b610231-b47e-4942-a952-5cd56710baaa|00000000-0000-0000-0000-000000000000"
],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "warning",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint": "error",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "warning",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "error",
"starved_thermal": "ignore",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
"rule_severitieslegacy_no_courtyard_defined": false,
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_connection": 0.0,
"min_copper_edge_clearance": 0.25,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.508,
"min_microvia_drill": 0.127,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_text_height": 0.76,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.19999999999999998,
"min_track_width": 0.15,
"min_via_annular_width": 0.125,
"min_via_diameter": 0.19999999999999998,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 5,
"td_on_pad_in_zone": false,
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.15,
0.2,
0.25,
0.4,
0.5,
0.7,
0.8,
1.0,
1.5,
2.0,
2.5,
3.0
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.45,
"drill": 0.2
},
{
"diameter": 0.6,
"drill": 0.3
},
{
"diameter": 2.0,
"drill": 0.8
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [
"lib_symbol_issues|1358900|1257300|f1f8aa13-11f2-4068-98c4-31a61f2caaa0|00000000-0000-0000-0000-000000000000|||",
"lib_symbol_issues|1358900|1371600|9f955963-9704-4dd3-9585-928a8578e201|00000000-0000-0000-0000-000000000000|||",
"lib_symbol_issues|1524000|1308100|6c9ad223-eaba-4f0b-b95d-79c3a0d9a77e|00000000-0000-0000-0000-000000000000|||",
"lib_symbol_issues|1524000|1422400|2f122c58-a546-4674-b081-a41ae2d5cb5a|00000000-0000-0000-0000-000000000000|||",
"lib_symbol_issues|1828800|1727200|2ba443fe-6d3d-4bb5-879f-72a61a91fe57|00000000-0000-0000-0000-000000000000|||",
"multiple_net_names|1524000|1282700|3ed20a49-49f2-4e8e-bebb-ab69a150badc|1b0c8909-3f68-4470-bb11-eb85ca29b6b2|||",
"multiple_net_names|1524000|1397000|4d8200bb-fc3a-41f3-b196-fb06f2966fe5|faee3873-89ca-4c17-bb07-5db38419bbf9|||"
],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "ignore",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "VR-Hall.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.15,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.508,
"microvia_drill": 0.127,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.15,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.4,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.508,
"microvia_drill": 0.127,
"name": "HV",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.15,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": [
{
"netclass": "HV",
"pattern": "/IN_VR*"
}
]
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "frankenso.net",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 60.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.25,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.08
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Pcbnew",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "/production/",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"03caada9-9e22-4e2d-9035-b15433dfbb17",
""
]
],
"text_variables": {}
}