From f6df4b966b03f3d114e413314ec1dbad258f0f3a Mon Sep 17 00:00:00 2001 From: Simon Keen Date: Fri, 26 Mar 2010 16:50:37 +0000 Subject: [PATCH] Added oki_disasm Gui disassembler --- bin/66207.op | 1691 ++++++++++++++++++++++++++++++++++++++++++++ bin/README.txt | 9 +- bin/oki_disasm.exe | Bin 0 -> 441344 bytes 3 files changed, 1698 insertions(+), 2 deletions(-) create mode 100644 bin/66207.op create mode 100644 bin/oki_disasm.exe diff --git a/bin/66207.op b/bin/66207.op new file mode 100644 index 0000000..0fd73e5 --- /dev/null +++ b/bin/66207.op @@ -0,0 +1,1691 @@ +; Command/Opcode File for OKI 66207 + + +; ---- ADC ---- + +ADC A, #N16 -1N 96,NL,NH +ADC A, erN -1N 18+N +ADC A, DP -1N 92,92 +ADC A, X1 -1N 90,92 +ADC A, X2 -1N 91,92 +ADC A, USP -1N A1,92 +ADC A, SSP -1N A0,92 +ADC A, LRB -1N A4,92 +ADC A, off N8 -1N 97,N8 +ADc A, N8 -1N B5,N8,92 +ADC A, [DP] -1N B2,92 +ADC A, N8[USP] -1N B3,N8,92 +ADC A, N16[X1] -1N B0,NL,NH,92 +ADC A, N16[X2] -1N B1,NL,NH,92 + +ADC erN, off N8 -UN 44+N,93,N8 +ADC DP, off N8 -UN 92,93,N8 +ADC X1, off N8 -UN 90,93,N8 +ADC X2, off N8 -UN 91,93,N8 +ADC USP, off N8 -UN A1,93,N8 +ADC SSP, off N8 -UN A0,93,N8 +ADC off N'8, off N8 -UN B4,N'8,93,N8 +ADC N'8, off N8 -UN B5,N'8,93,N8 +ADC [DP], off N8 -UN B2,93,N8 +ADC N'8[USP], off N8 -UN B3,N'8,93,N8 +ADC N16[X1], off N8 -UN B0,NL,NH,93,N8 +ADC N16[X2], off N8 -UN B1,NL,NH,93,N8 + +ADC erN, #N16 -UN 44+N,90,NL,NH +ADC DP, #N16 -UN 92,90,NL,NH +ADC X1, #N16 -UN 90,90,NL,NH +ADC X2, #N16 -UN 91,90,NL,NH +ADC USP, #N16 -UN A1,90,NL,NH +ADC SSP, #N16 -UN A0,90,NL,NH +ADC LRB, #N16 -UN A4,90,NL,NH +ADC off N8, #N16 -UN B4,N8,90,NL,NH +ADC N8, #N16 -UN B5,N8,90,NL,NH +ADC [DP], #N16 -UN B2,90,NL,NH +ADC N8[USP], #N16 -UN B3,N8,90,NL,NH +ADC N'16[X1], #N16 -UN B0,N'L,N'H,90,NL,NH +ADC N'16[X2], #N16 -UN B1,N'L,N'H,90,NL,NH + +ADCB A, #N8 -0N 96, N8 +ADCB A, rN -0N 18+N +ADCB A, PSWH -0N A2,92 +ADCB A, PSWL -0N A3,92 +ADCB A, off N8 -0N 97,N8 +ADCB A, N8 -0N C5,N8,92 +ADCB A, [DP] -0N C2,97 +ADCB A, N8[USP] -0N C3,N8,92 +ADCB A, N16[X1] -0N C0,NL,NH,92 +ADCB A, N16[X2] -0N C1,NL,NH,92 + +ADCB rN, A -UN 20+N,91 +ADCB PSWH, A -UN A2,91 +ADCB PSWL, A -UN A3,91 +ADCB off N8, A -UN C4,N8,91 +ADCB N8, A -UN C5,N8,91 +ADCB [DP], A -UN C2,91 +ADCB N8[USP], A -UN C3,N8,91 +ADCB N16[X1], A -UN C0,NL,NH,91 +ADCB N16[X2], A -UN C1,NL,NH,91 + +ADCB rN, off N8 -UN 20+N,93,N8 +ADCB PSWH, off N8 -UN A2,93,N8 +ADCB PSWL, off N8 -UN A3,93,N8 +ADCB off N'8, off N8 -UN C4,N'8,93,N8 +ADCB N'8, off N8 -UN C5,N'8,93,N8 +ADCB [DP], off N8 -UN C2,93,N8 +ADCB N8[USP], off N8 -UN C3,N'8,93,N8 +ADCB N16[X1], off N8 -UN C0,NL,NH,93,N8 +ADCB N16[X2], off N8 -UN C1,NL,NH,93,N8 + +ADCB rN, #N8 -UN 20+N,90,N8 +ADCB PSWH, #N8 -UN A2,90,N8 +ADCB PSWL, #N8 -UN A3,90,N8 +ADCB off N'8, #N8 -UN C4,N'8,90,N8 +ADCB N'8, #N8 -UN C5,N'8,90,N8 +ADCB [DP], #N8 -UN C2,90,N8 +ADCB N'8[USP], #N8 -UN C3,N'8,90,N8 +ADCB N16[X1], #N8 -UN C0,NL,NH,90,N8 +ADCB N17[X2], #N8 -UN C1,NL,NH,90,N8 + +; ---- ADD ---- + +ADD A, #N16 -1N 86,NL,NH +ADD A, erN -1N 08+N +ADD A, DP -1N 92,82 +ADD A, X1 -1N 90,82 +ADD A, X2 -1N 91,82 +ADD A, USP -1N A1,82 +ADD A, SSP -1N A0,82 +ADD A, LRB -1N A4,82 +ADD A, off N8 -1N 87,N8 +ADD A, N8 -1N B5,N8,82 +ADD A, [DP] -1N B2,82 +ADD A, N8[USP] -1N B3,N8,82 +ADD A, N16[X1] -1N B0,NL,NH,82 +ADD A, N16[X2] -1N B1,NL,NH,82 + +; ???? + +ADD erN, A -UN 44+N,81 +ADD DP, A -UN 92,81 +ADD X1, A -UN 90,81 +ADD X2, A -UN 91,81 +ADD USP, A -UN A1,81 +ADD SSP, A -UN A0,81 +ADD LRB, A -UN A4,81 +ADD off N8, A -UN B4,N8,81 +ADD N8, A -UN B5,N8,81 +ADD [DP], A -UN B2,81 +ADD N8[USP], A -UN B3,N8,81 +ADD N16[X1], A -UN B0,NL,NH,81 +ADD N16[X2], A -UN B1,NL,NH,81 + +ADD erN, off N8 -1N 44+N,83,N8 +ADD DP, off N8 -1N 92,83,N8 +ADD X1, off N8 -1N 90,83,N8 +ADD X2, off N8 -1N 91,83,N8 +ADD USP, off N8 -1N A1,83,N8 +ADD SSP, off N8 -1N A0,83,N8 +ADD off N'8, off N8 -1N B4,N'8,83,N8 +ADD N'8, off N8 -1N B5,N'8,83,N8 +ADD [DP], off N8 -1N B2,83,N8 +ADD N'8[USP], off N8 -1N B3,N'8,83,N8 +ADD N16[X1], off N8 -1N B0,NL,NH,83,N8 +ADD N16[X2], off N8 -1N B1,NL,NH,83,N8 + +ADD erN, #N16 -UN 44+N,80,NL,NH +ADD DP, #N16 -UN 92,80,NL,NH +ADD X1, #N16 -UN 90,80,NL,NH +ADD X2, #N16 -UN 91,80,NL,NH +ADD USP, #N16 -UN A1,80,NL,NH +ADD SSP, #N16 -UN A0,80,NL,NH +ADD LRB, #N16 -UN A4,80,NL,NH +ADD off N8, #N16 -UN B4,N8,80,NL,NH +ADD N8, #N16 -UN B5,N8,80,NL,NH +ADD [DP], #N16 -UN B2,80,NL,NH +ADD N8[USP], #N16 -UN B3,N8,80,NL,NH +ADD N'16[X1], #N16 -UN B0,N'L,N'H,80,NL,NH +ADD N'16[X2], #N16 -UN B1,N'L,N'H,80,NL,NH + +ADDB A, #N8 -0N 86, N8 +ADDB A, rN -0N 08+N +ADDB A, PSWH -0N A2,82 +ADDB A, PSWL -0N A3,82 +ADDB A, off N8 -0N 87,N8 +ADDB A, N8 -0N C5,N8,82 +ADDB A, [DP] -0N C2,82 +ADDB A, N8[USP] -0N C3,N8,82 +ADDB A, N16[X1] -0N C0,NL,NH,82 +ADDB A, N16[X2] -0N C1,NL,NH,82 + +ADDB rN, A -UN 20+N,81 +ADDB PSWH, A -UN A2,81 +ADDB PSWL, A -UN A3,81 +ADDB off N8, A -UN C4,N8,81 +ADDB N8, A -UN C5,N8,81 +ADDB [DP], A -UN C2,81 +ADDB N8[USP], A -UN C3,N8,81 +ADDB N16[X1], A -UN C0,NL,NH,81 +ADDB N16[X2], A -UN C1,NL,NH,81 + +ADDB rN, off N8 -UN 20+N,83,N8 +ADDB PSWH,off N8 -UN A2,83,N8 +ADDB PSWL,off N8 -UN A3,83,N8 +ADDB off N'8, off N8 -UN C4,N'8,83,N8 +ADDB N'8, off N8 -UN C5,N'8,83,N8 +ADDB [DP], off N8 -UN C2,83,N8 +ADDB N8[USP],off N8 -UN C3,N'8,83,N8 +ADDB N16[X1],off N8 -UN C0,NL,NH,83,N8 +ADDB N16[X2],off N8 -UN C1,NL,NH,83,N8 + +ADDB rN, #N8 -UN 20+N,80,N8 +ADDB PSWH, #N8 -UN A2,80,N8 +ADDB PSWL, #N8 -UN A3,80,N8 +ADDB off N'8, #N8 -UN C4,N'8,80,N8 +ADDB N'8, #N8 -UN C5,N'8,80,N8 +ADDB [DP], #N8 -UN C2,80,N8 +ADDB N'8[USP], #N8 -UN C3,N'8,80,N8 +ADDB N16[X1], #N8 -UN C0,NL,NH,80,N8 +ADDB N17[X2], #N8 -UN C1,NL,NH,80,N8 + +; ---- AND ---- + +AND erN, A -UN 44+N,D1 +AND DP, A -UN 92,D1 +AND X1, A -UN 90,D1 +AND X2, A -UN 91,D1 +AND USP, A -UN A1,D1 +AND SSP, A -UN A0,D1 +AND LRB, A -UN A4,D1 +AND off N8, A -UN B4,N8,D1 +AND N8, A -UN B5,N8,D1 +AND [DP], A -UN B2,D1 +AND N8[USP], A -UN B3,N8,D1 +AND N16[X1], A -UN B0,NL,NH,D1 +AND N16[X2], A -UN B1,NL,NH,D1 + +AND A,#N16 -1N D6,NL,NH +AND A,erN -1N 58+N +AND A,DP -1N 92,D2 +AND A,X1 -1N 90,D2 +AND A,X2 -1N 91,D2 +AND A,USP -1N A1,D2 +AND A,SSP -1N A0,D2 +AND A,LRB -1N A4,D2 +AND A, off N8 -1N D7,N8 +AND A, N8 -1N B5,N8,D2 +AND A, [DP] -1N B2,D2 +AND A, N8[USP] -1N B3,N8,D2 +AND A, N16[X1] -1N B0,NL,NH,D2 +AND A, N16[X2] -1N B1,NL,NH,D2 + +AND erN, off N8 -UN 44+N,D3,N8 +AND DP, off N8 -UN 92,D3,N8 +AND X1, off N8 -UN 90,D3,N8 +AND X2, off N8 -UN 91,D3,N8 +AND USP, off N8 -UN A1,D3,N8 +AND SSP, off N8 -UN A0,D3,N8 +AND off N'8, off N8 -UN B4,N'8,D3,N8 +AND N'8, off N8 -UN B5,N'8,D3,N8 +AND [DP], off N8 -UN B2,D3,N8 +AND N'8[USP], off N8 -UN B3,N'8,D3,N8 +AND N16[X1], off N8 -UN B0,NL,NH,D3,N8 +AND N16[X2], off N8 -UN B1,NL,NH,D3,N8 + +AND erN, #N16 -UN 44+N,D0,NL,NH +AND DP, #N16 -UN 92,D0,NL,NH +AND X1, #N16 -UN 90,D0,NL,NH +AND X2, #N16 -UN 91,D0,NL,NH +AND USP, #N16 -UN A1,D0,NL,NH +AND SSP, #N16 -UN A0,D0,NL,NH +AND LRB, #N16 -UN A4,D0,NL,NH +AND off N8, #N16 -UN B4,N8,D0,NL,NH +AND N8, #N16 -UN B5,N8,D0,NL,NH +AND [DP], #N16 -UN B2,D0,NL,NH +AND N8[USP], #N16 -UN B3,N8,D0,NL,NH +AND N'16[X1], #N16 -UN B0,N'L,N'H,D0,NL,NH +AND N'16[X2], #N16 -UN B1,N'L,N'H,D0,NL,NH + +ANDB A, #N8 -0N D6,N8 +ANDB A, rN -0N 58+N +ANDB A, PSWH -0N A2,D2 +ANDB A, PSWL -0N A3,D2 +ANDB A, off N8 -0N D7,N8 +ANDB A, N8 -0N C5,N8,D2 +ANDB A, [DP] -0N C2,D2 +ANDB A, N8[USP] -0N C3,N8,D2 +ANDB A, N16[X1] -0N C0,NL,NH,D2 +ANDB A, N16[X2] -0N C1,NL,NH,D2 + +ANDB rN, A -UN 20+N,D1 +ANDB PSWH, A -UN A2,D1 +ANDB PSWL, A -UN A3,D1 +ANDB off N8, A -UN C4,N8,D1 +ANDB N8, A -UN C5,N8,D1 +ANDB [DP], A -UN C2,D1 +ANDB N8[USP], A -UN C3,N8,D1 +ANDB N16[X1], A -UN C0,NL,NH,D1 +ANDB N16[X2], A -UN C1,NL,NH,D1 + +ANDB rN, off N8 -UN 20+N,D3,N8 +ANDB PSWH,off N8 -UN A2,D3,N8 +ANDB PSWL,off N8 -UN A3,D3,N8 +ANDB off N'8, off N8 -UN C4,N'8,D3,N8 +ANDB N'8, off N8 -UN C5,N'8,D3,N8 +ANDB [DP], off N8 -UN C2,D3,N8 +ANDB N'8[USP],off N8 -UN C3,N'8,D3,N8 +ANDB N16[X1],off N8 -UN C0,NL,NH,D3,N8 +ANDB N16[X2],off N8 -UN C1,NL,NH,D3,N8 + +ANDB rN, #N8 -UN 20+N,D0,N8 +ANDB PSWH, #N8 -UN A2,D0,N8 +ANDB PSWL, #N8 -UN A3,D0,N8 +ANDB off N'8, #N8 -UN C4,N'8,D0,N8 +ANDB N'8, #N8 -UN C5,N'8,D0,N8 +ANDB [DP], #N8 -UN C2,D0,N8 +ANDB N'8[USP], #N8 -UN C3,N'8,D0,N8 +ANDB N16[X1], #N8 -UN C0,NL,NH,D0,N8 +ANDB N17[X2], #N8 -UN C1,NL,NH,D0,N8 + +; ---- BRK ---- + +BRK -UN FF + +; ---- CAL ---- + +CAL N16 -UL 32,NL,NH + +CAL [erN] -UN 44+N,23 +CAL [DP] -UN 92,23 +CAL [X1] -UN 90,23 +CAL [X2] -UN 91,23 +CAL [USP] -UN A1,23 +CAL [SSP] -UN A0,23 +CAL [LRB] -UN A4,23 +CAL [off N8] -UN B4,N8,23 +CAL [N8] -UN B5,N8,23 +CAL [[DP]] -UN B2,23 +CAL [N8[USP]] -UN B3,NL,NH,23 +CAL [N16[X1]] -UN B0,NL,NH,23 +CAL [N16[X2]] -UN B1,NL,NH,23 + +; ---- CLR A ---- + +CLR A -1N F9 + +CLR erN -UN 44+N,15 +CLR DP -UN 92,15 +CLR X1 -UN 90,15 +CLR X2 -UN 91,15 +CLR USP -UN A1,15 +CLR SSP -UN A0,15 +CLR LRB -UN A4,15 +CLR off N8 -UN B4,N8,15 +CLR N8 -UN B5,N8,15 +CLR [DP] -UN B2,15 +CLR N8[USP] -UN B3,N8,15 +CLR N16[X1] -UN B0,NL,NH,15 +CLR N16[X2] -UN B1,NL,NH,15 + +CLRB A -0N FA + +CLRB rN -UN 20+N,15 +CLRB PSWH -UN A2,15 +CLRB PSWL -UN A3,15 +CLRB off N8 -UN C4,N8,15 +CLRB N8 -UN C5,N8,15 +CLRB [DP] -UN C2,15 +CLRB N8[USP] -UN C3,N8,15 +CLRB N16[X1] -UN C0,NL,NH,15 +CLRB N16[X2] -UN C1,NL,NH,15 + +; ---- CMP ---- + + +CMP A,#N16 -1N C6,NL,NH +CMP A,erN -1N 48+N +CMP A,DP -1N 92,C2 +CMP A,X1 -1N 90,C2 +CMP A,X2 -1N 91,C2 +CMP A,USP -1N A1,C2 +CMP A,SSP -1N A0,C2 +CMP A,LRB -1N A4,C2 +CMP A, off N8 -1N C7,N8 +CMP A, N8 -1N B5,N8,C2 +CMP A, [DP] -1N B2,C2 +CMP A, N8[USP] -1N B3,N8,C2 +CMP A, N16[X1] -1N B0,NL,NH,C2 +CMP A, N16[X2] -1N B1,NL,NH,C2 + +CMP erN, A -UN 44+N,C1 +CMP DP, A -UN 92,C1 +CMP X1, A -UN 90,C1 +CMP X2, A -UN 91,C1 +CMP USP, A -UN A1,C1 +CMP SSP, A -UN A0,C1 +CMP LRB, A -UN A4,C1 +CMP off N8, A -UN B4,N8,C1 +CMP N8, A -UN B5,N8,C1 +CMP [DP], A -UN B2,C1 +CMP N8[USP], A -UN B3,N8,C1 +CMP N16[X1], A -UN B0,NL,NH,C1 +CMP N16[X2], A -UN B1,NL,NH,C1 + +CMP erN, off N8 -UN 44+N,C3,N8 +CMP DP, off N8 -UN 92,C3,N8 +CMP X1, off N8 -UN 90,C3,N8 +CMP X2, off N8 -UN 91,C3,N8 +CMP USP, off N8 -UN A1,C3,N8 +CMP SSP, off N8 -UN A0,C3,N8 +CMP LRB, off N8 -UN A4,C3,N8 +CMP off N'8, off N8 -UN B4,N'8,C3,N8 +CMP N'8, off N8 -UN B5,N'8,C3,N8 +CMP [DP], off N8 -UN B2,C3,N8 +CMP N'8[USP], off N8 -UN B3,N'8,C3,N8 +CMP N16[X1], off N8 -UN B0,NL,NH,C3,N8 +CMP N16[X2], off N8 -UN B1,NL,NH,C3,N8 + +CMP erN, #N16 -UN 44+N,C0,NL,NH +CMP DP, #N16 -UN 92,C0,NL,NH +CMP X1, #N16 -UN 90,C0,NL,NH +CMP X2, #N16 -UN 91,C0,NL,NH +CMP USP, #N16 -UN A1,C0,NL,NH +CMP SSP, #N16 -UN A0,C0,NL,NH +CMP LRB, #N16 -UN A4,C0,NL,NH +CMP off N8, #N16 -UN B4,N8,C0,NL,NH +CMP N8, #N16 -UN B5,N8,C0,NL,NH +CMP [DP], #N16 -UN B2,C0,NL,NH +CMP N8[USP], #N16 -UN B3,N8,C0,NL,NH +CMP N'16[X1], #N16 -UN B0,N'L,N'H,C0,NL,NH +CMP N'16[X2], #N16 -UN B1,N'L,N'H,C0,NL,NH + +CMPB A, #N8 -0N C6, N8 +CMPB A, rN -0N 48+N +CMPB A, PSWH -0N A2,C2 +CMPB A, PSWL -0N A3,C2 +CMPB A, off N8 -0N C7,N8 +CMPB A, N8 -0N C5,N8,C2 +CMPB A, [DP] -0N C2,C2 +CMPB A, N8[USP] -0N C3,N8,C2 +CMPB A, N16[X1] -0N C0,NL,NH,C2 +CMPB A, N16[X2] -0N C1,NL,NH,C2 + +CMPB rN, A -UN 20+N,C1 +CMPB PSWH, A -UN A2,C1 +CMPB PSWL, A -UN A3,C1 +CMPB off N8, A -UN C4,N8,C1 +CMPB N8, A -UN C5,N8,C1 +CMPB [DP], A -UN C2,C1 +CMPB N8[USP], A -UN C3,N8,C1 +CMPB N16[X1], A -UN C0,NL,NH,C1 +CMPB N16[X2], A -UN C1,NL,NH,C1 + +CMPB rN, off N8 -UN 20+N,C3,N8 +CMPB PSWH,off N8 -UN A2,C3,N8 +CMPB PSWL,off N8 -UN A3,C3,N8 +CMPB off N'8, off N8 -UN C4,N'8,C3,N8 +CMPB N'8, off N8 -UN C5,N'8,C3,N8 +CMPB [DP], off N8 -UN C2,C3,N8 +CMPB N8[USP],off N8 -UN C3,N'8,C3,N8 +CMPB N16[X1],off N8 -UN C0,NL,NH,C3,N8 +CMPB N16[X2],off N8 -UN C1,NL,NH,C3,N8 + +CMPB rN, #N8 -UN 20+N,C0,N8 +CMPB PSWH, #N8 -UN A2,C0,N8 +CMPB PSWL, #N8 -UN A3,C0,N8 +CMPB off N'8, #N8 -UN C4,N'8,C0,N8 +CMPB N'8, #N8 -UN C5,N'8,C0,N8 +CMPB [DP], #N8 -UN C2,C0,N8 +CMPB N'8[USP], #N8 -UN C3,N'8,C0,N8 +CMPB N16[X1], #N8 -UN C0,NL,NH,C0,N8 +CMPB N17[X2], #N8 -UN C1,NL,NH,C0,N8 + +CMPC A, N*16 -UN 90,9E,N*L,N*H + +CMPC A, [erN] -UN 44+N,AC +CMPC A, [DP] -UN 92,AC +CMPC A, [X1] -UN 90,AC +CMPC A, [X2] -UN 91,AC +CMPC A, [USP] -UN A1,AC +CMPC A, [SSP] -UN A0,AC +CMPC A, [LRB] -UN A4,AC +CMPC A, [off N8] -UN B4,N8,AC +CMPC A, [N8] -UN B5,N8,AC +CMPC A, [[DP]] -UN B2,AC +CMPC A, [N8[USP]] -UN B3,N8,AC +CMPC A, [N16[X1]] -UN B0,NL,NH,AC +CMPC A, [N16[X2]] -UN B1,NL,NH,AC + +CMPC A, N*16[DP] -UN 92,AD,N*L,N*H +CMPC A, N*16[X1] -UN 90,AD,N*L,N*H +CMPC A, N*16[X2] -UN 91,AD,N*L,N*H +CMPC A, N*16[USP] -UN A1,AD,N*L,N*H +CMPC A, N*16[off N8] -UN B4,N8,AD,N*L,N*H +CMPC A, N*16[N8] -UN B5,N8,AD,N*L,N*H + +CMPCB A, N*16 -UN 90,9F,N*L,N*H + +CMPCB A,[erN] -UN 44+N,AE +CMPCB A,[DP] -UN 92,AE +CMPCB A,[X1] -UN 90,AE +CMPCB A,[X2] -UN 91,AE +CMPCB A,[USP] -UN A1,AE +CMPCB A,[SSP] -UN A0,AE +CMPCB A,[LRB] -UN A4,AE +CMPCB A,[off N8] -UN B4,N8,AE +CMPCB A,[N8] -UN B5,N8,AE +CMPCB A,[[DP]] -UN B2,AE +CMPCB A,[N8[USP]] -UN B3,N8,AE +CMPCB A,[N16[X1]] -UN B0,NL,NH,AE +CMPCB A,[N16[X2]] -UN B1,NL,NH,AE + +CMPCB A,N*16[DP] -UN 92,AF,N*L,N*H +CMPCB A,N*16[X1] -UN 90,AF,N*L,N*H +CMPCB A,N*16[X2] -UN 91,AF,N*L,N*H +CMPCB A,N*16[USP] -UN A2,AF,N*L,N*H +CMPCB A,N*16[off N8] -UN B4,N8,AF,N*L,N*H +CMPCB A,N*16[N8] -UN B5,N8,AF,N*L,N*H + +; ---- DAA ---- + +DAA -UN 93 + +; ---- DAS ---- + +DAS -UN 94 + +; ---- DEC ---- + +DEC erN -UN 44+N,17 +DEC DP -UN 82 +DEC X1 -UN 80 +DEC X2 -UN 81 +DEC USP -UN A1,17 +DEC SSP -UN A0,17 +DEC LRB -UN FE +DEC off N8 -UN B4,N8,17 +DEC N8 -UN B5,N8,17 +DEC [DP] -UN B2,17 +DEC N8[USP] -UN B3,N8,17 +DEC N16[X1] -UN B0,NL,NH,17 +DEC N16[X2] -UN B1,NL,NH,17 + +DECB rN -UN B8+N +DECB PSWH -UN A2,17 +DECB PSWL -UN A3,17 +DECB off N8 -UN C4,N8,17 +DECB N8 -UN C5,N8,17 +DECB [DP] -UN C2,17 +DECB N8[USP] -UN C3,N8,17 +DECB N16[X1] -UN C0,NL,NH,17 +DECB N16[X2] -UN C1,NL,NH,17 + +; ---- DIV ---- + +DIV -UN 90,37 + +DIVB -UN A2,36 + +; ---- EXTND ---- + +EXTND -1N F8 + +; ---- INC ---- + +INC erN -UN 44+N,16 +INC DP -UN 72 +INC X1 -UN 70 +INC X2 -UN 71 +INC USP -UN A1,16 +INC SSP -UN A0,16 +INC LRB -UN FD +INC off N8 -UN B4,N8,16 +INC N8 -UN B5,N8,16 +INC [DP] -UN B2,16 +INC N8[USP] -UN B3,N8,16 +INC N16[X1] -UN B0,NL,NH,16 +INC N16[X2] -UN B1,NL,NH,16 + +INCB rN -UN A8+N +INCB PSWH -UN A2,16 +INCB PSWL -UN A3,16 +INCB off N8 -UN C4,N8,16 +INCB N8 -UN C5,N8,16 +INCB [DP] -UN C2,16 +INCB N8[USP] -UN C3,N8,16 +INCB N16[X1] -UN C0,NL,NH,16 +INCB N16[X2] -UN C1,NL,NH,16 + +; ---- J ---- + +J N16 -UJ 03,NL,NH + +J [erN] -UN 44+N,22 +J [DP] -UN 92,22 +J [X1] -UN 90,22 +J [X2] -UN 91,22 +J [USP] -UN A1,22 +J [SSP] -UN A0,22 +J [LRB] -UN A4,22 +J [off N8] -UN B4,N8,22 +J [N8] -UN B5,N8,22 +J [[DP]] -UN B2,22 +J [N8[USP]] -UN B3,N8,22 +J [N16[X1]] -UN B0,NL,NH,22 +J [N16[X2]] -UN B1,NL,NH,22 + +; ---- JBR ---- + +JBR off N8.n,address -UC D8+n,N8,address + +JBS off N8.n,address -UC E8+n,N8,address + +; ---- JC ---- + +JEQ address -UC C9,address +JNE address -UC CE,address +JLT address -UC CA,address +JLE address -UC CF,address +JGT address -UC C8,address +JGE address -UC CD,address + +; ---- JRNZ ---- + +JRNZ DP, address -UC 30,address + +; ---- L ---- + +L A,#N16 -1N 67,NL,NH +L A,erN -1N 34+N +L A,DP -1N 42 +L A,X1 -1N 40 +L A,X2 -1N 41 +L A,off N8 -1N E4,N8 +L A,N8 -1N E5,N8 +L A,[DP] -1N E2 +L A,N8[USP] -1N E3,N8 +L A,N16[X1] -1N E0,NL,NH +L A,N16[X2] -1N E1,NL,NH + +LB A,#N8 -0N 77,N8 +LB A,rN -0N 78+N +LB A,off N8 -0N F4,N8 +LB A,N8 -0N F5,N8 +LB A,[DP] -0N F2 +LB A,N8[USP] -0N F3,N8 +LB A,N16[X1] -0N F0,NL,NH +LB A,N16[X2] -0N F1,NL,NH + +LC A,N*16 -UN 90,9C,N*L,N*H + +LC A,[erN] -UN 44+N,A8 +LC A,[DP] -UN 92,A8 +LC A,[X1] -UN 90,A8 +LC A,[x2] -UN 91,A8 +LC A,[USP] -UN A1,A8 +LC A,[SSP] -UN A0,A8 +LC A,[LRB] -UN A4,A8 +LC A,[off N8] -UN B4,N8,A8 +LC A,[N8] -UN B5,N8,A8 +LC A,[[DP]] -UN B2,A8 +LC A,[N8[USP]] -UN B3,N8,A8 +LC A,[N16[X1]] -UN B0,NL,NH,A8 +LC A,[N16[X2]] -UN B1,NL,NH,A8 + +LC A,N16[DP] -UN 92,A9,NL,NH +LC A,N16[X1] -UN 90,A9,NL,NH +LC A,N16[X2] -UN 91,A9,NL,NH +LC A,N16[USP] -UN A1,A9,NL,NH +LC A,N16[off N8] -UN B4,N8,A9,NL,NH +LC A,N16[N8] -UN B5,N8,A9,NL,NH + +LCB A,N*16 -UN 90,9D,N*L,N*H + +LCB A,[erN] -UN 44+N,AA +LCB A,[DP] -UN 92,AA +LCB A,[X1] -UN 90,AA +LCB A,[X2] -UN 91,AA +LCB A,[USP] -UN A1,AA +LCB A,[SSP] -UN A0,AA +LCB A,[LRB] -UN A4,AA +LCB A,[off N8] -UN B4,N8,AA +LCB A,[N8] -UN B5,N8,AA +LCB A,[[DP]] -UN B2,AA +LCB A,[N8[USP]] -UN B3,N8,AA +LCB A,[N16[X1]] -UN B0,NL,NH,AA +LCB A,[N16[X2]] -UN B1,NL,NH,AA + +LCB A, N16[DP] -UN 92,AB,NL,NH +LCB A, N16[X1] -UN 90,AB,NL,NH +LCB A, N16[X2] -UN 91,AB,NL,NH +LCB A, N16[USP] -UN A1,AB,NL,NH +LCB A, N16[off N8] -UN B4,N8,AB,NL,NH +LCB A, N16[N8] -UN B5,N8,AB,NL,NH + +; ---- MB ---- + +MB C, rN.n -UN 20+N,28+n +MB C, PSWH.n -UN A2,28+n +MB C, PSWL.n -UN A3,28+n +MB C, off N8.n -UN C4,N8,28+n +MB C, N8.n -UN C5,N8,28+n +MB C, [DP].n -UN C2,28+n +MB C, N8[USP].n -UN C3,N8,28+n +MB C, N16[X1].n -UN C0,NL,NH,28+n +MB C, N16[X2].n -UN C1,NL,NH,28+n + +MB rN.n, C -UN 20+N,38+n +MB PSWH.n, C -UN A2,38+n +MB PSWL.n, C -UN A3,38+n +MB off N8.n, C -UN C4,N8,38+n +MB N8.n, C -UN C5,N8,38+n +MB [DP].n, C -UN C2,38+n +MB N8[USP].n, C -UN C3,N8,38+n +MB N16[X1].n, C -UN C0,NL,NH,38+n +MB N16[X2].n, C -UN C1,NL,NH,38+n + +MBR C, rN -UN 20+N,21 +MBR C, PSWH -UN A2,21 +MBR C, PSWL -UN A3,21 +MBR C, off N8 -UN C4,N8,21 +MBR C, N8 -UN C5,N8,21 +MBR C, [DP] -UN C2,21 +MBR C, N8[USP] -UN C3,N8,21 +MBR C, N16[X1] -UN C0,NL,NH,21 +MBR C, N16[X2] -UN C1,NL,NH,21 + +MBR rN, C -UN 20+N,20 +MBR PSWH, C -UN A2,20 +MBR PSWL, C -UN A3,20 +MBR off N8, C -UN C5,N8,20 +MBR N8, C -UN C5,N8,20 +MBR [DP], C -UN C2,20 +MBR N8[USP], C -UN C3,N8,20 +MBR N16[X1], C -UN C0,NL,NH,20 +MBR N16[X2], C -UN C1,NL,NH,20 + +; ---- MOV ---- + +MOV A,erN -1N 44+N,99 +MOV A,DP -1N 92,99 +MOV A,X1 -1N 90,99 +MOV A,X2 -1N 91,99 +MOV A,USP -1N A1,99 +MOV A,SSP -1N A0,99 +MOV A,LRB -1N A4,99 +MOV A, off N8 -1N B4,N8,99 +MOV A, N8 -1N B5,N8,99 +MOV A, [DP] -1N B2,99 +MOV A, N8[USP] -1N B3,N8,99 +MOV A, N16[X1] -1N B0,NL,NH,99 +MOV A, N16[X2] -1N B1,NL,NH,99 + +MOV DP, A -UN 52 +MOV DP, #N16 -UN 62,NL,NH +MOV DP, erN -UN 44,7A +MOV DP, DP -UN 92,7A +MOV DP, X1 -UN 90,7A +MOV DP, X2 -UN 91,7A +MOV DP, USP -UN A1,7A +MOV DP, SSP -UN A0,7A +MOV DP, LRB -UN A4,7A +MOV DP, off N8 -UN B4,N8,7A +MOV DP, N8 -UN B5,N8,7A +MOV DP, [DP] -UN B2,7A +MOV DP, N8[USP] -UN B3,N8,7A +MOV DP, N16[X1] -UN B0,NL,NH,7A +MOV DP, N16[X2] -UN B1,NL,NH,7A + +MOV erN, A -UN 44+N,8A +MOV erN, #N16 -UN 44+N,98,NL,NH +MOV erN, erN' -UN 44+N',48+N +MOV erN, DP -UN 92,48+N +MOV erN, X1 -UN 90,48+N +MOV erN, X2 -UN 91,48+N +MOV erN, USP -UN A1,48+N +MOV erN, SSP -UN A0,48+N +MOV erN, LRB -UN A4,48+N +MOV erN, off N8 -UN B4,N8,48+N +MOV erN, N8 -UN B5,N8,48+N +MOV erN, [DP] -UN B2,48+N +MOV erN, N8[USP] -UN B3,N8,48+N +MOV erN, N16[X1] -UN B0,NL,NH,48+N +MOV erN, N16[X2] -UN B1,NL,NH,48+N + +;MOV LRB, A -UN A4,BA +;MOV LRB, #N16 -UN 57,NL,NH +MOV LRB, erN -UN 44+N,7F +MOV LRB, DP -UN 92,7F +MOV LRB, X1 -UN 90,7F +MOV LRB, X2 -UN 91,7F +MOV LRB, USP -UN A1,7F +MOV LRB, SSP -UN A0,7F +MOV LRB, LRB -UN A4,7F +MOV LRB, off N8 -UN B4,N8,7F +MOV LRB, N8 -UN B5,N8,7F +MOV LRB, [DP] -UN B2,7F +MOV LRB, N8[USP] -UN B3,N8,7F +MOV LRB, N16[X1] -UN B0,NL,NH,7F +MOV LRB, N16[X2] -UN B1,NL,NH,7F + +;MOV erN, A -UN 44+N,8A +;MOV DP, A -UN 52 +MOV X1, A -UN 50 +MOV X2, A -UN 51 +MOV USP, A -UN A1,8A +MOV SSP, A -UN A0,8A +MOV LRB, A -UN A4,8A +MOV off N8, A -UN B4,N8,8A +MOV N8, A -UN B5,N8,8A +MOV [DP], A -UN B2,8A +MOV N8[USP], A -UN B3,N8,8A +MOV N16[X1], A -UN B0,NL,NH,8A +MOV N16[X2], A -UN B1,NL,NH,8A + +;MOV erN, #N16 -UN 44+N,98,NL,NH +;MOV DP, #N16 -UN 62,NL,NH +MOV X1, #N16 -UN 60,NL,NH +MOV X2, #N16 -UN 61,NL,NH +MOV USP, #N16 -UN A1,98,NL,NH +MOV SSP, #N16 -UN A0,98,NL,NH +MOV LRB, #N16 -UN 57,NL,NH +MOV off N8, #N16 -UN B4,N8,98,NL,NH +MOV N8, #N16 -UN B5,N8,98,NL,NH +MOV [DP], #N16 -UN B2,98,NL,NH +MOV N8[USP], #N16 -UN B3,N8,98,NL,NH +MOV N'16[X1], #N16 -UN B0,N'L,N'H,98,NL,NH +MOV N'16[X2], #N16 -UN B1,N'L,N'H,98,NL,NH + +;MOV off N8, A -UN B4,N8,8A +;MOV off N8, #N16 -UN B4,N8,98,NL,NH +MOV off N8, erN' -UN 44+N',7C,N8 +MOV off N8, DP -UN 92,7C,N8 +MOV off N8, X1 -UN 90,7C,N8 +MOV off N8, X2 -UN 91,7C,N8 +MOV off N8, USP -UN A1,7C,N8 +MOV off N8, SSP -UN A0,7C,N8 +MOV off N8, LRB -UN A4,7C,N8 +MOV off N8, off N'8 -UN B4,N'8,7C,N8 +MOV off N8, N'8 -UN B5,N'8,7C,N8 +MOV off N8, [DP] -UN B2,7C,N8 +MOV off N8, N'8[USP] -UN B3,N'8,7C,N8 +MOV off N8, N16[X1] -UN B0,NL,NH,7C,N8 +MOV off N8, N16[X2] -UN B1,NL,NH,7C,N8 + +MOV PSW, erN -UN 44+N,7D +MOV PSW, DP -UN 92,7D +MOV PSW, X1 -UN 90,7D +MOV PSW, X2 -UN 91,7D +MOV PSW, USP -UN A1,7D +MOV PSW, SSP -UN A0,7D +MOV PSW, LRB -UN A4,7D +MOV PSW, off N8 -UN B4,N8,7D +MOV PSW, N8 -UN B5,N8,7D +MOV PSW, [DP] -UN B2,7D +MOV PSW, N8[USP] -UN B3,N8,7D +MOV PSW, N16[X1] -UN B0,NL,NH,7D +MOV PSW, N16[X2] -UN B1,NL,NH,7D + +;MOV SSP, A -UN A0,8A +;MOV SSP, #N16 -UN A0,98,NL,NH +MOV SSP, erN -UN 44+N,7E +MOV SSP, DP -UN 92,7E +MOV SSP, X1 -UN 90,7E +MOV SSP, X2 -UN 91,7E +MOV SSP, USP -UN A1,7E +MOV SSP, SSP -UN A0,7E +MOV SSP, LRB -UN A4,7E +MOV SSP, off N8 -UN B4,N8,7E +MOV SSP, N8 -UN B5,N8,7E +MOV SSP, [DP] -UN B2,7E +MOV SSP, N8[USP] -UN B3,N8,7E +MOV SSP, N16[X1] -UN B0,NL,NH,7E +MOV SSP, N16[X2] -UN B1,NL,NH,7E + +;MOV USP, A -UN A1,8A +;MOV USP, #N16 -UN A1,98,NL,NH +MOV USP, erN -UN 44+N,7B +MOV USP, DP -UN 92,7B +MOV USP, X1 -UN 90,7B +MOV USP, X2 -UN 91,7B +MOV USP, USP -UN A1,7B +MOV USP, SSP -UN A0,7B +MOV USP, LRB -UN A4,7B +MOV USP, off N8 -UN B4,N8,7B +MOV USP, N8 -UN B5,N8,7B +MOV USP, [DP] -UN B2,7B +MOV USP, N8[USP] -UN B3,N8,7B +MOV USP, N16[X1] -UN B0,NL,NH,7B +MOV USP, N16[X2] -UN B1,NL,NH,7B + +;MOV X1, A -UN 50,8A +;MOV X1, #N16 -UN 60,NL,NH +MOV X1, erN -UN 44+N,78 +MOV X1, DP -UN 92,78 +MOV X1, X1 -UN 90,78 +MOV X1, X2 -UN 91,78 +MOV X1, USP -UN A1,78 +MOV X1, SSP -UN A0,78 +MOV X1, LRB -UN A4,78 +MOV X1, off N8 -UN B4,N8,78 +MOV X1, N8 -UN B5,N8,78 +MOV X1, [DP] -UN B2,78 +MOV X1, N8[USP] -UN B3,N8,78 +MOV X1, N16[X1] -UN B0,NL,NH,78 +MOV X1, N16[X2] -UN B1,NL,NH,78 + +;MOV X2, A -UN 51 +;MOV X2, #N16 -UN 61,NL,NH +MOV X2, erN -UN 44+N,79 +MOV X2, DP -UN 92,79 +MOV X2, X1 -UN 90,79 +MOV X2, X2 -UN 91,79 +MOV X2, USP -UN A1,79 +MOV X2, SSP -UN A0,79 +MOV X2, LRB -UN A4,79 +MOV X2, off N8 -UN B4,N8,79 +MOV X2, N8 -UN B5,N8,79 +MOV X2, [DP] -UN B2,79 +MOV X2, N8[USP] -UN B3,N8,79 +MOV X2, N16[X1] -UN B0,NL,NH,79 +MOV X2, N16[X2] -UN B1,NL,NH,79 + +MOVB A, rN -0N 20+N,99 +MOVB A, PSWH -0N A2,99 +MOVB A, PSWL -0N A3,99 +MOVB A, off N8 -0N C4,N8,99 +MOVB A, N8 -0N C5,N8,99 +MOVB A, [DP] -0N C2,99 +MOVB A, N8[USP] -0N C3,N8,99 +MOVB A, N16[X1] -0N C0,NL,NH,99 +MOVB A, N16[X2] -0N C1,NL,NH,99 + +MOVB rN, A -UN 20+N,8A +MOVB PSWH, A -UN A2,8A +MOVB PSWL, A -UN A3,8A +MOVB off N8, A -UN C4,N8,8A +MOVB N8, A -UN C5,N8,8A +MOVB [DP], A -UN C2,8A +MOVB N8[USP], A -UN C3,N8,8A +MOVB N16[X1], A -UN C0,NL,NH,8A +MOVB N16[X2], A -UN C1,NL,NH,8A + +;MOVB off N8, A -UN C4,N8,8A +MOVB off N8, #N'8 -UN C4,N8,98,N'8 +MOVB off N8, rN' -UN 20+N',7C,N8 +MOVB off N8, PSWH -UN A2,7C,N8 +MOVB off N8, PSWL -UN A3,7C,N8 +MOVB off N8, off N'8 -UN C4,N'8,7C,N8 +MOVB off N8, N'8 -UN C5,N'8,7C,N8 +MOVB off N8, [DP] -UN C2,7C,N8 +MOVB off N8, N8[USP] -UN C3,N'8,7C,N8 +MOVB off N8, N16[X1] -UN C0,NL,NH,7C,N8 +MOVB off N8, N16[X2] -UN C1,NL,NH,7C,N8 + +MOVB rN, #N8 -UN 98+N,N8 +MOVB PSWH, #N8 -UN A2,98,N8 +MOVB PSWL, #N8 -UN A3,98,N8 +MOVB off N'8, #N8 -UN C4,N'8,98,N8 +MOVB N'8, #N8 -UN C5,N'8,98,N8 +MOVB [DP], #N8 -UN C2,98,N8 +MOVB N'8[USP], #N8 -UN C3,N'8,98,N8 +MOVB N16[X1], #N8 -UN C0,NL,NH,98,N8 +MOVB N17[X2], #N8 -UN C1,NL,NH,98,N8 + +;MOVB PSWH, A -UN A2,BA +;MOVB PSWH, #N8 -UN A2,98,N8 +MOVB PSWH, rN -UN 20+N,89 +MOVB PSWH, PSWH -UN A2,89 +MOVB PSWH, PSWL -UN A3,89 +MOVB PSWH, off N8 -UN C4,N8,89 +MOVB PSWH, N8 -UN C5,N8,89 +MOVB PSWH, [DP] -UN C2,89 +MOVB PSWH, N8[USP] -UN C3,N8,89 +MOVB PSWH, N16[X1] -UN C0,NL,NH,89 +MOVB PSWH, N16[X2] -UN C1,NL,NH,89 + +;MOVB PSWL, A -UN A3,BA +;MOVB PSWL, #N8 -UN A3,98,N8 +MOVB PSWL, rN -UN 20+N,88 +MOVB PSWL, PSWH -UN A2,88 +MOVB PSWL, PSWL -UN A3,88 +MOVB PSWL, off N8 -UN C4,N8,88 +MOVB PSWL, N8 -UN C5,N8,88 +MOVB PSWL, [DP] -UN C2,88 +MOVB PSWL, N8[USP] -UN C3,N8,88 +MOVB PSWL, N16[X1] -UN C0,NL,NH,88 +MOVB PSWL, N16[X2] -UN C1,NL,NH,88 + +;MOVB rN, A -UN 20+N,8A +;MOVB rN, #N8 -UN 98+N,N8 +MOVB rN, rN' -UN 20+N',48+N +MOVB rN, PSWH -UN A2,48+N +MOVB rN, PSWL -UN A3,48+N +MOVB rN, off N8 -UN C4,N8,48+N +MOVB rN, N8 -UN C5,N8,48+N +MOVB rN, [DP] -UN C2,48+N +MOVB rN, N8[USP] -UN C3,N8,48+N +MOVB rN, N16[X1] -UN C0,NL,NH,48+N +MOVB rN, N16[X2] -UN C1,NL,NH,48+N + +; ---- MUL ---- + +MUL -UN 90,35 + +MULB -UN A2,34 + +; ---- NOP ---- + +NOP -UN 00 + +; ---- OR ---- + +OR A,#N16 -1N E6,NL,NH +OR A,erN -1N 68+N +OR A,DP -1N 92,E2 +OR A,X1 -1N 90,E2 +OR A,X2 -1N 91,E2 +OR A,USP -1N A1,E2 +OR A,SSP -1N A0,E2 +OR A,LRB -1N A4,E2 +OR A, off N8 -1N B4,N8,E2 +OR A, N8 -1N B5,N8,E2 +OR A, [DP] -1N B2,E2 +OR A, N8[USP] -1N B3,N8,E2 +OR A, N16[X1] -1N B0,NL,NH,E2 +OR A, N16[X2] -1N B1,NL,NH,E2 + +OR erN, A -UN 44+N,E1 +OR DP, A -UN 92,E1 +OR X1, A -UN 90,E1 +OR X2, A -UN 91,E1 +OR USP, A -UN A1,E1 +OR SSP, A -UN A0,E1 +OR LRB, A -UN A4,E1 +OR off N8, A -UN B4,N8,E1 +OR N8, A -UN B5,N8,E1 +OR [DP], A -UN B2,E1 +OR N8[USP], A -UN B3,N8,E1 +OR N16[X1], A -UN B0,NL,NH,E1 +OR N16[X2], A -UN B1,NL,NH,E1 + +OR erN, off N8 -UN 44+N,E3,N8 +OR DP, off N8 -UN 92,E3,N8 +OR X1, off N8 -UN 90,E3,N8 +OR X2, off N8 -UN 91,E3,N8 +OR USP, off N8 -UN A1,E3,N8 +OR SSP, off N8 -UN A0,E3,N8 +OR LRB, off N8 -UN A4,E3,N8 +OR off N'8, off N8 -UN B4,N'8,E3,N8 +OR N'8, off N8 -UN B5,N'8,E3,N8 +OR [DP], off N8 -UN B2,E3,N8 +OR N'8[USP], off N8 -UN B3,N'8,E3,N8 +OR N16[X1], off N8 -UN B0,NL,NH,E3,N8 +OR N16[X2], off N8 -UN B1,NL,NH,E3,N8 + +OR erN, #N16 -UN 44+N,D0,NL,NH +OR DP, #N16 -UN 92,D0,NL,NH +OR X1, #N16 -UN 90,D0,NL,NH +OR X2, #N16 -UN 91,D0,NL,NH +OR USP, #N16 -UN A1,D0,NL,NH +OR SSP, #N16 -UN A0,D0,NL,NH +OR LRB, #N16 -UN A4,D0,NL,NH +OR off N8, #N16 -UN B4,N8,D0,NL,NH +OR N8, #N16 -UN B5,N8,D0,NL,NH +OR [DP], #N16 -UN B2,D0,NL,NH +OR N8[USP], #N16 -UN B3,N8,D0,NL,NH +OR N'16[X1], #N16 -UN B0,N'L,N'H,D0,NL,NH +OR N'16[X2], #N16 -UN B1,N'L,N'H,D0,NL,NH + +ORB A, #N8 -0N E6,N8 +ORB A, rN -0N 68+N +ORB A, PSWH -0N A2,E2 +ORB A, PSWL -0N A3,E2 +ORB A, off N8 -0N E7,N8 +ORB A, N8 -0N C5,N8,E2 +ORB A, [DP] -0N C2,E2 +ORB A, N8[USP] -0N C3,N8,E2 +ORB A, N16[X1] -0N C0,NL,NH,E2 +ORB A, N16[X2] -0N C1,NL,NH,E2 + +ORB rN, A -UN 20+N,E1 +ORB PSWH, A -UN A2,E1 +ORB PSWL, A -UN A3,E1 +ORB off N8, A -UN C4,N8,E1 +ORB N8, A -UN C5,N8,E1 +ORB [DP], A -UN C2,E1 +ORB N8[USP], A -UN C3,N8,E1 +ORB N16[X1], A -UN C0,NL,NH,E1 +ORB N16[X2], A -UN C1,NL,NH,E1 + +ORB rN, off N8 -UN 20+N,E3,N8 +ORB PSWH,off N8 -UN A2,E3,N8 +ORB PSWL,off N8 -UN A3,E3,N8 +ORB off N'8, off N8 -UN C4,N'8,E3,N8 +ORB N'8, off N8 -UN C5,N'8,E3,N8 +ORB [DP], off N8 -UN C2,E3,N8 +ORB N8[USP],off N8 -UN C3,N'8,E3,N8 +ORB N16[X1],off N8 -UN C0,NL,NH,E3,N8 +ORB N16[X2],off N8 -UN C1,NL,NH,E3,N8 + +ORB rN, #N8 -UN 20+N,E0,N8 +ORB PSWH, #N8 -UN A2,E0,N8 +ORB PSWL, #N8 -UN A3,E0,N8 +ORB off N'8, #N8 -UN C4,N'8,E0,N8 +ORB N'8, #N8 -UN C5,N'8,E0,N8 +ORB [DP], #N8 -UN C2,E0,N8 +ORB N'8[USP], #N8 -UN C3,N'8,E0,N8 +ORB N16[X1], #N8 -UN C0,NL,NH,E0,N8 +ORB N17[X2], #N8 -UN C1,NL,NH,E0,N8 + +; ---- POPS ---- + +POPS A -1N 65 +POPS LRB -UN 64 + +; ---- PUSHS ---- + +PUSHS A -1N 55 +PUSHS LRB -UN 54 + +; ---- RB ----- + +RB rN.n -UN 20+N,08+n +RB PSWH.n -UN A2,08+n +RB PWSL.n -UN A3,08+n +RB off N8.n -UN C4,N8,08+n +RB N8.n -UN C5,N8,08+n +RB [DP].n -UN C2,08+n +RB N8[USP].n -UN C3,N8,08+n +RB N16[X1].n -UN C0,NL,NH,08+n +RB N16[X2].n -UN C1,NL,NH,08+n + +; ---- RBR ---- + +RBR rN.n -UN 20+N,12 +RBR PSWH.n -UN A2,12 +RBR PWSL.n -UN A3,12 +RBR off N8.n -UN C4,N8,12 +RBR N8.n -UN C5,N8,12 +RBR [DP].n -UN C2,12 +RBR N8[USP].n -UN C3,N8,12 +RBR N16[X1].n -UN C0,NL,NH,12 +RBR N16[X2].n -UN C1,NL,NH,12 + +; ---- RC ---- + +RC -UN 95 + +; ---- ROL ---- + +;ROL A -1N 33 + +ROL erN -UN 44+N,B7 +ROL DP -UN 92,B7 +ROL X1 -UN 90,B7 +ROL X2 -UN 91,B7 +ROL USP -UN A1,B7 +ROL SSP -UN A0,B7 +ROL LRB -UN A4,B7 +ROL off N8 -UN B4,N8,B7 +ROL N8 -UN B5,N8,B7 +ROL [DP] -UN B2,B7 +ROL N8[USP] -UN B3,N8,B7 +ROL N16[X1] -UN B0,NL,NH,B7 +ROL N16[X2] -UN B1,NL,NH,B7 + +ROLB A -0N 33 + +ROLB rN -UN 20+N,B7 +ROLB PSWH -UN A2,B7 +ROLB PSWL -UN A3,B7 +ROLB off N8 -UN C4,N8,B7 +ROLB N8 -UN C5,N8,B7 +ROLB [DP] -UN C2,B7 +ROLB N8[USP] -UN C3,N8,B7 +ROLB N16[X1] -UN C0,NL,NH,B7 +ROLB N16[X2] -UN C1,NL,NH,B7 + +; ---- ROR ---- + +;ROR A -1N 43 + +ROR erN -UN 44+N,C7 +ROR DP -UN 92,C7 +ROR X1 -UN 90,C7 +ROR X2 -UN 91,C7 +ROR USP -UN A1,C7 +ROR SSP -UN A0,C7 +ROR LRB -UN A4,C7 +ROR off N8 -UN B4,N8,C7 +ROR N8 -UN B5,N8,C7 +ROR [DP] -UN B2,C7 +ROR N8[USP] -UN B3,N8,C7 +ROR N16[X1] -UN B0,NL,NH,C7 +ROR N16[X2] -UN B1,NL,NH,C7 + +RORB A -0N 43 + +RORB rN -UN 20+N,C7 +RORB PSWH -UN A2,C7 +RORB PSWL -UN A3,C7 +RORB off N8 -UN C4,N8,C7 +RORB N8 -UN C5,N8,C7 +RORB [DP] -UN C2,C7 +RORB N8[USP] -UN C3,N8,C7 +RORB N16[X1] -UN C0,NL,NH,C7 +RORB N16[X2] -UN C1,NL,NH,C7 + +; ---- RT ---- + +RT -UN 01 + +; ---- RTI ---- + +RTI -UN 02 + +; ---- SB ---- + +SB rN.n -UN 20+N,18+n +SB PSWH.n -UN A2,18+n +SB PWSL.n -UN A3,18+n +SB off N8.n -UN C4,N8,18+n +SB N8.n -UN C5,N8,18+n +SB [DP].n -UN C2,18+n +SB N8[USP].n -UN C3,N8,18+n +SB N16[X1].n -UN C0,NL,NH,18+n +SB N16[X2].n -UN C1,NL,NH,18+n + +; ---- SBC ---- + +SBC A,#N16 -1N B6,NL,NH +SBC A,erN -1N 38+N +SBC A,DP -1N 92,B2 +SBC A,X1 -1N 90,B2 +SBC A,X2 -1N 91,B2 +SBC A,USP -1N A1,B2 +SBC A,SSP -1N A0,B2 +SBC A,LRB -1N A4,B2 +SBC A, off N8 -1N B7,N8 +SBC A, N8 -1N B5,N8,B2 +SBC A, [DP] -1N B2,B2 +SBC A, N8[USP] -1N B3,N8,B2 +SBC A, N16[X1] -1N B0,NL,NH,B2 +SBC A, N16[X2] -1N B1,NL,NH,B2 + +SBC erN, A -UN 44+N,B1 +SBC DP, A -UN 92,B1 +SBC X1, A -UN 90,B1 +SBC X2, A -UN 91,B1 +SBC USP, A -UN A1,B1 +SBC SSP, A -UN A0,B1 +SBC LRB, A -UN A4,B1 +SBC off N8, A -UN B4,N8,B1 +SBC N8, A -UN B5,N8,B1 +SBC [DP], A -UN B2,B1 +SBC N8[USP], A -UN B3,N8,B1 +SBC N16[X1], A -UN B0,NL,NH,B1 +SBC N16[X2], A -UN B1,NL,NH,B1 + +SBC erN, off N8 -UN 44+N,B3,N8 +SBC DP, off N8 -UN 92,B3,N8 +SBC X1, off N8 -UN 90,B3,N8 +SBC X2, off N8 -UN 91,B3,N8 +SBC USP, off N8 -UN A1,B3,N8 +SBC SSP, off N8 -UN A0,B3,N8 +SBC LRB, off N8 -UN A4,B3,N8 +SBC off N'8, off N8 -UN B4,N'8,B3,N8 +SBC N'8, off N8 -UN B5,N'8,B3,N8 +SBC [DP], off N8 -UN B2,B3,N8 +SBC N'8[USP], off N8 -UN B3,N'8,B3,N8 +SBC N16[X1], off N8 -UN B0,NL,NH,B3,N8 +SBC N16[X2], off N8 -UN B1,NL,NH,B3,N8 + +SBC erN, #N16 -UN 44+N,B0,NL,NH +SBC DP, #N16 -UN 92,B0,NL,NH +SBC X1, #N16 -UN 90,B0,NL,NH +SBC X2, #N16 -UN 91,B0,NL,NH +SBC USP, #N16 -UN A1,B0,NL,NH +SBC SSP, #N16 -UN A0,B0,NL,NH +SBC LRB, #N16 -UN A4,B0,NL,NH +SBC off N8, #N16 -UN B4,N8,B0,NL,NH +SBC N8, #N16 -UN B5,N8,B0,NL,NH +SBC [DP], #N16 -UN B2,B0,NL,NH +SBC N8[USP], #N16 -UN B3,N8,B0,NL,NH +SBC N'16[X1], #N16 -UN B0,N'L,N'H,B0,NL,NH +SBC N'16[X2], #N16 -UN B1,N'L,N'H,B0,NL,NH + +SBCB A, #N8 -0N B6, N8 +SBCB A, rN -0N 38+N +SBCB A, PSWH -0N A2,B2 +SBCB A, PSWL -0N A3,B2 +SBCB A, off N8 -0N B7,N8 +SBCB A, N8 -0N C5,N8,B2 +SBCB A, [DP] -0N C2,B2 +SBCB A, N8[USP] -0N C3,N8,B2 +SBCB A, N16[X1] -0N C0,NL,NH,B2 +SBCB A, N16[X2] -0N C1,NL,NH,B2 + +SBCB rN, A -UN 20+N,B1 +SBCB PSWH, A -UN A2,B1 +SBCB PSWL, A -UN A3,B1 +SBCB off N8, A -UN C4,N8,B1 +SBCB N8, A -UN C5,N8,B1 +SBCB [DP], A -UN C2,B1 +SBCB N8[USP], A -UN C3,N8,B1 +SBCB N16[X1], A -UN C0,NL,NH,B1 +SBCB N16[X2], A -UN C1,NL,NH,B1 + +SBCB rN, off N8 -UN 20+N,B3,N8 +SBCB PSWH,off N8 -UN A2,B3,N8 +SBCB PSWL,off N8 -UN A3,B3,N8 +SBCB off N'8, off N8 -UN C4,N'8,B3,N8 +SBCB N'8, off N8 -UN C5,N'8,B3,N8 +SBCB [DP], off N8 -UN C2,B3,N8 +SBCB N8[USP],off N8 -UN C3,N'8,B3,N8 +SBCB N16[X1],off N8 -UN C0,NL,NH,B3,N8 +SBCB N16[X2],off N8 -UN C1,NL,NH,B3,N8 + +SBCB rN, #N8 -UN 20+N,B0,N8 +SBCB PSWH, #N8 -UN A2,B0,N8 +SBCB PSWL, #N8 -UN A3,B0,N8 +SBCB off N'8, #N8 -UN C4,N'8,B0,N8 +SBCB N'8, #N8 -UN C5,N'8,B0,N8 +SBCB [DP], #N8 -UN C2,B0,N8 +SBCB N'8[USP], #N8 -UN C3,N'8,B0,N8 +SBCB N16[X1], #N8 -UN C0,NL,NH,B0,N8 +SBCB N17[X2], #N8 -UN C1,NL,NH,B0,N8 + +; ---- SBR ---- + +SBR rN -UN 20+N,11 +SBR PSWH -UN A2,11 +SBR PSWL -UN A3,11 +SBR off N8 -UN C4,N8,11 +SBR N8 -UN C5,N8,11 +SBR [DP] -UN C2,11 +SBR N8[USP] -UN C3,N8,11 +SBR N16[X1] -UN C0,NL,NH,11 +SBR N16[X2] -UN C1,NL,NH,11 + +; ---- SC ---- + +SC -UN 85 + +; ---- SCAL ---- + +SCAL address -UL 31,address + +; ---- SJ ---- + +SJ address -UJ CB,address + +; ---- SLL ---- + +SLL A -1N 53 + +SLL erN -UN 44+N,D7 +SLL DP -UN 92,D7 +SLL X1 -UN 90,D7 +SLL X2 -UN 91,D7 +SLL USP -UN A1,D7 +SLL SSP -UN A0,D7 +SLL LRB -UN A4,D7 +SLL off N8 -UN B4,N8,D7 +SLL N8 -UN B5,N8,D7 +SLL [DP] -UN B2,D7 +SLL N8[USP] -UN B3,N8,D7 +SLL N16[X1] -UN B0,NL,NH,D7 +SLL N16[X2] -UN B1,NL,NH,D7 + +SLLB A -0N 53 + +SLLB rN -UN 20+N,D7 +SLLB PSWH -UN A2,D7 +SLLB PSWL -UN A3,D7 +SLLB off N8 -UN C4,N8,D7 +SLLB N8 -UN C5,N8,D7 +SLLB [DP] -UN C2,D7 +SLLB N8[USP] -UN C3,N8,D7 +SLLB N16[X1] -UN C0,NL,NH,D7 +SLLB N16[X2] -UN C1,NL,NH,D7 + +; ---- SRA ---- + +SRA A -1N 73 + +SRA erN -UN 44+N,F7 +SRA DP -UN 92,F7 +SRA X1 -UN 90,F7 +SRA X2 -UN 91,F7 +SRA USP -UN A1,F7 +SRA SSP -UN A0,F7 +SRA LRB -UN A4,F7 +SRA off N8 -UN B4,N8,F7 +SRA N8 -UN B5,N8,F7 +SRA [DP] -UN B2,F7 +SRA N8[USP] -UN B3,N8,F7 +SRA N16[X1] -UN B0,NL,NH,F7 +SRA N16[X2] -UN B1,NL,NH,F7 + +SRAB A -0N 73 + +SRAB rN -UN 20+N,F7 +SRAB PSWH -UN A2,F7 +SRAB PSWL -UN A3,F7 +SRAB off N8 -UN C4,N8,F7 +SRAB N8 -UN C5,N8,F7 +SRAB [DP] -UN C2,F7 +SRAB N8[USP] -UN C3,N8,F7 +SRAB N16[X1] -UN C0,NL,NH,F7 +SRAB N16[X2] -UN C1,NL,NH,F7 + +; ---- SRL ---- + +SRL A -1N 63 + +SRL erN -UN 44+N,E7 +SRL DP -UN 92,E7 +SRL X1 -UN 90,E7 +SRL X2 -UN 91,E7 +SRL USP -UN A1,E7 +SRL SSP -UN A0,E7 +SRL LRB -UN A4,E7 +SRL off N8 -UN B4,N8,E7 +SRL N8 -UN B5,N8,E7 +SRL [DP] -UN B2,E7 +SRL N8[USP] -UN B3,N8,E7 +SRL N16[X1] -UN B0,NL,NH,E7 +SRL N16[X2] -UN B1,NL,NH,E7 + +SRLB A -0N 63 + +SRLB rN -UN 20+N,E7 +SRLB PSWH -UN A2,E7 +SRLB PSWL -UN A3,E7 +SRLB off N8 -UN C4,N8,E7 +SRLB N8 -UN C5,N8,E7 +SRLB [DP] -UN C2,E7 +SRLB N8[USP] -UN C3,N8,E7 +SRLB N16[X1] -UN C0,NL,NH,E7 +SRLB N16[X2] -UN C1,NL,NH,E7 + +; ---- ST ---- + +ST A, erN -1N 88+N +ST A, off N8 -1N D4,N8 +ST A, N8 -1N D5,N8 +ST A, [DP] -1N D2 +ST A, N8[USP] -1N D3,N8 +ST A, N16[X1] -1N D0,NL,NH +ST A, N16[X2] -1N D1,NL,NH + +STB A, rN -0N 88+N +STB A, off N8 -0N D4,N8 +STB A, N8 -0N D5,N8 +STB A, [DP] -0N D2 +STB A, N8[USP] -0N D3,N8 +STB A, N16[X1] -0N D0,NL,NH +STB A, N16[X2] -0N D1,NL,NH + +; ---- SUB ---- + +SUB A,#N16 -1N A6,NL,NH +SUB A,erN -1N 28+N +SUB A,DP -1N 92,A2 +SUB A,X1 -1N 90,A2 +SUB A,X2 -1N 91,A2 +SUB A,USP -1N A1,A2 +SUB A,SSP -1N A0,A2 +SUB A,LRB -1N A4,A2 +SUB A, off N8 -1N A7,N8 +SUB A, N8 -1N B5,N8,A2 +SUB A, [DP] -1N B2,A2 +SUB A, N8[USP] -1N B3,N8,A2 +SUB A, N16[X1] -1N B0,NL,NH,A2 +SUB A, N16[X2] -1N B1,NL,NH,A2 + +SUB erN, A -UN 44+N,A1 +SUB DP, A -UN 92,A1 +SUB X1, A -UN 90,A1 +SUB X2, A -UN 91,A1 +SUB USP, A -UN A1,A1 +SUB SSP, A -UN A0,A1 +SUB LRB, A -UN A4,A1 +SUB off N8, A -UN B4,N8,A1 +SUB N8, A -UN B5,N8,A1 +SUB [DP], A -UN B2,A1 +SUB N8[USP], A -UN B3,N8,A1 +SUB N16[X1], A -UN B0,NL,NH,A1 +SUB N16[X2], A -UN B1,NL,NH,A1 + +SUB erN, off N8 -1N 44+N,A3,N8 +SUB DP, off N8 -1N 92,A3,N8 +SUB X1, off N8 -1N 90,A3,N8 +SUB X2, off N8 -1N 91,A3,N8 +SUB USP, off N8 -1N A1,A3,N8 +SUB SSP, off N8 -1N A0,A3,N8 +SUB LRB, off N8 -1N A4,A3,N8 +SUB off N'8, off N8 -1N B4,N'8,A3,N8 +SUB N'8, off N8 -1N B5,N'8,A3,N8 +SUB [DP], off N8 -1N B2,A3,N8 +SUB N'8[USP], off N8 -1N B3,N'8,A3,N8 +SUB N16[X1], off N8 -1N B0,NL,NH,A3,N8 +SUB N16[X2], off N8 -1N B1,NL,NH,A3,N8 + +SUB erN, #N16 -UN 44+N,A0,NL,NH +SUB DP, #N16 -UN 92,A0,NL,NH +SUB X1, #N16 -UN 90,A0,NL,NH +SUB X2, #N16 -UN 91,A0,NL,NH +SUB USP, #N16 -UN A1,A0,NL,NH +SUB SSP, #N16 -UN A0,A0,NL,NH +SUB LRB, #N16 -UN A4,A0,NL,NH +SUB off N8, #N16 -UN B4,N8,A0,NL,NH +SUB N8, #N16 -UN B5,N8,A0,NL,NH +SUB [DP], #N16 -UN B2,A0,NL,NH +SUB N8[USP], #N16 -UN B3,N8,A0,NL,NH +SUB N'16[X1], #N16 -UN B0,N'L,N'H,A0,NL,NH +SUB N'16[X2], #N16 -UN B1,N'L,N'H,A0,NL,NH + +SUBB A, #N8 -0N A6,N8 +SUBB A, rN -0N 28+N +SUBB A, PSWH -0N A2,A2 +SUBB A, PSWL -0N A3,A2 +; ??? dosn't match with datasheet ??? +SUBB A, off N8 -0N A7,N8 +SUBB A, N8 -0N C5,N8,A2 +SUBB A, [DP] -0N C2,A2 +SUBB A, N8[USP] -0N C3,N8,A2 +SUBB A, N16[X1] -0N C0,NL,NH,A2 +SUBB A, N16[X2] -0N C1,NL,NH,A2 + +SUBB rN, A -UN 20+N,A1 +SUBB PSWH, A -UN A2,A1 +SUBB PSWL, A -UN A3,A1 +SUBB off N8, A -UN C4,N8,A1 +SUBB N8, A -UN C5,N8,A1 +SUBB [DP], A -UN C2,A1 +SUBB N8[USP], A -UN C3,N8,A1 +SUBB N16[X1], A -UN C0,NL,NH,A1 +SUBB N16[X2], A -UN C1,NL,NH,A1 + +SUBB rN, off N8 -UN 20+N,A3,N8 +SUBB PSWH,off N8 -UN A2,A3,N8 +SUBB PSWL,off N8 -UN A3,A3,N8 +SUBB off N'8, off N8 -UN C4,N'8,A3,N8 +SUBB N'8, off N8 -UN C5,N'8,A3,N8 +SUBB [DP], off N8 -UN C2,A3,N8 +SUBB N8[USP],off N8 -UN C3,N'8,A3,N8 +SUBB N16[X1],off N8 -UN C0,NL,NH,A3,N8 +SUBB N16[X2],off N8 -UN C1,NL,NH,A3,N8 + +SUBB rN, #N8 -UN 20+N,A0,N8 +SUBB PSWH, #N8 -UN A2,A0,N8 +SUBB PSWL, #N8 -UN A3,A0,N8 +SUBB off N'8, #N8 -UN C4,N'8,A0,N8 +SUBB N'8, #N8 -UN C5,N'8,A0,N8 +SUBB [DP], #N8 -UN C2,A0,N8 +SUBB N'8[USP], #N8 -UN C3,N'8,A0,N8 +SUBB N16[X1], #N8 -UN C0,NL,NH,A0,N8 +SUBB N17[X2], #N8 -UN C1,NL,NH,A0,N8 + +; ---- SWAP ---- + +SWAP -1N 83 + +; ---- SWAPB ---- + +SWAPB -0N 83 + +; ---- TRB ---- + +TRB rN -UN 20+N,13 +TRB PSWH -UN A2,13 +TRB PSWL -UN A3,13 +TRB off N8 -UN C4,N8,13 +TRB N8 -UN C5,N8,13 +TRB [DP] -UN C2,13 +TRB N8[USP] -UN C3,N8,13 +TRB N16[X1] -UN C0,NL,NH,13 +TRB N16[X2] -UN C1,NL,NH,13 + +; ---- VCAL ---- + +VCAL 0 -UV 10 +VCAL 1 -UV 11 +VCAL 2 -UV 12 +VCAL 3 -UV 13 +VCAL 4 -UV 14 +VCAL 5 -UV 15 +VCAL 6 -UV 16 +VCAL 7 -UV 17 + +; ---- XCHG ---- + +XCHG A,erN -1N 44+N,10 +XCHG A,DP -1N 92,10 +XCHG A,X1 -1N 90,10 +XCHG A,X2 -1N 91,10 +XCHG A,USP -1N A1,10 +XCHG A,SSP -1N A0,10 +XCHG A,LRB -1N A4,10 +XCHG A, off N8 -1N B4,N8,10 +XCHG A, N8 -1N B5,N8,10 +XCHG A, [DP] -1N B2,10 +XCHG A, N8[USP] -1N B3,N8,10 +XCHG A, N16[X1] -1N B0,NL,NH,10 +XCHG A, N16[X2] -1N B1,NL,NH,10 + +XCHGB A, rN -0N 20+N,10 +XCHGB A, PSWH -0N A2,10 +XCHGB A, PSWL -0N A3,10 +XCHGB A, off N8 -0N C4,N8,10 +XCHGB A, N8 -0N C5,N8,10 +XCHGB A, [DP] -0N C2,10 +XCHGB A, N8[USP] -0N C3,N8,10 +XCHGB A, N16[X1] -0N C0,NL,NH,10 +XCHGB A, N16[X2] -0N C1,NL,NH,10 + +; ---- XNBL ---- + +XNBL A, off N8 -UN 84,N8 + +; ---- XOR ---- + +XOR A,#N16 -1N F6,NL,NH +XOR A,erN -1N 68+N +XOR A,DP -1N 92,F2 +XOR A,X1 -1N 90,F2 +XOR A,X2 -1N 91,F2 +XOR A,USP -1N A1,F2 +XOR A,SSP -1N A0,F2 +XOR A,LRB -1N A4,F2 +XOR A, off N8 -1N F7,N8 +XOR A, N8 -1N B5,N8,F2 +XOR A, [DP] -1N B2,F2 +XOR A, N8[USP] -1N B3,N8,F2 +XOR A, N16[X1] -1N B0,NL,NH,F2 +XOR A, N16[X2] -1N B1,NL,NH,F2 + +XOR erN, A -UN 44+N,F1 +XOR DP, A -UN 92,F1 +XOR X1, A -UN 90,F1 +XOR X2, A -UN 91,F1 +XOR USP, A -UN A1,F1 +XOR SSP, A -UN A0,F1 +XOR LRB, A -UN A4,F1 +XOR off N8, A -UN B4,N8,F1 +XOR N8, A -UN B5,N8,F1 +XOR [DP], A -UN B2,F1 +XOR N8[USP], A -UN B3,N8,F1 +XOR N16[X1], A -UN B0,NL,NH,F1 +XOR N16[X2], A -UN B1,NL,NH,F1 + +XOR erN, off N8 -UN 44+N,F3,N8 +XOR DP, off N8 -UN 92,F3,N8 +XOR X1, off N8 -UN 90,F3,N8 +XOR X2, off N8 -UN 91,F3,N8 +XOR USP, off N8 -UN A1,F3,N8 +XOR SSP, off N8 -UN A0,F3,N8 +XOR LRB, off N8 -UN A4,F3,N8 +XOR off N'8, off N8 -UN B4,N'8,F3,N8 +XOR N'8, off N8 -UN B5,N'8,F3,N8 +XOR [DP], off N8 -UN B2,F3,N8 +XOR N'8[USP], off N8 -UN B3,N'8,F3,N8 +XOR N16[X1], off N8 -UN B0,NL,NH,F3,N8 +XOR N16[X2], off N8 -UN B1,NL,NH,F3,N8 + +XOR erN, #N16 -UN 44+N,F0,NL,NH +XOR DP, #N16 -UN 92,F0,NL,NH +XOR X1, #N16 -UN 90,F0,NL,NH +XOR X2, #N16 -UN 91,F0,NL,NH +XOR USP, #N16 -UN A1,F0,NL,NH +XOR SSP, #N16 -UN A0,F0,NL,NH +XOR LRB, #N16 -UN A4,F0,NL,NH +XOR off N8, #N16 -UN B4,N8,F0,NL,NH +XOR N8, #N16 -UN B5,N8,F0,NL,NH +XOR [DP], #N16 -UN B2,F0,NL,NH +XOR N8[USP], #N16 -UN B3,N8,F0,NL,NH +XOR N'16[X1], #N16 -UN B0,N'L,N'H,F0,NL,NH +XOR N'16[X2], #N16 -UN B1,N'L,N'H,F0,NL,NH + +XORB A, #N8 -0N F6, N8 +XORB A, rN -0N 20+N, F2 +XORB A, PSWH -0N A2,F2 +XORB A, PSWL -0N A3,F2 +XORB A, off N8 -0N F7,N8 +XORB A, N8 -0N C5,N8,F2 +XORB A, [DP] -0N C2,F2 +XORB A, N8[USP] -0N C3,N8,F2 +XORB A, N16[X1] -0N C0,NL,NH,F2 +XORB A, N16[X2] -0N C1,NL,NH,F2 + +XORB rN, A -UN 20+N,F1 +XORB PSWH, A -UN A2,F1 +XORB PSWL, A -UN A3,F1 +XORB off N8, A -UN C4,N8,F1 +XORB N8, A -UN C5,N8,F1 +XORB [DP], A -UN C2,F1 +XORB N8[USP], A -UN C3,N8,F1 +XORB N16[X1], A -UN C0,NL,NH,F1 +XORB N16[X2], A -UN C1,NL,NH,F1 + +XORB rN, off N8 -UN 20+N,F3,N8 +XORB PSWH,off N8 -UN A2,F3,N8 +XORB PSWL,off N8 -UN A3,F3,N8 +XORB off N'8, off N8 -UN C4,N'8,F3,N8 +XORB N'8, off N8 -UN C5,N'8,F3,N8 +XORB [DP], off N8 -UN C2,F3,N8 +XORB N8[USP],off N8 -UN C3,N'8,F3,N8 +XORB N16[X1],off N8 -UN C0,NL,NH,F3,N8 +XORB N16[X2],off N8 -UN C1,NL,NH,F3,N8 + +XORB rN, #N8 -UN 20+N,F0,N8 +XORB PSWH, #N8 -UN A2,F0,N8 +XORB PSWL, #N8 -UN A3,F0,N8 +XORB off N'8, #N8 -UN C4,N'8,F0,N8 +XORB N'8, #N8 -UN C5,N'8,F0,N8 +XORB [DP], #N8 -UN C2,F0,N8 +XORB N'8[USP], #N8 -UN C3,N'8,F0,N8 +XORB N16[X1], #N8 -UN C0,NL,NH,F0,N8 +XORB N17[X2], #N8 -UN C1,NL,NH,F0,N8 + diff --git a/bin/README.txt b/bin/README.txt index 2ab6a10..b7a6ad2 100644 --- a/bin/README.txt +++ b/bin/README.txt @@ -1,4 +1,4 @@ -Contained in this bin folder aer two applications: asm.exe, and dasm.exe. +Contained in this bin folder are three applications: asm.exe, dasm.exe and oki_disasm.exe ASM.EXE @@ -12,4 +12,9 @@ DASM.EXE Dasm will disassemble a .bin file into assembly code: -> dasm.exe inputBin.bin outputAsm.asm 0 0 \ No newline at end of file +> dasm.exe inputBin.bin outputAsm.asm 0 0 + + +OKI_DISASM.EXE + +oki_disasm is another disassembler with a GUI \ No newline at end of file diff --git a/bin/oki_disasm.exe b/bin/oki_disasm.exe new file mode 100644 index 0000000000000000000000000000000000000000..1a85bbbaaba4879afd18babc8a81a08e54592711 GIT binary patch literal 441344 zcmd4)3wTt;`3H`ly(HO$g$)oO+$3sHVgL!jN=(otVMDktgg^*4QHTK%_$FroYakn! zR8Gd!)M~%BwckS3)>>QRr5dT#B^wih6ay#;mkI*vEQ=Z;k_96B`@C~zL&O{Z=l?vv z=f^|#GiNUEyfgF8J9B2HoRDx_WNW%94b2#cS^?UiLu31FjM^;hq%<#jZ68t~JY76erAIxh8dN&zQv9 ze~j$^n_*6eqD(hflz~e!ZwcpnT=`{r4})=_ax_9wj_SVS0&vH|-3CPM2sbLutOs|Y z65FrKlj>DOv`eJ)_$NU=#3|!%fU`MHQ8IL|Z~fjKr<^MMme02zoN-G0w|%bZDQngw zlw46tkH~USS;K{q6cQBW?nx8pP85&5@k?dz13V7w_Rp>;-pt%w;d3b169tUaL(hIY z9z4CHS1wrX}$D+)M@VzRYo#LshONfZ5D?8%Rzy6Z0{zSjt(ZxDFQ3t3CAM+k~R+@k~lI2mKGsRTSODx?E4fwI>hsmf~+E{<`RZhL;F|lnQ@~?pDsg?Z)2^ z{iARz0&7riD(|)xC^P=T<$Vk8aCv*r?JB}@z2wYm?qgSa_n4cx7W#Q&aq+ST!<9$P zlmVi$T%qB$z2_>-8yfza#2Se&N_<}8vl6#Sd|KjD5}%M*E%8x_dn9g^xJ%*|iEfFd z5;sazC9adWT4IsJUb_+2AMxUDRHDhjj+A3C~*w)dFm%E^if@plWE3C94T>x#6*b+5{F2P z7nnQm?c@Ej70;cD>yDyH&`qlp{yZ{cyDFMo5vkrK3w_&sXNwhPPNbX=TbpNQ=`72_ znoYUZ^!~0m=HqV=<5?Chl4V&9+2%lh*55SGoL=YZiNN29K=NnD(gUtKc96Zx^WeF~ z>oTW$wa9|SOTh^A2j%Ih53*KviH!xl^tR&FY_DmmnQ_zo*7W~uSd!l68q6}y24tUU zPRg=+9q0RG7WW`qTVJ5Sj!toL6(0?ic%{v zuQC)V35qfg?HBw8)ezLuD?;jx>_F`))FWT5=h3%FaZ?*Jlan7pG?d#!rKUXc*deqT zZ_GmXj#XBf1w9Obu}U6)u8X8=*wq>95$EM`HzH{!Pr7m?+ppn;`t6?WJu+KS+ah02 z{TXd~q8V-6I4RMB8VsfG*XAZ#(c4Eh|_TTi|X!%N89eyQ?GJiPkxT3^6FRkZf5K*+tg&y(}3i2Q?zS#Nzsze&&Nk+b@5E z@>M}1SxYjyXqE+{#*a|`=(viSiXdwh!~yzaF>yg6<9eBVNo1&v_;3;~b0W2szq*SW zYv50Gkr~&GO#d($TSXzn|68OEBZVXt$YJ+e(!X}yK^1u$L%CYO!~f`cdB!X12t;Id zQJumOR!y;}(cfJ}jYdgIVKJuuhbm$hw7A5or$lp|6wNg*(bNV3Ld&IpRI-r0&kiOZ z0FmD!FK~yNsihX?i$RnvN;Fp-QHOPL?Gy5fda!ELjnGMf7QOP~sN(drPZC3R zbQOU@5YY2cwi826J`O&qUcs@{d40kvJImta!x78|OQrRxUIiNb^eQMLm#6|4Tw>g= z@TvnaV)mo4+sO}hba}h{T_uYKdsi{`AtLHkeE=?>|C8Y;2M2h{1C)w$#>Wic%Ce z+sEI8qF?CqTr`(j+3xZtC@r^U(RwWOg(7ND8?4!L8pCk;3G}ZrI1T+?gD*dacMQy5 zJQuB{y*#^(7!kZ?z8jrVP>Q)i$yDb_xQzLUq=SHuDU0$8^sCCThGxp_aQR8fBiYAx zrS|TqgWd~;!|8?QyuqL*5EUycwj?*Cud{?hBN56g5#RI?^L5QlSZivCnf{6}x7uSk zQ8oolt<3bi(bboogO15Z3YKjlU(bz~StIo=IbM+>Y%nJ(i1iza)mm}Hw&h`B@_q|@ z7kZ90S6OdQ-iP9snOQLTGHXbF*AujEibL-RH8XaWON$}i8O5111TmFC3o zPzD(QznF{!*)gre!hEI%54URTESLh3l-2kwCAXPLFWn5h<+10#_f%O|Bh#ei}eEMRIfU^@x1HdKgmx7czn=$R0QU}dvi zcUU)Bb?JkQDS_ne6zJJyWc}iah;cgs2@L5;bYpr1P%JSghOTX6ZB-eG&ppRF`8HkE zTcAuur;Ys@)wL+mQgMVPq=7jsD!sKNM$k%%GE6qJC=-6pnbbsUdP9kYw;_|TH)f>0 zJ>0y51dazF6rQzaBoG|1$!5VqjlokNE6as<3!315P?crolb|eUC{=r~dOjaa=`-Sh zvBt2VK+Fv(GN$uvPZdU5AAhEMf}5^Npv!6M{t7Qz$ZOgeG)_>!Rat*s=d!sEw^!_U zS)|rIjSft*$=cqb7Wg++6&q^7FNR~=FSn3r4ij_m%@2_%EHuNvEXW3EnU?fns#zNr zxK~O=Pe@z^m?5Ed&BQ~z&RJBd*S{5X(p^f~vq>(+vq`aTvY1?G8O@=HCNtbdEyWIo zJG`zTJYSd%w~4gsBVz;y6&J{N5e=)=yY4|7E@h+32o)`ZK< z_k+~LYz^ZCDwU+XRSp-fXnqOxy2Yzn%E?i-IffYQ^v{JJOKr+4YQBL+k(A}y^;aqD zgtKTrUzF!$r{|J&Q^ddMUBCPi^%UDhI7%G(O!wHJw zr#4L=N)25$HA!H&Z}l{ZN7-ZamKiEq=D?2-9R;F+v1p;!&=$zFYg}u&!G86)_6_Fb zKL3DWG-5MHCpyD|1&isO5A88qv<5P#mxOSm4C93u0MQ}(>;0bATpAsn1pZ~RVb&-9 zK*KzO+}JsY5xefZ&*-x0B%&$p*Z2wb6yuOj=x}u2qzI~{^FD*>V5IPv(?Y|LrK1jG zom-X3X+gmMI)0#<>(ZT;Z~6Zp{7raQJphh5- zxH*FNg?I~jJT|XUNnbwUk`)@ZueLWfh5-G*=UC1pvh;XXQQP{ zKZ-bgQ3BIpz6&+T4xmHcj2Yi{s@?9bv~E8>Gw%7^%r@awgGNJ)IE}0|{j%**4~4ZPAH?J~U6k839`oO%2q97!aw4ew zQuu6D)6tv=HiUzdL@?{{r&2)cd6SXW5l|#UTq1ABs0E#AEgj7Mi_sZl*Dj-G!m;qM@5NN&Uo*erRtPmCIPcQu#h(#wL(2QEG9aBqkg@pM1aZN#eV7(~w? zWQ94D;UpV9+BBC^WAOh8x$!BO{(`Av#PAH%dmW}{^bTZAOvlW9Q!l)tVmSfzfE)uO z`7J1kU;|~K0`)@uH82$ML5NV`4inxVQ9?{40;9vTj_dVVhYuqHy$1RCVPpx#6;0Xt zdqVAE_!{_wY=+l0!hH@SOSrrBf-9 zg)>V9{K0VQ>*bc2uG#M5DL zRLpqzAk;)579rgaQUS5#wGe0;JU^%KgCr>-F)=FuAgy~-5sc)5lDk(!5j z3wONI!hfy1(TtXTT7u2QP9Vx5@%;V;ffYnDx)iFK%6d<2L74L;zCO!Y2=+=@1U z_+mYSR=`TE73akq`X-Qonsd$z6R6P%MDfqyfDn7pj=9zXQHRJom){Qm9NQD1i1*6Y zLac_?v@jDt4)!n$h~h6HT+gw3p4O0pzF^g|V+*l}HR|OS^tm26YC?`W+J7HJiMpX% z$kdxAI2*HvtiHvr1a2mOyY6qKinNi3_gfKPM8wAI#GrtL=K=1`IapG71u<7CMQ*_C zRmvP^Rd$Y(Gsw9o7_x1#AX6=}uza)m)fQWY50MH(GtH}%(9ie=#If79dNhkb&OwAZh)|GYt9TwUQoL!+CHK4Eilo3^s>vSs za+PtFlGIF;ChC;jh=-?SJmt972PRbgf+9C&TPOg^Ky$i00duvFBEF5CuoF#WhYQArFfV45LAYs!p3a0R_#h; z5;(0t@DTh1|CM@)`BIy95G%YI4N~PHrNf> zRaQX9RpsU^;8x5u@VprQ4Umb;gmQPzbinlUKJ17*U(p=nU{H_hXw0)HWwnj}hvgMb`ZB%b!z1Xm{L#|0v)qZTInJqQr;dW1h#nWh+>N*jK^fZ_~jphQ+ z36nacvez9p*R504zLhf#cg!-l5~r$`%9+MHW*J=r4cE^Qx;mC@(^rtPCa^o6C! zE9J<_Ut7>cBeqy9nVN8RoY0zS{DZF6<;2yeTR+Co^lMB_3(Fru;h@x6E9M|EwM8V! zyXmb2oekrUD7)Ij$zK;*K3|ipdQq5nb^Ke@owGabB3Hl(6ff- z>ye8O$O`L&=ndwWK_Po=Ad=sUZ1P_ov~>?skbamq9}TOuT*)(2<~c+2Rh-{EnPJCa zT5QrjQ*PXp(u7K5W=4z}o6%E^d|_tU7lEisV@8bY)2Ye1aTvA&1M#D^@^p~smTt=_ zb+^{KAn7X-CFD6LQ>=>=Y>lDz(tO%Mt(ga4k5YbyHp8@5?ZlhZ0r&yX(b}{V{FZnO zTp5WWSIU(@sv8;`wBx)7g0(h!M_56wGdkyR`IKMeUO!*wJz z12cNM&cKh>G~pLRei5N*)9t;0@(%5XFm>P8S{jp!R;s ze$7{i)#vilH8k+{^ISC99{CfZg>n<2<L+dK%GtwFN6jjai9BV=<;?4H1JWCMxRP zV%V+hXN5?4POL-sW{>B?{U!~{o-a-6^fg%N9YD0)r$F3552H_5z_E-P;FD25?Mzz3w zDPPTVUmD};E8=ZbbI2!a%MmppV8-t#cVLYBQuK!5X~7NGSDD=gub~w&(N8|T{2w*C z!nbobY0nv9~>DG5?aOb_+!+n=T zf%+2K#YXQ4WV^5hJLmc8ZERzlX(KJ7Bs;BXyUP*`PHWOW=WNWPpVfP=c|m^QFt5W4 z=Yo7p!3{Z9F=A9}`*tMUhGx59-@M%8w>xLQX{Sto1=4)u&$`}0T$KL91^K>&|3Cj# zG}#^-2jbLM^^Qmai28AsU!Z=uK0^3b4$4G(McTK;31cx})ngx(H_ zhMy*zq!Uw)RCr5g*tXDq<^%->ZMLd%IJG*@iD6Z7j~{t4_r9Omm5-Ws@(9&MzBbjW z`~Tr~-QTP(hD;oJF)MXEc?4#4v7P4PraR!eOS-lh?b5X?BJ8?okuLkwR_Sv3Y|@q3-bZ)2fAds--Iegx+XHpi zi2J`7qPs2_pG*)g`x{w1ZWONA=RV*!3D+&3ZTS`YEI4XU`1GHn$h9ryuS-vkA(#1` zroq1)N3M5AzxAh=QAvt@{T=uB_~;I}xMsewvGPv1?s@Uuz{bB%v@7nP4|wCImexsj zrTWD+V>kZdksMfE&5yaOf6-s3*_G{|@7X)J?!Zj@wk>h?WwRG-nPcDe+`#HLpIiG} zp8XD6Li^2+y|*{tz9ssJ;KsDO$`{!`>G9^zTB07?zSMqf=6&Y>G@Wg_$Nrle${qI7 zwVf;M|M$;z$ z0iTv7Z}0zlpUnI7ZceM}Sa-ZMb4*jl$->1ft@$) z|5w)flY7o4-MXdxrb+u_s*fl)Kl{h(NdsO8{v-C}yY&|*EqJ=dAN6$Q+2xK8&UFMn zp8E4A-*?>erzfM1w%%ErmfiTPUVr|_wt**~$sS;JmH)!EzA0zMslWU>E&aCIS3b}E zWbuS|7pJrTd%WP!ZQB#PbDw+k_GPSqwGLmbT{v=I@Z8Ps{At(X|GL-w{(mtP&bhmO zxT|f!himTXecL~FKjaGy_v$uGsYkHW`vrQCCm7`#vdX|Q?+6W7(03*%Jux&yi5&=+ z@m1_Mq0eBx>ZxzHy%7-$HLE#-G+$n2fGRJ7;a?H@o|~r}7VnueQoVUa6XCl&G-kP$ z(CBEVSNbkgfn(H4YaF6`uyNYb_RoD=pvehB^A|7Q!{NWLuGP8k*s_hPz~ z7cBIgxT~;7UEwq2$v#=^Q*viz&z(PUj$={g+TzvA)+}E%X~pVwMJpH0nLAy{cIM4k zR&xKmWvg8)z=Cq*&3IabCppi; z)1UDK7=}=!^>|xFx-I)v3pTj;R_GtNZM+4J<&Q-mvVyHWpLpJ~AB)YeBfwXU45MB# zFiU85rx@3KTFc+qwAK{w?45q&lyiSuASQlVvvz__tHm;zVK!TM6t7BVHaPHk+6U^; zg{+6iXJ#d_cwdNQ55;NYqCCDKQ(Opioea;TF$rm4KV$Q}Z2eKJnnm%Z0Z@Uhc-!z} z5PD=EcCImHDCsTma&eUHohFkdbbaV!C{?~ha%GU1eD9crnn|?Z7H+j+%HCILF*e zHvkj{dMG6fv(DK};f*Nrf_&8&`gDPR17r#Q^R$Bq_y+>Cwk58} z1^%VFKd38d&8kJnsp}k;K}qiFh1}JmncPTA?Gyf+SIEW|MxR#)6k>PKTY^<2h3A86 zK30goS`zwr3B+z$8_kF3r!=L6DhE*pY~3D6QollWr>DVKIWuznA$sU#*gMPhm7ejD zKs=%b{;5kJ=Z_|~cY zcWYE2#?uhl)nmmzz0MC9X6tr=ojAcxq|Qz}780Xoxz6h8j}`<5R}Ml*fOq5PbK)nm zz#vuz=giLCvcJ?6a<-@J$98?}ayCdCsI9a#PBecq!LDc%t;@BTg$oLN{+XCzV;^87 zvtzvg4QzR(+=-r<&!Zs=d=eBN)h&w+%?YBeF?YjW2p&%9?@(Lzm*M?z4*Bqcd|$PD zLOhg{VSR5>l2DV!E`HuC)GrP%^5HN=9pH4%nM-R&MW?z#J@uA+%4e=n$j7_+n}vUv zZnQI0V)h?Ii-zeL)<-#W{q^KSvf2bAzKI5RnqRfL(*iE5?QQSGi2>N9L>P90|1h1Q zG--SviDv6Le%zJg0!$*W(C!0HX^1${6<&bihN;Br#W;B-Fduo)u1bg9jLxdqD*B>& z%g29&7|a=uH$-(!r&w=b{bErm75i;lzCyeZw#{u@K7$K8D^`a6n)0;07r*SK-p(8F z&g7mj1huNHPtr41HYA`;&Cc0;-+mhWlziKkzacG#17yu|tN~2Oq*G67SbtqOX+I}c zMlEw@JNXlOVwyCs^W>O~7&EXVznl%uMdg5x5m+TGinZfKGSn{~%wjEl1YesBZpFTU zwhdV-Y^?>0A&ryG8HnidMesuK8#?L#8_LZy5t(fj(Rypu0w-Ghop?2`MX(sdy4Tg( zuDVi(b@JYb#`;-hE#)GNYRMJj&w-QK?qQNT+LX^ndb7+CcR&@!=%Kx zZ?)URkZ3XFIfReJrc=00u2igSlL`C6ZSqHyQ8YvxwaLvt(iG8p8fuCMQjTh@cOdR}+d;*PcK3Fm>XdS; z4nv(bW}1g&ilvJ@w#tGY#NQ^O`>2k>>998yQ`<^;`~u^B!Q8z0^B_HQS4F`tnS_2$ zXt?P7;Au>w-Tc3x$43%3x-`USx^!e(J$IYG(d!#SQKp5rVEu&u0}G=mUYH3kXR!-d zAFcP5tkKu`$r0ZrWZ{26$^y1Lab$`Y27H$M#r#%c`-CrLKRTAM(?nU8YsS#NIxEQ_ z#&(uxVa5rMV>P~Pq`GLrFUiqQoj(d?@~VqQJ%R$N6LQp7OtdFJIu|31qkTDxL6vG2H1bwtsufwD8HcTF zJ$ySk(J_Z0R@yGiNfgBOv1reQkZMUYxW2|z)*x&s=gog-4C1oM7DUfsORa1jET8j= zUY``+2(CD?xz98W0h2y5BaSiDTydoAi|~vo5a-{7)m*WL&L2TH`XWMLy5i<62)&O`s=&sH%5@C zJMk{s7(x2-Zn&PI+^~O7)UJCSO&)Wcr*5u zSbt`YHyq|G5-}}ou*`A=mMqox8onoMDFAKwON>XFJrTjQ?7 zyZjWW-cR+pPKx)D2%_21I?!v^n`>!2TN@YJFeJ1gf!+Ql8G)R8Zt0RHy?@PiPspKC zs`CrWCk(+x2p0e2JQEV(Qr7pVrIjFTbmLcU+O4{-h*-y}S>1b~kdwFLHymUvYR<+! z!`J*TBIpo)3w-Vg35c(_`eLAd3NAf4)-^?_f~E@L?M~^58ld6gUnF5~QwiRg1isu4 zjZj?uJ$EUv4j7;{V`Fdtoi%$o+ZB% zasW@m`UridpA`$~9K`R(P7%wEWtp+*nPxQxevwW-2aNGgpp__r%Y=nXVggH-+mQc=98LBF3KSS;Rs zhBTt|U}I`f7MQ~-G`|c;*JT;HpXRA^oY?hpVrLZ#3KLMzq;_e&pu2xW*q>ym zydI2)oSynl{g6_y;(S>&-sTF+T)I?CN%<^MFF0fnW^n7NZRNB0DKDFOz}s}DNK)1 z6AIH2c>sRRYA^goQW{I(l&8+9{}(Cu`Td<;X)9wg{j{c!_dqPLwxoDrvS94kmv7ja zztsOf6d?GCU{gDhu3o0gMV9fbpc8MMz0(er8nr`PE%wLx%OBCILW92EfPP|P_D&z7 z-bJ=Dwk^Lv|3b}E>#_$s*}P7}#k9TZ06q^Ai%p4{ovg3nB3}(6)Uy08cr%lB0sDWT zv5Y880)_Ngby2!S?S;AXZFnrm_x}zRM|2Npd$D`kY0B>83?W)0M5dKZ>*NDLQoAU! zFy(gg7(C`{7xBJp3e5_NGe(r5w%dOS9U4IGN&0t=Q#+6nV$+|9!vGqYW^oy5+fqJ9 znUAfL0uG3$okU`c7T}T{Yiy`?P?C8^MSZ%NUcMj@QnjN@CE(414(_ z{|$wvx%>tBn73L{Iup7yFGTJI;3U5)@rlrJYFjE57KziEZCf6Lh@cx3A*cIUb|;(P z`8|7^`Q7(6r+xq4<_)wDip|7{Q`G_3!MtOt8e4u66H%r7WBSD&<;~dPyisHj7=o;l zntyb^QxwV>%p^Tv4~n%Rqfe=Qa5`S;h3*OyJta~#Utv;$8MR64>m+Tn`6$|g z$^~EraJJyL9M~O{+DzlyR1ze ziqPg8lDobR%5m9Ds zf=HybfIthozf)^7oaQbh$xmx_8QFC#vMTOY6g+94q0SKGWgrd0{WU)%zZeffCD8hs z9pqAE`?Fb{^U+;?(5}cDwkxtgfp(b|N%=uH-y*!&h4pV&eQLY7 z6aLr=?E_66O%$TEvs(}GkFXp^HHNhZ^wHf=C`Z%?^vk5(v-wmQnk>)`FZ6#7YY#G! z+X;TCZey`X%eP^s&)7hp&LQSZmPtN(K8?eLQsX z90ZCHkb@PZr5E?NNCHT+*|xk4=j=I7J`m9;OS~iq9q@zWgc}h8i@$%Iz_`KPs0$h= zHeVSh(jW(cTiAcaFuMhWI6{bxm<&e?PLYM;*Jp3Be}p|P5g zuY!*pJN|zh3!bHdqCSM4H=#ECk>o=%X!hY9uQt<3J=u%r2DoZ1m`KbxJ_a8&JG zeiG5eT4^RsQ?Xb_d(k*5VNT_@fjx$Cb#p8Z;Woi=Q-rft_`YXqs*2ly)SKuD{w;hM z6-JkTDfd`5EQZdT4V^dl2X!9w*cE$@ao?x&Fn(eouaFHZgmSwc%5A8w-1_Uv%~nAE z(YhrEoFuBK56m6F2D?B9c(Jtm4Y>1MGHf!#^e1Xsx)0{4tra0WdIOeZUwRPF7~DDSLtHPoMhc0nGB&$DB9$Qy=v{qRMo(qa?%W?QSuPznJG#q8R6J?r>GA%-x)@RskTecu_ zMsM2|2K4_gemoZpT5DMhe-0bbelk+lcJqIeyP_*Fia$%^#|DJFN{%&JE6UA>Vcs^Y z>|9{!_Z6PXBnm&Vd*S0{;RDG;!py6C>m-K2IO8mN9KZ|Btg^2HJy5`Wm<{_r5baHC zka}Ikh`K^)#W(|-sfmWFQcDb5+lh(Dtl(@m%bc`xa}TY~(1sVyF&{#1aMEZ1>qE9b zF=~qYLJxI<`+`-S;=a%m<^&gdsq5SqP%&;4*L?vsL(4ZcMrt3w1G%%fF@{!t1Y7IE zUN^8w_t*<{u6wMm5;2xxj#G;{tP)s=MewNxSY{jX7s;kWIHP481m_3RML7J8*umEW zMxs>tfg5zvzXlbZbZ>2+?iGxdUN|-d^Q;-Ria4ZLfRf@ATD+l+PX?z#q;y>*$Kch8 zah0~NVrNmY=TNbiQL#6PVpEHYVn2PQ*fXKAXb|R~oE1X^48dl&zVKrS3A;`3-Vfc3 z#?rk5Kc}|i_dq>EC_D|Zh#DwF5oe)c(u@?yW?4cT%=})kY#L^|eTM5a`VjoJ3p^G5 z9gR5IU|KZZXi~=;XShDWfJ?bN3VFYhOPrV$T;N|=uK1pz64Ml>dJex6%Z&w4$x%-J z7fc)R4(Q~&p)B(GB!rOu`qd-5c^sON+__kQBx_)8NFsDCPeEg1Z*VU*0%;vy+R(!M z7Vp7O#XfFtM}%Fh9vbKZmKc!+r^!I4Cf0vFmYiX{qZHB#S`YkM@X~tlp#a+FWWl$|`DQXImlha=U)wuZ`Gtn-@k7J!7U@F6*GW_* zZj@Lm(JgVS#78AoOMF7&(*mK}G4Bq!ETMke;IX*QqEv^5KP%%rFR?~qt;Dw^dL`Bi ze6tsd5*mJ-9-KTAHIeVD>FvMJj4Hv)C(clJBqn0>jg|nHCt3|VQJccCd%*(a>&rvu z#!JSc#5lY##z!}~EZFv46n#X^kKX@aOvmAlR?lI@^S&Xv2~USQj(83!o(_ZdpEvEu z7b#QD?>oM4|GtC!TGGBO?y!?R?Kp>-@i}TQ z%KNIEZ$-|@lKPJl|28qK!G2#k7K7S?@024-mgAZV0kgJ?KUk2*QIfM*7yNEHQjznm z*HR9m-&Gb#&wU}Gw72_$y)+_aKc>`L-GLJT+jDgXj{B(It`2Wb*?)lhY=3V)a9V_e z9h`SMgGE-{UO-Kd8lnp_;81{8LhP*efFx)m{2wEN!(BQ$1WT|6h>KD=X>=%Kl*>X7 z21g(wBiUteylS8*iRxg2F}8}spiyZa&Hs%pu#9|L#cn){AjEYL1=j4YYj&TGDemL0 zyWl<@SvsEl0d#*4w=37in0aFfQij3)8R=6y1?Ezo6mW{$n zPz?1xVvCnRM}o`7-+nJ=foj2)I^YLb(ld<^HK7jHpJK$5?Qw6wWP93s2QpF(GpGTu z`|piu#hD3e+^%aI!j!|;H;DPPSRdig(V-l%whe{EM`5rLYgc|7c0n)?;4fjM1wvW@RR=-}pAvi)A%$p&N5i;b@cl?}pNG^)nTAKn9Xjj)4a?aV(FvPD+oZFuGC;R%@W z-tA}uV*NPVU}(UpPp47Kt zXDlkE8(g<(i;t&oYTGmv6ZC;}dJc!7Z7=0mAU+xIrjaVCA!nN22b(;1Mth2@DW#%~JB$JFjdKP>6hZsR| zJ?n$b#nKc-h^_&kKiWw>DBx7qq+}wVTVDdc?D-6Ww5k$P=Dz z^eSQM!1Vx*PI2xny%8@ILDrnyl74oxk)5qLk2k~f73Xcg{Dnx?Nfv4O8L}4F7Md1x z^>WV9c89Wp{28=ILB1ACwoCP=Rt=#gSiH2)eGO-3NwZ)o>54>OGt&VfPyG<;KWK64 zN4fLL>w{=9G}S|~iYvJ&0>UD;-*80A$+y3Xp!!z~JQm%JwP|FU1X4H{o)ycoV$194 zgbuw|v3?`4HV+nuitT{(OiX~%Gp*PXtK(0Jtoq=T{7GK`3;t#ht&D|5RfPNEBG;F+ zKUQ8}gZiRwtP2C=%F$kwxXiBbn}`KEL18Z%hv#{GC_G?Wj)6>Pwt_Cofq-^8LkG~( z0~t)&s;+qQeu(eC0em8gd;B7tnFVe%EV5Pf)IB|3BVP_4S(_VHN?ST0N>$kgKKqK- zDR>1UnX40aFYKe_#hq1I29cx8hrj#^^4KmD()$ghv@y8>mw6q?EWb!~YI}@!&F#*4 zdJ+?6MWskoRq;A%Kg=(WhL<>DpsMqD`^yx5g2H(|#mzOC>g*GUT3KGhmZ4PcMYZ&p z?8^ZO5i?BQ?&L!ReLa0V+;-fm(LqJ5m`5C5RZU+YH}rD7H~a2iQM_iw>eR8Lm#10FC)({e*u%n-qf<7=D7Pn{P)b+RFZ&P>7kEd-Tv7x`#f|m3D;)wN=oLF9>*6837@T{sJ zCU#K8@NKB0DhG#s;}^odQ4d4g1)V&xE1N6fMXw&P zIMC$t|Lz#Hz?Y)*B!e;o{zn9^il}m&bFMP+pX0&Fr{g#Ey!+q89pntl%Zhu`ImH#@ z-t>hW{llqOA}VXupG>U*!!&pLXf;NEPH%O!g;B(Pcg~s1Pat0Rbaml$V;dY_OKRUu_2N~j9u=wRkb^J> zRdUxBsvDLSujsM|oxb+oWvf?|pakCyleVJWq9|evA>DN&5|Hj7ro>g@1YkC3IOSiXw;vhHV!r8b*&SHa)KsS02#}0>|Sb8MY!_r+xnSvF#A3)AOf2 z&aO3NW(+xXJ2vqFC~$C@8D=Abj|Mm`7N)EEBdsN42%@!FG4w^x zW(GvVIUCTUUF4{m0shbG#5jZ}X+lbNkQjO1`MdBJ*D*xlkoh}$Jbvp3n9aiKIEYFHDc_h|)aBQ9yAR}sxcEyc%J1frYAs$9!L3uGKhxb7tB8x4!Gsekh z!B=#RXqfP#Brp{u$)$)$EABSI6cgsLsr#voZCmKnOzQd6CR!GM1n$6U4Ewe>;-*gY zTrArVxixM~c3?sIMcOT0pHj8Nl)kugLoPeKGhlVUcYN!RG31PNpS;Wco_p(}F$VXE zyWG3oTj!23;uv)xp=ybd65OE2de4glT`>$~eL2HY5`kll#g+79#?Umg!8}F@+&}?C zAynWbhd5auBY7}nXJ;d@_+-^Q1FI8q7A_m+VcLv#edzUUYKKM=(^E=(@YR|`arMoP)M?OZ#`JD;sXarZrjm>6<-6y^7 zOKw|5BSJ)!x8Xox%1_YC*3OS3d+cMozZ3hnS4ApBtQnn!THHjuJ>%QoA^~k3D*1uw>38bSfJn5zN0@`cW4UVbfe_7Pk z@f-NuV-PD}>VAF~4tT3eAfdZ);JPXfBVQ*k!R`)xYT<(ssgobWmXO^EpWlRi-aUy0 zz5@PpjAB?2@5!2{Qo3}c3s}hYHEECr6qw(IjJlM-d9Xmi2NCS^2U`&x45MSy-hX#q zf4#CBuk|2{Y1LvdkOxk1brwj>2jB3R1P{Su53nY9yalWYA3tqY74TQS&Eqhn(|LS= zN-22!BV1iP{tj7F1~cL5^UwWZ9#3}hi0D{*TZ#NgtsUf^%iZXmmh>)T+0ExSccVvh zTm`SXwAP36O~45(y3BafEkz0(U6WmA!VA+l7@0Zw;pY*17cMhx5Ox{3p0a`En)wFQ zF-Rd%SpQRdKfWqlhoOjCTn6L1v6c?_@((dy2qPf=9=Yg17~csOe;%W9S2g?=seS%D zY;6RBXdllgB4H?5*$`oc0yj29Oa(1tV}t_OI3M%jkNzVxTm+ARc^t3DPhn$(6QMON zaF7|2aByT-)56^WMDqOwmj-4KPY(Zct*oK<6sE zxG#X#c*Np1jlKLVx}2!bpLr%&2f)+`29qbyX?Y$-5lBn}!ZEB^bx%7DEmr4tis=-4 z%nN;$JuP?=UR#=6H!a5yj`Ym@uut5xE90&abX0?H`w+y&w0W`aal}_!gBs%dgJ^F$ zdj|t1qK>&|GGaUvN3`kZnb!~vfwelkMTvGI#tupjMu#3E`wYDwQ+rNWeWg9)k-n>` zCLzG*e*q&5H5Kln7)8OleIJGq>=oxi|5#x(g>50RrpUawXLvT&WCR<@f}qD5j{U%fqJC0Zp~B$_2E5<6wO zpu~2GZ4%E&@imDx5?_?~yu@cEZj<=5 z#HS=aA+cKGqY}4D+#=B}u~gzliK@hP5?4zslDJ&r-4Yi`%$GP_ zN*p0EQDTC`ArfEfuggJ=#OEbGE%7OdPe^=L;x>sdO01UnsKl)jw@7qLES0!XqAGEn z#MKguBrcbDx5Pyf^Cixe=#)5JVvfW~673Q*B#xIjPU0AeBPEWIm?$wp;t+}P65}Ms zO0-I}NHj}SBzE@G>pLj1U1FQWGZMMPQxcC$JSwqO;s+9&CGL^9OJco5uf(?`)=GR$ zVvWQXB|b0lS&7>uJ}vPniBCwZmiVZ|trE9LbW1FixKW}iF-&)zd|oZFNaAvdcS~G! z73Rz5xe}ccr%TL{I7y;iVur-=630m#BXOj}5fT$6CP*A2FhgvyCl|2^h$h7Vy(p2B-TiLQR4FwpOv^x z;?okJlK6zgYKf0Z+$wR4M7P9Ji5n%V64yywEwM=Aa*20KTqH4H;#`SNiPI(KNSq|m zE-^#mc!}dAj*&Q0;s}X}0&Q=2ZEx(~c_Ow)osYky?>Et1Tv$@yi6%7K`IGS5dBTEQ^sy}ty0bNNqU{}Qh8b<|OiDE3CjJ87>_Uc{ zV&W1Dv8YpsjXxtc%jnKCId{bT(TcxV{Kerf9)Cmdmw>;-T=0U4@53-*z?3pU*m#Tk zKQD+)u_E^dth_#fAAT!rcUWuD)8zgaUfJ;Os2Pi1q{kQWs6`gllbhB}agjMLsE?=p z1~MRrt$r@|iX>Leh_`Tu6=w=!>43qNI5@M&pB8Z}h!c%9mqx`zvwCs3m-g8eWyZ4m zR;@Prw;^K%@I>F`o!taX*FC;;kSOXtj*K7B^+WIX3A6_IlDoX%Nu<}M{qiVy$X zEQ+;s`jnZD+_1l#OB*&`nZqY`pG#X1;PZcqeV_0go`S_3p61|b-O`Qdp3W}P{i9nt z3O4xY1)~k?7=&L*JS*{>#CC~aOFS>JLt;=OU5-I?E=s&4u~XtV5-&@{Dzb=wTq522 zMCoZ=h47@rQxZRs_^CwN?522JqF>@^i2;dcBz`XO3yEJ!Y?ioB;(mz-Bz_?ALx~3^ zwn%K1cu3-5iAN+JmH1zYA4xnW(JOJM#5##SiS-iSmDnJ$QQ|I%O%iuYd{5#YiT{zf zSK|8;Yb3rb@fC@$N_8FY?1yb&DdJ~`&qplIV+Z}>teV1sh^-t;Y7RbNB9lXwmN3H)LDfy zRy?q__(7~kwEqNc3jZm-!{5Q`;h3@p)E&jeYm29EvVW%zx_eOgwbG4tI5y%FVfdCA z^FI3%bSa$ZD!`v=yFOiXuhg}9v%Nc;-*Hp;wbGA9edx8pyo-KG?u{se6bzgF5k9a(GFK$OG%dfdZ5UMCbzb#g8GDAqCY z-g{S+l+0VXcJ(qEsKEOZNdII1DEwOK$2gqD5WLkZ?pslP2I+q6ABA5l-CmAdb=kf5 zhXt@Y$MfT~Dg0V#M^k;P#cNk*G4HmYpiAM`-j4FymqK+gZ|6_YrtoW}jdm<4fry|5 zvzMW|m!lmQZTay!q3~;^-wSh&618~Qy}A%q*Zu@;3cq&R(A9<1ade#;SMlR~Q#iHd zwak?)j#;^aD(YkPPtc|CYvs9*BX?Qh3YdAUzA}z)LHgdN(W&FGktU{qIT9`S`e>fI za&-ywKCj0a_hWIehZ8a&X(Ij-eqqP&5C5ZZCxU-!eph{H?^_ZTOpj zKL`G1;E&31^*tpA(72p3o)Q#`kmBhI-T&vZUb5J}q z$ZA)~%JnPe7q3)TVGluf zCB65GVf&-d&HT$-6@~BJL0f3Tnv*tJVb$3c-UFQRe;^#DEm+IIHaT6!_T(S2@&G%` zRGjSMAJA?qU4@E#bGK)FgYXPb_ z*kXn2?V?wv@lyrrX|C&@#&snP2@|L{B8e0D22zlD#2ez-$Nxgluq&h;dEVakSWinlvOt)5~GwA6Kp13L%e&7?B#yQ5aTjs1(L`QH)`PNy3maFz`)@lbO_rs5pfXm zk{)2Y;AdEAgSlW<`ne6yS2@l=u6=JKPsbVVL5As$c9)F|&RTfvzhSWY3nT|)@R-pK z6P2gn$2JAoUEpfXx47yX7$I0OH$?TE+z=HrIV&*bDi3#hjO(_nz}Ro`bRBs5^KCdV z29uc-z{+7%uN zRfBuwKoPPb?p+zaT&%B%BvpA9*iSV$I%9DG;*L^E@L>-mSfDR?ek+ZqzHOBO$3OHu zkeO8+a3MpK9j_tfulVnf)Kede%qTBNw=#V6EgJ!(m-Xb1wgy<|SHZ&EA+v2`bvxQA z<3&hK7WuT+dUg8TdAKSRor=EG>;5x|qldnfHc-PYN>tC3Q~XyVCOPR5s; z>a7rR(wnn!>h}Xa1<6DrBlF<^9CSK;akCW(@nt(c04ENxwoS#;Plga_Q9vSX4U=xh zfetKh;}{Bkf)?K}#6KDbX&fUrwcMx_Md<3oEa=%qAD;TuM zPuP$OO(z#87@(?2;zssbjYL9Es5c6%1s(DVm?!k(+V{Fbkx5Pg^a2Oz(!eo6YDRS4`dkCvsII>3!yH$z9WINUs9W2 zz+`~FWW+i8IKx%$n@3bGx-*2kpa+A(yZpCkdvxwz&=~mkqaf#soP`r9Wf-Z&@z$;} zycM5=4n78vhf;wk&xdIvY3?!*4}dr|2HF%pLY@}gq01AE{ReC9)kwF3(t-cY@$S;= zLZe;1=j-X|>t25a1?+M(^6EEqQbflDdZR%|v>VUG{ssm{oT{kGYnxM**N(p+{yMQU zbR8-ZmFDQ|cp!*<5(n4vV1f!g3-ehA$DtnHj#XDS!zxUKU{TmDy+^Qho_K1gSbO`K0fZEwRENK*r#>i z^!sith^uMw`5y62f@ha3^+BvDqW0ccT&m3$A?oh{k`>eNeG&Bmm9$2Ct7-p%6mvg1;86LGVYn?SQ|Q zl>O)aT$vrJr0EbcV%{H!qh60#S0-$T@4iS=oQpKYIh~NytRB(%q_QCs?Y$Ilbd!4P z*?*v5hxB}|fd&;8JUF|xLC3zOTbt1okO{?Q(tNCmc-Ub&sdp&y(CYVorLti@`qOf|5 zV+j~R5+kAJ6PWqmMbNq!Lq0nPB~(9x8d+*N`X<&&DEx3aP>9j5k**be>d{;=i-Nr1 zrlP(09+9KdG$U5q6eMRmCK5OuhuZClJCdSb%owirdSR;ToEA)W1pQugPI#XsZ-3R2 z%i3bT)$tk5j7Grs=!<+aN|AAgD@I$~4v+U<41A2!dJt6{6&>x0fmiK|yZ~{u#YZ() zD=rjy5)TD9p_lX?zAkjcz3H=2u9&3VC1%4u$mX+;916Q@63)GF<>}nB;@nuwoGM2f z9|GzH3z)0bKN+r+BN?~gmWeUN6Ee~^q^&#>gA7KFvAym!_>jHn#lWe2{}{xBo=A6C zHuS-S51YR3QXf7$GsLHY2~iSX;<2qe#Z8rLaeMk=%Z5c1(Pga~_YsSzuCXBD+B)5u9O2W;O`Lr$Upq|IG+EFzrKibw+#C&a1!FAczHe)GQ$DH z8LdhCaJ-TqMVnVGZpKR*K8R?B(WCpqBozmP^VD117xG>Ic{3Kp3f$O{MH3gd+OK1< zC)ccWEHv{dc+`xVICE>H?@cOumFuNkTuwF{rnfMi1nDV|iv!4r3{b1=cj&8saj2TCDy3<4$de2xd{7woLK1;iRucsi~ zX{$&^c%$PeO%6p}_${cFHw*#e8;aKODh_c^R_}V%;J)Bc$G>WHU&vPbylQe^n5iOX z+&J+$+Wu8@Z6BmYrKi=cAHIX8Y6x=;w!PkOJT?#U`B=x%9W+pSf_>IU)Y{;}-KkZM z$F#Gy*WagRrmvr9JF8re`EoJqI742^FpAE{d<*uAEHlQ#bus2y6QnEw+&vKb^IkKr3XMZlXsz(p zRTaT6VSOyF&5K5!0Xn3^PvW>4&9R_n)9XsJ0%LG(UIXt(N2nU0taJWJTA`D_g=pQ0 zkyei6bu>|@gqxZeKH@tVkpsP|o}_%(-e2)|K&9Jxg?TCGU$Eet%(x{WCVKy-$eK|d zhXaw8Q>tm}@fRLU5RjV?H7asZ5L7gvfv^yeOO%9*f-u8TS+5Jg(0 zKao#m0I6rV4IQUujv?q3%-5C~y(Zf>a_sQ2L&m=95GhFCvB9Dxdu*PBvOX^d)elrY z=cX~c`|Zjald|l5-{~J0qpxCF=d!*pbJx-{sMK}#;oo_hkje(k7qCQ!^4N2-N*5&NxP)JxxK`-1p> zJIY+8Q$KfZD%d?r>x<%f17Oho>pRnSLE=VftU@7FB|=rWr;KH3@t$P=_S`oUP99(J zO^&)ykdE{?Z}grhb)De`)%P#InGjZx(iQsnj(ho=mP8)qNg3ijt&Y=)Fz}uV_S;uF z^|ah(@`u%}CMz-QZTR8C4n!mz6n7l!*W|k%l%Jy`-Y5br}PZC36^2^~A z#fuj7eQFF8+&Veu>;1tAhW7;RJdwWb`17{~Gka!dXZOtHCo=p{q6vRqCPom9GB*GRoa zI}{HiLz~o9PqOMq%?R13gSsOKo#c~O5*_|67fWUIbQ>&|_d@rn;Vl^S+HiEr+miPH zB|eV|_S;pNlVA&d#OJ=t`^b|pvn$fZu!gNm%Vu$>>pgRryZ zpN3eycg=w%C)c=7xlY=Kcv^n3URr0wRd>*<0uLl?YzfvirNerDw_uxJ3AS;IQX8)M6ihr9EbQ$jyUB%HoUYLErgNdWqKesCKT&@oWw-h9~U_9v_uACDU9@KG7mXJ8cF ze;O^=Xu-ux|K^sTIG3--8=WUntt?g0$qR3{ z6*ZYoZ}*YjBjIaTY`6D)xp_Dq0)RErd)QwW7~L-6vh9^gwsA!_^!1#A_RWX8hhbg6 zT`O`DtbEc#M3aX9$n-91g)kYB|5__nWe73Q)%ba?1IU%R2d2vd+5^q_ZZ1cDA z53xt@ejq=h#-~)eBB&3l>sUC(?K?B5aD>};dQjmIo_kOUh1YQ;adt(~(W`A7Oq{)I z+F_e5VT*J9C$o16qs6%=VGGr0pR2C=s=8;g8HOAOI<5g_T&XK^nb7$4GM^F7F>UPQ2~$H0H$Rqx)Y64Yu_)@wFD)(6|2>o@yq zP|4`Mz`1^}5qwW76Hn}&$WLTtjXCmPcd0kkq>Uu7*n2kF-x$gF;#r z;pN?cJv%Vq{X<;&ar>4u#5o@n;itQJNrUs!g-hMONZf)&{H82i;6|U_g1OH1+uT`G zhBqwy4X#}7-nG_!w>x3V*oGmw-c!!?V;V*pn>Bq;oNAc;!#pT6J}KFCUxIH-!sava z3CDWX8k;r2PnZzTbQk(6r^OwvP>oq?rmgQ+N^1x(6(DNLH6GjIwoP8 z-%G+GKSSB;8R>o}&Q^T-jqBoVi`(%6D7L#exH8W4TJn9sb&PSj*1hr7-#w~!a*Gef z*4n+v?#P&0cd_f(tG{#q)>S*holvbI$G`&DG55y5Cn~uINZHhGu8BW4bY7e){wbbs+z z&|f0^ScdCZHX?7FauK#^-+vQw6H^D42*j9xJw=tiO$%|O_r0URb*i4p8*JTR^6a#+ zCEILhD5MeP$a$*Qhmz0HnXTQ(Ig{O@?M8J0;ejcc9oNWbhdc@HD8U`%J6%m-nXrFY zX2%0vGuKeczO5O0t~H*q{vFvU?Dd3bDbD&7Jt$u=pY1FP{vQ)B00OJph^&h}nCl-B z${cZzO9(3Btuw?(qnPam)xsADuEOyw;FF`_T91w;hs>K}_j_w9U58i>{v_6pgt^D$uutYzshU(HH`Zq+Ec2m4n6lRZ~(0_+NATIxydXZzv zzRk^lj_un7)uYepbUBrMjE+T;#aW9}$isOQ=P^3MjkAcQ&H_D4N-9ut1f)<>uOjeU z);VxhP2>o1o;tlH+EwnO23^!O-fbAmU$U4T#BG@4Qp* zR}^oR+-W{Ha(L8VnsE3EaG1RO1r8(nU*;4xPa~>YMU-%=e9o2659Jo`v6`M7TBL#dn!p83fayg~ zs7wYTVppr@H1LfYIKc$&VFI7X6=je-78&kq(Tm=w6Y4P?p>rdNwX#)3<5iP%h^=|Z zatXO!hkQ#`3e+>=h6Ifr{jRy5k-46E;bjG?%nZ@2IVwqAs-yjtXhHzS>|0g;(=1un zSCH;og1v^%$)OcL0ZWO2RSDPz4L02ZTQ^AjE*3U~#zg(H#jlO_yB5C!^@GGIP-BIV zO4nC5^i+gIs@klYwql%Jd!F=6;l&K?Eqryk%i4J!@Tm(ucldaP^ERLA>}ego-KVB| zl6)%3Gc7#6XvtSL@0g7>R1m$e1jYHxR%zWmH!AUz{ti!v>Dhkc5%Gj3@jU*W-s9>- zGl~nhD|LM}AZ~^0tKp?BmRC4SrZbi?LpWTt7^$b0z1UC0>~04X|Rgc(k+-C1^x1 z38E8CD#1~vnPlP00<}m0B_76VA#F}ZTZpdu@9`-aN(hOD+l}>2D%cA#H2r>x=7ax4 z(ddS@&_WX*>H-=`G2l9Dj_DmGC?sla3e>ye*7?wFY_r*hk_Elw+U9MGrEFgG%r5yB zO*9`1<3Lt!dp+A`qT4~jCJJ2xmI{i{Uw|tbd@Z~o(i720@@+<1C6Pi!8fut8c@}E4 z;x-``2t;@~)gj!iSrbl`QD{GcJw~B$LImv`j7Ge;=t;cJb=JFE(6D*kYY*jnxk(zT z*leEjfE00Y6Gk|#V2SN4=?dWlM^?!A7aN1Wfd2tkwb|u&cv1%9bat-7VRHg9WXu(L zW5~sjEAnhZ#%QN)2!D8qZOg#c^FKk#qEk=${3SA1M(<6D_%gL_Rlo$u)sx4B5*Od70HE9p_>GzMu#{dK7u)XTn8!^vu&B(SosL5b;ReUo=o=6JRZ#q8%~_n;~c|YRs?l( z;g>vgnjZ^&&AU6w6SX?Y&8`a?=Wa3g)zulL{LiVJ(8t zJCHLhSKUV{lj*vsUssa{4N{x8;oT_6z+5%K1gT|1za1bszHOqr+IKe9`?{Gxxg@Zg zpFmDxbWO*Zo`N|oWa_@*h>45!v#+9`a+uhTkl_1&lmr1%c^e$ZG))?)r~0NrQ>939 zEkLm(9p0y6b@?x3m#F46WfV}sQ0}8rmk|lDGL^ktc*Ml=#G=;?Y$ zwV?{mu3Zd6>T~iHdstosHhSGB`hJA5H@y*MY%^TF1y{$8qQIq=iLK!IJ$NWCj)b+` zPYKv{utppsdlJZG8tnOlQ2Ym~c$o7bqGKo~Cz@l^$)1fR3w)lM_^#~1{f&;Q6^lqU zS{C%o;-!eyntgnL##iy952@FnIra(aQsDDumBA%7_&posO{aJ<5Ax zPQnD$Kxp2qBLdhTXN=N#(h^EPSS^$u`Mpreiau!0(Is7u83~WAdPp^tYAhW4^35p6 zH18?K5|D^iLbZ}4Hk=@QV@t_KNxfvF&dkQ{FN7}1g7d*)BoJH2rT8k2>p3CX$>Pi6 z=pL^MUsO7*Tcr00Pv_nlo(|OO()?}_)c{weHg8kArQT4hFWnW22O;My_o%Uu z5;_6tf}mMve7Qb|Gl7{ojfdrV9>Ndp^*JrwD3BJg1at{g>qCDg{>D18A;)z#Fi_jH z&M3x3r?G9L{VkYzvrrITy3xUhhLTB?D*IB8o^62YC{VqD@&yyZ6E?t*_4XWRJafhPO3A10^U#ro*t{h-SfAvd1AAX#E6#VZmnXtZL`)QYiLV>HbS- zcO5aCPb)dT5NgROrC9TkIub2oS&z!MOn2_&Bf2&dKgrZg{;=>j;Uoj%BjJKW0-0bY z_K}S~Q>Ie9^~JN&*XquXaRl9~B~{b~j%`h#n=AfD4IcvT?P}@AJW7SnGfH*~km|fa zbMd04VOnPq=djAje^9@xg^LzCo!xg^id&h zU4@PJ*|P0Jn|rUUm%RNQ zjyFEXSM;`ij!({h<*6qL3ST`ZTZ{G9rGT)JG4U@6MBP82AT7g26G8GPNyvTt`1fRJ z9tN#+H@Y54owDBRi#{)Y*~4V+2WBC40UoK?qr7zg#x{<_IhC8B#s|j@Q4eX>JL%IW zMiD5A*F+$lR_FE6PD}b*(TX_6LF?)N6+i{`B%#ttAl85`09?AqSLninp^r+Xd$dv) zyyv8@2kjQQtF$La0~GsOK~tNCyd0IsGVEQFCfy z8MzUfV;T9k&Pbg(DU8#(iTI@_u;}UhQ+iBs?aHD>De^|)55;gGqdeQzx@Eq;3Ul+! zJ7i8LO+R{3nKQ_U=lkzd@6LycT25N~&bC|U5K!c=F)$oBQ1(Pa*mQY97 zW7Q{9hq|1+s_~;hU7ysG;&_$!6lO&F&hTe2BW90B^zU_&AvBdkj_ayqKjN7(@QJ?W zLzOPo%I`f=>8fqzUvi?-RY%1-l*in$pk`ffIulhWpkr#td6^H!3=on~+h*z2eUr2h zGph^ezokZY!VZSAR*)LX&#mc@e7N!-1Ea1lqCeq?7_0cU|EY`SyHgf5iDyNENc}kO9_^x2FqFp%Q|qr zPWQj}LZ>b$!z?I${;hcHN~(j**Zd{o^6N9Z{*qUu|cTBcl^yd?P0%PnDw-yBPK63{B3GH>u&)5VVgZSO*`< zQ%h-eqTBQj1QLb*o1n^)clk{Wln5z-)dIai>sj7SVHuXMoI+N&?iLSm`b#SKt<)!? zP;_7K*GG>lOLpSh%K!H&9k`oc&`KI8Ob)D;46jR(tXwa&2jrW2qlziX`ZM@chi}nT zts(w6v6%VYl2(8qqx^<+_5@&3v3SP zl2E0FjCMkYDNBDwzNw}Qu!EshB{2LmBWOrqn}%jPAJCi^&lq5BVpwll6X2d;>)-JqH$07hz>*{()Q_jVNWo|FE^NS#kzBjMdecz-V5(jBdPO5eVEmyT^+ z5%IR!`jXnZI=R@Trzifjbu+Yo(MJ4NXy*+0UcQqK!Dzn{r2hDFr9V~>OI}#6SSKk~ zW~anyhmjV!nF}|XjCGQz4iSgCbFqvOjg*MW8cm0G2o>5P6ljN}uN{)EID#vSx6Y-l za>#!bEXY@{(YBa4UBiA|+H+N+Ly~^|x!tD2@m#g(NO^8Qj`A9QSkI?#C=&L)PF*S9 zDrkhSOc3_|9e&D!qyH{Yx{C%eW0}V5m+7U=MWmzu78lMnPK^!6jV(F3xNT`l-(u1B z!sVY-x%{&rWc;(&$HnqyO3{6ZBYis>hM9{T?EuqfwF3sU1u~z@m`^8R)2gKXO`wCL`=27&ga@&x0+<1vXYF2 z)w2El*&qIoAQFtyeKvG z#1he?y!bPIyccEh+3hEBQgoal#L1Nt{dImCVB^aU)?0S6ZEs4F?3r9Bki*hW$!ztq z8#qz0^qOdXFV7E*=BA83O?@>Sy)lccH_K80uVFmSvS%(!qW4F<;)w8*U_MTzX9s%j z(KHP?&i#r+s)ql_&~X~o(R41c(jnlVbXr(NCjKQ(+#cMLNHhG6k(0-(lT`Cw!CQm6 zc=Y|BJgpaA&WB5pYX4|r7nbJtKd0oRHnpHeBvj_=eP*hIS?6F9&nHA!kZM6)`NX!SDLM<8T;ro1pmSJSNU;#;Yc{dD@> zA}jJcMt-uUZ<_;z)F)w=K=49QQt7ac5xD?Ym_pz&O0)=%jGV0Sk}?E(a($+xlF5*) z8YB-=Lk}a_;JroX@?xl#^NEW~zV|FDT7s~50q{?Jq^kwo_&qLK)n|D2_$SWl@D0YN zT7WD2WGm?Mcpb9jQpo@FH zpsnK>Oy0@Vl#PS3-f;`sd*Z`!BSz>(JKBQrHt#p+*wJ2&GW}^=Wl+9yhX2AjNr8gX zH_wt6sPoi)WCURhK3Wo}{=@Ljo?D*EAi$`aq-wWImSO|wouH8uP&0ipfIhsW$pEV7 z0$LZ_5{GZwk%{YSk=tpV{idGj{wKqil@7b6Md_4ll6qDz=$x~{72(j9*=fI`?{+r0 zA`KsU52N7F;p`snzdeS>8fjCYp2Ah_nysnH7CRVMxju&pvtk1nANgcYb-$eCO&aW@K< zH!@T*D=Fvd;EMAFjFL~W;)PZap}dHnz-k$PSHx!z9W0A6?|%X%;t^OaPYvBXOF)>{ zX};#E?_OZ8V#bnSx9_@m=c>&sQiT?@Okd5D-?`pU`0_cURQ_vbJFj?F0_XHpIhIEw zF-ZmLdZE}_eUQ?x{K0e06uD10(tCQmETS&m5uNy{*&tJn5G~aja}(9B!*~sWYA*00 ztLwjGV>)TjYWgYs3Tn&yLY$h$;#7;F2Z3y37ZbuM5UwN0X{Xc9pB(_8mO^Wot|mFwUiv33KjZQPLGYFoQQ`>J`z7c7Xq?#mNa-dt*ZW}bFPG-nDjp-1Wj=vAg zK#6w6M%`kAs*1p8Wh*J*+Ml-}SBUIxMw_Ff?Pn3F{zz7hf|~L{z^UIANse~sZI~p$ z87A;x4ZJ&0P_5=@;OcU{r#v0tH?G^*&4ju`5|dr2fU7ER!+eR~!wmWHTS=j+T<-|> z)+y}F+pt(5E;AwaX^5TWdW$ewL)59)m+9uN{-W*eR=zJbW4t6WT-(X_*{xDxSY{!x zUI9+kn)v1^lvVN>(b2bB(JwNi&(YDh68&8>`V9eBg$0sGX9LeiXpjm(o`|JzV&hst z`6?(Sg}RMp^7%BM5pP|@TR*FU?}+zAc^-T)#h=q2j0Ntr0`p^mIRsMhDJD@Dkm9oq z1jc%>%ZUMD=E<%tOQjpDSVY=ky$fLjbF=%oEx3~SRa`YoRs7Oco_ubVed!wLowf4g(x774!%^Z5J@lQ1`oFkGC zs!`wI?K*;Bnj7!z#?^8*&`2#emw(%rQ&H28NUp-^M(X1%v{@8t-z{PB_1}`ht6)z; zO^n(XND^w*#hTC<Ih7c*siR8l##--dK?a$Ct$!=ch5og%f#D$LPX9V+Y{4$g#%1 zqXW{oO~%zU{cMjKeJk8y`!OtY!C8HGLfUKVKqa%0v{~7Fk5|v*@!UX$B;R29<@uBH zVJmr^RX*eb@z1=4HEed_Z-+0KIdlB{>37U@EgE9(k3UxH+#H{+uOmgYJ2&`nn!ifM zV*M#JPKZivue=8`WWlhDn)N7y=CU;08;l746@0Z5i!vGjDEE;ZCgROAm)1t5InKWKYy36-1 zm0Qlo7>D&#QTAkSQsdS#cyf-q)YWjME0XDCo*{F{n?(GEpgLD2ONne#%nkKKs5EHI zeb}x#5)HX%0>qFiD=PH&hx7413tl zwD^MtS;g77Oz-buP7Wnq=B|=*ZD9B?y2-x?3{%j+@Lcsf+;qyhyx3|F%;f>XAO8g2 zI?DGd#2&ZWeTy7i-(bR*=kPB|33tj`l(Hb131ePL)`ZdbCaa#4!8O$uP6*7Bn)D%O zaYd3nQJT3uQzQV*=BR8>DiM3>`B`AtL%gYHY%%1uBzsmn?+K$FkM|`JP9-w(vdRK(T-s!~KLjfSxp$!SvruFdU zyYP+H!OjBs34tuxjsX zAU&t{N}B4=R$?&meqpe#hL!4XbD&{(TAmsLZew_Qo*Jkf8R|tsbOo(cHxXCUZe#=b z*JtR`knxK9ov53LQUAP-H|wy^tuXyf_%`#~>hloIy&0$OkQ||+1gTnfW|phgxx7k< zL%7FI2^}5YJ?I-{)DU-uI>xF|ddf$tqCF*20Uz54&Of?N6)ibs^N66+SCOI)u_TH0 za&H0Nh(ylL1w*&ep2LSt=#9d6easLo+>>gxl%drKpF_n8~%)$!Uf!!wbfJ`DR=r?i`4e;<|oU zW6tU#+1$I7LW^_$v0{03ti-MaL9E1F#Aw7*2%cc*rMrbj>z%fr;p1l-LBXs~nx&R& zrDpS9)rjzA5UPJY8OvE`D_^g}#=*LTbp?>N6(gy-8A&xH77TUJBnjJ$G>)^&qnmf% zNr2hc=-nK;h*n%{GZOU6Yw|uCClJiOfIR3OxyEguhd+%nifGr0l=}!R!Pvg>aRM0s zD?!f4o2D|xmD*e?=ZhW#q`i`cV>zZP4zB_7A#j=d6l5%4R-AG-*9 zKmLob*J97dewX;Ou;=qV4SNyxBl$>A2@w{%N>RSnd?uM=ZAk_luU>j{7a}>p$Ec3r{`s zt6B@D4tJjAe?lf<77ewyk68Y40&9ikR=A(Ha2~>)Z@Fu5&$4jt$E_^*YTUgo_io(7 zEchziDVG0E+|w-gcH9}3dn@k67JLQn85VvyUAEiuFUMVE(fk(fzLvWT_h<{xtGGK_ z@Gs(CY~gtxcculu7I(enehPP)1@k!WNtSz+xGk8KxN|N1kKlgG@}G-)tCiL)+?ke} zHYIK5p6X~LiX>*UP2wz(H?hk{%Z|wg>6FS&@bgDjiRDu$u?-z45TpH5?BS^2J%Fd| zc5BI)(Y~vA-Y1^fq@&Le8SQ1iT+I;A0eGshbnE4VKyI{uUM3629^!kT{w|X5ujSju zw}n$jzgVL0W{uj^Gfh0@q+V0cv-jgEM*}zL<*k|ex8gbJ9M4b0Qx?VQ9lA`tc2;kQ zON#?u5SJDmJS{FQdH6qZX@P}bTv`~jOkA?FqrBqUDXxcEvy^Wa*L3`1{mUX49Sq$& zn{GwF8D{bSP>lb+KEnUF!tC<&y;XC>vXx~Yvg{hm-f!8}mc84u zt1Nq`WpB6at=hKoSG>2ee&vzB7(Z4J5Dd9zMfu_DBf)XOouW0fPIl4^TO>n&gdEp% zA4R-F$+%V%^I6QR7?B=C|0+z!@VD`ISe`~j-!HOlTk!dBe{%_!%JOUaK&v_ovF$u> zh;0()5zJi7i&Rr?_}2jQzQHJ4>;vlYL!giXOO57Rb3$6M&Rd!D7yHvhi)GgmGr z?WCUx5Ss06gl2~Yj$nheV_BQf<}r*;WzNtBZKs9a5Iav@&b&wVIBqhy_1Mi_{7uB^ zE z<0r6nImQuLUMw|QPBlHU*$$~3QT93#o~pEo+v~`B>LCgDUdWQl-hWqEUy~ zXx!GwRj&gunJaSK{*2xQl!JOAHwws(5Qo9}bsO=EM0)N-UR{BdMSwsKI z+q8iZJS(mv=BZLb5X2{yrK{kLNQ+8N;L!|Xej~&>AWSsIYJuyOXCG>Q`_o4FDjhd^ z9}AR7nxGd#^5&$dPT2az+oZC#YMMqMxmK?UA58{qv!!I1PCr*NV_E2&6DSeRTSv$p z{$$@4yRU*&j&nOu(32P}j^*Xcv$4FK8YOu-)C6dP+SC|aO)xhRg|sR|gGE+Li4>T0 z=c?nRJDIuWAE+4C`Dk-LQXgRdis@f;ja?vz*V%r{I^|scBaO;!T;b+!vFv_+07iH5 zkIp!!Z?lB>esmOaMAc|8YX8h%=wt2qeY%JH_un6}d6L|bk33z&!=>%SAyOIcpaB^Z z9cl-?Y-lae6B=XRCT(dx6+QH@pxM?U-DZq%5XTsi;vb$8_8{NsadJdlh9_-9)d8lT zvQf8)Y=v)`I6)Pj(X1M$IzVGM*KH)7+?wHOPfhbS0Us&gy#OBsc=$rv>ieqGwYshH zK9IB`=}BouJ!nQdVXrAkF)H4$g}#zb z`EV8$p38tk4`$mQ0OnrI8r-j7Hex=))L>3yT3X=(li9>A-(vbUmJAEsX&W zTCjhOd4(6S6hVuHs+vu0V^uQXwJ7HB#3qD*e%kr5t@sA{-_b+9HOe7SRdldlZu zjRmz6?I1Z0W4OEsN-+$ksNDXn*ig6z3ZX#tla*R%JW?91>eN~aWp|bJj|g4pmCTAP z6StJFqospF0bMNVX0hxATllMN+qal2F*jgFVJ2XHgSiKDKSsjE{HcjM>i0H&?_nx2 zRhVjw-nVm8f!);L(5rCKtjwWx!s)vmdv9~B39UBW2gL0+-PNgGzeX{~QpcL`{eVeO z_@2nZl*mFJF0NBsUxw!eb&~%lL?*;=P^r4NW}F)BTb5$;+I`C$HZ)D1tnN}FDTl8r z9pPAk-N&*oj?xtFViJTCH1m_Fk_(WBdz-_T3KOm5eeb z<4wM!V^!F$SI&sH)uZ4N_TLW<^E{-nNBm!-kzz;M&!xR{28&MUpNc`Pal%uqQ>|4K z!4M41lhmRLZP9e+F20V@H%a;FEky^dKIcHW`?ek;eY6=gNDmerTe+6lAT1KPtgy>2 z8IAQ$ZKxjR;6Vsi`AALpELvr9qdKvT~G{~V|*6PlZ4n$#(Prc11 zhGgxwZ=zX~WH?f$xAZ5@wXEP27XAh}DLW3dyZIZ`W zdau*XgkEY&!3z4L&>w}yV$?as6%J#XlFo&LD1|b#gzt}ieg*m=YeB2*CusCEN%FWA}?P1H#r*fHEkZ5N`UKsFz5ovy+RpzLJ&2aM7yBN}bC@SEuVJELzhwJ>Ta58z zeves&F>)N?Y0gdj-oogCHQxCizhj*1`5hslL*-}ifgFc&=8%#8dvPU->#~EtWiZNO$@t&I`(nA7p|&3r-ig!X zp3I>Q4su4xk!6n7N!<}N3!{Mc=iA z>ynWEz_+!!u^fH`j&dl5u7x4foQK^C^F4l_VNPSdY7!oWJJ;?{oD7T$GZAwa2Da=Q zl({Cfo@Ao#S8&4}?f)m-nlWnu);?5_L7fHXW)O01dBcqy`G zK0~COhGk~RmSkq;!MT|kI%-c6)s72bda}y>!Qy|izP7Jw>D~?u%WNwk`+B4ArbmqL z`x|-J$E?Hufb?$;n`Sch2+UQOi!g04(Xd~#9|rEXm_K3GV|HVj)v%C)7jUpTH9gD( zMtHghANbhBXRFD(CO&^NIoQN!Ek03Jc7&B3n}jVV%;M=eL19!+X-1ywpKtQFtLAS8 z{O#I^FMN#@ZH(Y>4_(*y|2tOK5dhfKa@m}ru1jk|*AT^IKPW8EwE7J_E-~+s-9UG7 z7UO`NYZ&iP-$-{oTIM@`P|6^)7}i+xpil8PRo7$+ehxy^slO2+*7f^yyj4(k)F@>Tj-9(V z^We*p(MxBc?v7{{Dvz33xZz0iEI1^U=}f82ENmk}EDOVdl`K3)++gU%g2pVwc*glq zftY1sf2|R_o+vJ-yCB@0C~|MVHkBJky#SUh)~P=)YLePpR%)3#wdQiwGI{TEv(@k^*bqWva(=r>GVORM&FMK%@#Vf!bzS(3%mbf`MOu4dC z-ABF#>eG~0!tZb&W49XxI3M@aLuhB>9$yHJ+c)NYPcyM+s+|a)n2aT=yT3PuKyWSk zS7ADaTQq`*>1f=7*8Dm=ZQOlq+0Y}}TmJ-3#ywpyuZTMVEJ$KFw32XJ;ie0iOrM`9ccas9N|GY)3}Gbf?>Na?lzV?6L(t^q!Z3|HUUQDmXK@< zJFpVOgSn2S3p+;4wGd+l>zSgi$Fz!AcqcO)NdgDYSyS>xKu(HQASmOERokzZj8&@d z!-A_tD;ug$|6{J6NDw&G5d)E@b^}Ce;0sj0SbKXL zFzEw2fF>9kcr%rPE`tVsZrX88(&o9297o;;@GLV=URA2GEUL}CpF{JG!;LhjN<3(} zz#Eet$@%tJ{+@0E+tPylkH><|Q*FE3Y?EN2jkElEV9gJn z2{Q_275oq-)Lh(F(ZpkYmR{Vdf8Z4>r76Hm{Kdo%h90w05TTsjcfLsuxil9`x6E8O+o>gYgz=CX_ciD7YHSq`y6$^eHknu?o=Bf2Z#U za5>jkaa4H|&G}6FvNj&KZ%eK3aKeJ_o-0CsBe?jmtRtMue~){VbGa;rLr?M}=fmC1 z0@Wk6ETgsykxNKqDSkOHZ^jZu!(WY(}ADP7Z9zaadDjMaIaQw0h@rr0Kf{PZk z3&$^Z#xPwkfWru}=3PrcQ&#y7?6spQ~Q7D3CKNaCtnOo zlhnkC(VCSyLr9Qgk)Nu+Yv2AsRqV;(OD2w3a?lt`9D$w(!7>?KjSrN zm>*N~rP|y&7R+D9WVw1gMNb-Q;}a;~kd?Q&IF`K)7RYYnYF+%Oe^K>pPfEQ_IN6vf zEe+WQ|IOuLJMzIA9c7Q|G3G#_qtpahomQ&wMZ!LBvU(jXp*`Y{K1=C~#+)#CpQCSN zf}Nd@(eA9N$2?b{?(-O7lIr!89Osio*6>%FJGR@fX{k(>>llOj1n|j;0(4CZHc(Ni zdxtzy2iJcHJ%Z#kb0NeJ)n>eEqID;liB^dHS0cp9g`5*lZ!)< zM|k=;*MDrWAPdwM3tpveHf4b%lP}4{_%52x!uOd>zxP7T^dxmHAmJH5%khyv;rLGG zK~{2u&q+>m{1BU=P3bo|PB-!?0kMjhOf3=Ce~f1^bm&G1hzjBu8)N5G7|9VFc>DawjB9UQ2-opKk!xp|+i)Xx@#cie(xPx?5|`QtcT)fbh&`(3pH6TV#B zGfnq};vQqVJBZtBx|7R2r?PEoBV8B1q)>HxEGM!H6p_UT4-U>9H{T}tGVkq~veio0 zDLGGc7bR@UG-qfR-Mz0a+4-<^->jMc4*st&$1n+X*|u~{2BtS=ASN4=i^;=G!bpoR zsEey|u5_IOZFrICaaqjcPSfMm;V8s}^2dp%&uNB75%|QZCDQkVuaCM5>cdykqCcHk zAMPpkyn3WfuDT0=;m}rHOKkL5)%C+pHgUa7dFtbNsa65sBYk^{Uedf#uO4QYw~AYM zL?f}uOU$syl*>jzFZ!>7BMGjWb_Ivbv>A!CUoTfp zmzdvq5$~ahx5f-nUC5gW9Ig1F&&gNlyW!>~g3Kc1W2DH@%e0a7z8eWFUk)kCiyS2+ zVvA<@{yjB{FC>F_v#>R>w8Hlqt{U#AYU9;j*yump1ii>rb5SfKSDJpU zTs5s?e%(yJ{;rz-F~4M!-uDq@vz?dL*xecAAe?5#ke8n7)t?y{t&)az{*uT8WqtJk zO4}OmVxwIFF&Iy@ZsE!7ypFO6a6bIU1YH|`fUWJ%X4|@B24JRP9>E-~=h4s~u`#zc!1})&g!OZYBs9Oo zhfuPOsZ(eop6D4&;Cx@KSAQNdLe~p)%kD}l-vsAqsG zT5{a(9l-+--l3v~(R%@*2WPQ@s^j;|9o1K@B=ZvELWwcg#M=mPT7n|1QbIQgGSH0G zXyg#A>cVU+is;}HwdUYFCEnEnexXHSf2guxP9KuECqo7bwKD)b+GA2xlPmC+0VzpEJr z(K{Hr)r55{=?$UHV#qn!(4b~9elIaD6E7)Mho@Dpr+=>J){ty9Nv37Kq)(Gjrq5}& zKA|C3(g}T(bxbHs+mMgtW-tw@PA&Qgs9QiQEYQgW>ucF+9Ih*Q6HXB12*}eKMAxun zPlm2xgPCc^nDk|xW;xY7$rdhb^cP*6RGcL5?L}vkytjbQ1kv*@b2|APAmQxBD5AGB z+!rP#M42t~xFrI`36$t0^a7a10P&PGIR7csugGdi{XH2Fd&oN zt?DEC0dum^lF#Twh`8QM$&@7g3J}=QInQpuB;$j~54~2njRwnKTW+iPYpFlM)=T2GFDK7(z~$>1-5 zey6LFY{2!8E(><6%x0+zcSlL$CTV9uF8P$jv{|IHIaI1wV7-CTM+kntNIi>K5!8A{ zH7UrAUpLFABz3U*e=SJli%k|g|GgBMMn4A9Sbe=w*Vo-DfM+oD+znD+OI^ns=j>_( z1KpswV6%yPTbfU7;-09og-o{jv#ORR^sm5LVlRuAqxh!mS`=p%q-PbRc$0VluFw&V zUm~aInPN8ezZd_dR=lZO(&UUF^N0hkwEa^Z2Wy@wlqhXtpZ7L_ls#>Iro{L{Zol7= zAiag$eiuFd=vPB{!0s4*ZmqbmhcQ3XvzRO#x2S^O!H`|*dYW>RWBqeL6I<3lG)&mD z91v7*1@*BC=%XiNUzf8CmG(>?U}&K}Z_ui7OZ7B{Q%_cqBFmo_ZVP3YrFUD3M@1b^mLan1wKc<$G z$_jg?Ie2VS|M^O?hs+fF7A4QBN#JwRg;c7ysAWvywy9UNLrt-Qbq|BSX___nQ{<%v zV4RI#>TVN|i6?s3Sm{<@wHrzrVY{E}rv_UwdLzr>?wR$~4ZIJ~sGwYkoNqdBQJYv= zKqop_&FyT+)q9mcJqIdkK`tW{vHNbimXmFz$$8osd(4y4ro^o;HT}iUV1Ox?ZahjV z3(s@vZxL{uJaWFRi%Cy3e-D0^9k(P7u`R`Xjd=*O5%ZB{%lBT)T8tVD3Q~fyg*8;8 zs#9MWl^bX|5jBz3v>9?O<#DRox65|w3rc|UiG{pCS?sOBYYq}pfH~~7w?>W`;pQyZ z-WoY+gj?6SpPY+5hacaziSZ+qTv!rF;m6~Hk`_BAg9rE&n%ca)JI0D(@_kPsOCV9r3~2Od$n=A5&wczY9D7Db>!%^ zAXDF+C6|ZA9M@%s{d$}E=(=#x+mi1%zQa%kOH{n>*<=a5N39u0MKs@MC#%V}ZP^aH zExsrw$Kj15QD;}z;7pxmQ;LO$`oFI-1w*mxQU0v2cFxiU4T+)X~vwPsAF=!6Lj+0?Yc&EuM0YP2gz*f8V=1$cHPn4r{Ul% zd)%DCmIomP(A+;|+arGh$ktL=dFsMwvgztp6Q$V?%Jzi`w@AaqH^TLAgkvy-|F$5> zbFsU%Nq{;7H+d^w3x;hOH0cbgDju}cXiw6iur14bUNJJ-S8#u)XwZW^A@ zV9-&h4u$RLZyVtjKNyFiKdAo${Q;b%vfN4}$(xoX92y@OqXGrIO_QPuA;V&R(fLw_ z3SMNn()6M7yYLZV=G){;L_klsYHS@-k7~)IbNxQw*%U8w|3gUoUmNYN(MklFf{6FX;aa&-Q;P! z|HGW6Eg}O-N8Wd4JT&mIQd>$SFykrN0k!KlLVa8J)06NDnU!~kT4=H-sjQt^-WBLE zHGzU}>4a`K{kzh3zEzLuYQmj};w9hG?Ix8u)kJ`sGs=aJ+i1>`Y%_*en&7vp)mgo+ zg-`n%Gkoas&Um!OuYpZ5cAIc;kk+_j9iOaA}QSiEaTYE(1L$G;{8$mgdIAZ8vgT@X&a?(Z<-K z$83h17CDL)f%FB5MFZP-evqz^j|Ak~S_c7bO=gjF3tJSqjO23Pcc`D1&SljBw2UhJ zIDeU-wuwWbF>h&?P0~sY`#b7Q{IZm%1sH7Mlo4aShm9@~x()9Zrc>5Ue?5lDd^IFG z2de31)C`Stg7Ln71`chCZCSy$8YkV9T~zXQ8IFoO5d^Y+12oqtu=(v9jV>ghVrLH7 zSzt>PVQ!M@ItJ3JN_H)7H?)6+;q(nEW~gPDwP%m=ivFu>fvFn}`a0Xs2 zaJ;LL#DTl?$8)&7BljbIM-;I8?N1t4=Sa+~>c#uDatOV%PGLAH882EDO7r64s*Jp3 z-NDN!N06FP=s{T!(-~9$vACp>PfYq-8Ne7Wna(xEX6DG0^r$$Toc2QVPTKni@az4Bi2Q%hJ(uUX) zV1gKXn<2Iim`gENV_cYg%pI5o7++nRWxbrsTL}u)%DG%-ce&2xyG?5^mZ+Owz8^=Z zy9R3OT>iZo`X8)5;&v{tlW;jt#ZH)WQ-?PixF1W8BoO!*9oU#SDjtXM*h{xktrEy# z=rcYK=+6@pTFK|Z%O&)C`P4@ab?6@Xd@+hl9hA=}VxKkgSsMK$DIXr;#o%!p9o(nB z=(6k77YI=CnFdRiSAL#0KZtjv288Oaz!qix`b z8o+=Bvp}NMEQ!3GX=H&?GiU=cT@?R3)8E9Q)Pd6ku@7j~dZ}%?*2~j5)cOb84Y3_a zH_aK`$+$aVF2h`l8Ht&UnTfG#{b@lVwSE&0YW@4B^?~-L)_;T}ln|};veg980W7Q5 z%klZpH~dJkR}Ph#NK)ui>-%lK`P^!KZsK!q_cfuntna__eSq)ht?w83K6t|#eWyau z{oV@KLV;~mBefbIwj8zl331OAxN*4rN#SYY7Fj1GgvXmey#)xpkrH{DxU&SP8?F^e z;VZ=5)^uMa?iRQuatHZ1Jw#(oHY2wqhy(icLTV+2AXPV;sw;ijFs4kgD%^uwCb93Z z;LUogt8&?3skfEAq2fTa-VOk~P5k8p?65%o=!PRjUvS$tdP|k@Qs!wB-mB__zTe&a zsHZlP9ulVYxwy7e+g%O_^Me^qq}(zm1Xk z9&t@~`U+J?<;td>gQzk}++26CrqwUJ%jv}yDvg{V1O^JV=O?hm3uwwmdTJL>_vr@OvO(n5(87d&Yb!67F(^ktH+VZk8>l3@?4K4vuF zQJEGkGVaMZhomduVnu~GMD2V!(4;Bs(K#ZKh_L_by?bqtD&4 za*_T`mW4cxz|ycRPI9)=y)DjRV@;!O2!hV2h}oB#-#-^eG0nB^$tc7s(YKR)+bzBB z#A)j0#cYQ$2Kgw00o5D&;F?H4c~(B#R>Yh$#e4^ca*-vSnY*j3(i|7 zyiwj95p#WN9v#ctvP|%iB~)`3Es5AXJz0`jTwJdC=Wl`Jf)}Y~Aj9oaK3DIoL>^inq#QnaYC(moc@C^Q1YQq9n>`2@}Ov7M-xZ_d z2e1W0Khh>d@q%94yt4=>N$F^pC`WyLBqc7;Nn1Cuy#77-%IMynlgsMm72=Yc@f*u= z`SnG==j3*8d6j%8l-GZWm18g8*a(spinowi4pr~O;}^U8Z@JHLFcwkMTmYkNxhyV{;senQ(b$}?&OepdNt zZO<())As!GY1;Obzshk$f6k)v`HO&7B=}-iE~m*aXv22>SS>~CC)0O0e;_Fan>Y>^LcSjLhk1G$<)%U z1>3Kq!Uol6SZE+Cdx+K8Wi0KUlgbjS1OK6%gQ3$GOC4a;NJp2(^Grv?{=!NjCCh%%n|H-n8u&wr#cz(2&M-r=>vL%IpVCZWGTvI2Bua5-B(IK0A(8H(C zEu0Cb<=c*(zrZV>`kQ8AyByO`R(^qDX`+jt^ybJ059>|DlX}SM7EQY7`Z!*A`kbPA z_AX}se;_Jz*7v|{WVhrv%Uj}VZ%fOzWgSDC-J&?#lF3FvHfgHCztx@Bm7-oENsXHWa$MWg1%jY!V16on zVG3h$i|n{cWTWWolc%&=F|mkMnk&I0dtpz~KQsO@oOY3uBOr(fK)bxRL0 zgwZK#nTH;iin6pC4s{EB!XJ*wqZXPY1B~{<1{gP$99hF#52JmV>6vSKCW4VeXqBoX z7|=u^diV<21l*^^3c;LTb~I zXTA_@P3m0q-N9==^mJQq8y6VXv5WTz??-_o!?1<7m-a0B*TK?Box@+Rx0TLq7rAn7 z$Id6;Kj{7LMz5Tz6gf@59sWv~;{Uu~g>(Jr*2%|TDIH5vt>a6_lGL!)t-#eKu()-q zbN%fdOUHHg4SEt0sDIFtfyK@)=x8?XwfEbBK*DZZ9e!VM8lzH9ZI)W->0Wfdv%$DI zZ9($FR?ba35*(2>!N?~;vV_vK(v-Lr+cjS&8rK;&ylF4GA<5H{k{TCRI>i}pjItNq zpQK~AT9|6Z4xbF~wl9d+R$+Ji3e$?ta&L=SnO6UJ`>jFe zCZtq!FiZ!nvYhDu+_=WLnq;q+WcQWcMzY=FjfrW+XQ(_y-gy59#zIHYvQ`ZXoeNqz zH=RuA8|eT6dm!Lj$v|A~3WrI69~%Pv`pSrmVVsNp{2Wa7qGd_VFtrC$8w*pV|DR;M z^^oJw-+r5(kAYy1F`+3bOC3c^A*IcNWap+x!obLRJPr~0oS)+FG%?$lsoVS?>zZCV z+7bWi!0ij-S8RWI#b!aO`E0#kxpx+9u!#s<%fQ&C*{#Z$01!;Kq3eVNsqfVrTaC}n zyInzm3_a^rQ%KW<*CkH9l{uT<@tMkGQ`hDH$^@MU%}&V!)9awg1|z+Nf4h1E)OZ;c z|L=KF=l?)0Fr%CE?Pz}oZPMSZxG>t^1=v55hIc|bI^Ff`VhR%LTz(IrbS{(Wae|@S zyEk?&Ka01f5ihIj&(&RhV3d`@{qQt~_d5%3oW`5f9PfD4M%4znrU6H z<55TT-4fY*t8KFPHXt*~A#QNkN^QMCgK62Y-P0{pEY$`voV?+HNR>XjR@NFtvfi7| zBF^A`2OtsMfFkUiR&y?zhLZ552rk;G5xoE$p+g%OZ932>6RM1OWGB3D;X2{>))|Fq zTx^%t*UDVVMG8)Y+ncQ9jaNR9rPr%13==V)Wb5AqOwlmxY%eyuA9V-j@u~HGh4TPTra&AsbI9gu3JQeTU`liQhdM&jOpt@u ztqB#F&g#_69z$&6F$|Ab-8j#=>BEFijJB*GsT=HoFG0(V)#E!${s`xyw51Xa+R`r_ zV(Wn!jJX*z3$p|RLB6f^d*ytKu1_HmscBF1(2ME09Zw-L&wAU~^!-~5RBljP@t-z5 zO}Y_TGzof;Ad{?x_e0VPB>xWPBg{d}F^rweo=Z}A%(?W0vwlKMxQ7YUm|VC6K0iYS z4M9XYDG(!f{~O01KdagQZ(~p3|KG7^{DgDI@7wG}OPK)Zv2R~O$4EQqjC{(E*+<4z z8)?QQyT6TpvK`Oy#x0Jb`x)=gWBL@i#~$e?QxF|bqBV)t#+YpPr}-z@^%$V1Orz{X zT9~?kR(H;vi+wy)PTTZ4ThJS$91A;23SMr+IV1@kA$%UwtajEki`^3BHYuc$YnSJ- zx$8%l>QBl1nJ$Pv{|l?nm+d(E{K71Hu3|jPSDtvt; zI4+ohe?oHpkh!z(yldXfyX4lI%_fVUt^}ldrjMTK_KZTK;cWMPtv%Cko_*)6=%>Ro zJ%FS8bLZ#W z4aJK*cG4`OfS0YzAPib0OmsCil8k@LS)Lnb-na1X1v7A2F{4-~3C@=J<7eHyz?0+k z2>Gm3Y_|FMJ5ut;-Ypz+-3xUGueg&_D|F3vkyL79h9uB|Td)JJWc~|^0FC<)W|8I5f z=9z_2%+$G8e+9RM|F5`{U883gdQ3js`~EV`68^vA9Xi`nXyTpnD|jXRf5n?7?T;QO zMm79+_gC;s`2ULE>B_l#-u%1oq9f77ckK7eJdtpYUE1WS&i_`Y)5^`h!|595^^9{< zn0I>@+%dDz^DDR|{C}mpjcepx_fDTXdj_q1!F12;yYDh8cK-_gLl*77rla@O3Fz9L zG{iRO7I-mnh;1<@F<(l+s2F(VFY`yjGvUv#rLhX!t@86{(f`d5%qxEduY?P4ehsf< ziqI-`(Wp3d4$idxjkMPi)_@Ut?62W`e(Dh0Tel6dy^E>B9nVPA5Sf4b5L*R4t@86` zE+`}mR3W26(nxCRB}AA?h@(RrYx8VdGyTldQcShX3wtwZXL3^)3gFtg^D2<#V8&qL zAT;_{VLFCS#@}Iif^#>YGcoda2s>>+V}8Z&B!0rf$014Tu7@1_+_-mce(lqS*jft$ zO+;oJv?}9npU<7knG4)J*fXP%1CnOa&j$&4DVn@SPOMzfgvK)5N1A+Z!Mz{Tk<_9z z|F^Na$MnWBD#BeogSeO)OciD~rsHqWEsbf+i|9p92fH0rzV(r_lSsYm zJinRk+G`iT6X#G@@-F64dzH)_9=e3*c^n`3O;Q6NZGQh|oUk7QdN{uzI|1ah4 z^2!bI`)%dkyny3Q-%@U`iB-tFuGFF5{r0*}Bwl0mf2phAwi_v5V=c5W-L?mLe(uLi zyXux^!6Mb>X)!ELId$i)`9)nB5njM2LJ8K4C1)bswJAD;(`YP`9 zWiLkvpptsXhU&J{cE;=Lsf;qP`~QmYTnP``KEuniBmt^$8;l_zi%4r2OfWI`xNiu zc^B-2kMnf!Onnplc+Po?XBXp-XDZJOo_Rd&JO_AV-J8vpmk;}99E6+VHRk`DY}Gy* zUN_OMrK&F1A|Af}i~j=q&%_V-A7D>~wr=L}=P~_uvt#+X<)Jgu_z%c<7w-CZI$`tp zt){GR;~lwCSH^UH@8fy&X2#Ys#?>E?A^5%PkHbR^Jj3qFoD(&P%>a6LsQpqZ9cx~m zfx~Yy-i3?)Jx16${Qft5hCB?dtYq(i-#d6V^Njk-{$&pWQum2=WlY(*-%GcJ%G&>* z;zg7H%i6VjrIYE4$kX!xWF>ELHTKf0rVSztxoE_+nJB}iUFUQ-Rxavpu6^Qn@4kHC zA0G)dpZmx)Px;fClZ&2jY~G%D=^iH=Z})kD9h=(|v;FWyllS&&rxdRyYW(oTmApOw z{PUNC$84TOJeTssc<$!8pGT^>$wje$+_>lb%3EK!^4uGSkNa>X;i9+9f6eZrs+l;o zrv6Plllh)+{aeg?xIEpiKjpifNAif|x4X6vw|b{NFGDko(@ecny}~Yno?dn9jXAF| zKk>el--fNw6?yOCeL2r+-UpCAEpHWqfks||C)1`beAq$u1!evlRSkpW29j4erSic?@GvKxQdDS&DSgu*B?%m;MO8@MuPRy7 zo65(nU2wj66rdRwpL%y2%KnPGG0BC2oPX)*-vp&9$2@C)!^VB*n!obxU3q#DS^T{z z@9td=$Z&wyc0vCt`31}2SjTvJjIC+5xHgzK+Eo9@(G_DO|A3+u$T{taI?X%p00nH( zcTudJrsPzWvm%$DP0qXFb>E(>8=1?gWt|;9yn=g%`PyneRxLMk&CFS!TlnFs(UE`Z z5MAJfK=w(C)>Aq*%rar_(0I`%)Mu6cCREd%9YoVf{YmCNWDOI`ArNDkxamVDV-_}; zj*Bi4sFo#kXR23|{oqoHSl*vGElWp8NpBvymJ{0JfXO(o$T1(Yk_k4(zNAzEev-Rmx z!mii0nMoO$Y~UzYia4CL^YiX#j*ToS%PFltnL!#ISrQxRQ%y+~Bbl$)OtG-oE&_?0 zj;}d9P*G4hHu7$8u7LXbV`T~B0orY6plmR^KTQvm?Yu`va&IxGa(82(#eLsM3-r%) z7@NJriUy;!t!8|FDAZC_kQLj&@y2`;17gNN*&y^io?{le(zxsysFP$ZLkrf0$1M49 z-ocd+EnnNrMKqU*QvAp9El&zeO&t5 zW^#c@YmC=6t;2r`_UEzIk)ydvyTvt21`L}kfMFqSH5;jA1C9=$g2GZ$)j|IDC8C(zv(GVAv3V?6ApjO2&1`mysHH%3r1#%#2vje?wwl-UGK zj-27GJQV3(V@5!E=gY+_Mp3VRAr#v=>w(V#4&ctazC2oKHdOA>?B+#q4Cx8vyjXkL z{MP#Mq5^N&ROx+-`Gq1|1+c~JQHM3xAHzWA0OfcJ#(7iZ5SQ?>Ji%;LVOvd4J}kwr zNmcGijWLm*Ksxq(9qtUO%}KJ>VjMuNTTD;jz)rgJdNEz;#&9N|^NFC?@;QO;E~H*F z+er==6_aL~xWWO4e4HMy z19+!*F)Uiq#)iMyNDGPlHnFaChlFrphF@bF8!Iote9n9I`Nt_bS)#n^j%{We)qsi6 ziE;Bdm7^2$C{&SK%tgIIA?Eze4fF9NQWoTL)7QYxfNrsX8s;)~(g6B708As^O}?*y zr-ftv1;rD^jP4}P4mxxw3AQqX>SwSOJO4u*_S`vh@XBKJ_@3EBdF8w0OJ6E3gCER6as+i)J_-M(^cggd)VXeU98Ni{^qncEpBJm;xT^D zVe8dW+0o165H)QpCW<}m!^973LkREz_KVFkxS%nIQ$kSuQ2>xd;2*veW7q^E@!T&^ z|8bnxaj5+YRwhEZIw&r-#lUB|s6fQ>hR>u=R7DRQC&N(s1wldsTz)GBd z5k4?y;Vg&a|CAXNImjzAYph?xWIw;qV&cmBX|Ac4o1DBo^d$nQD_3`kLx)=el)^x8 zQOk*nC*J!^C~+jjo(uA;_!MJHRU8|X=L4*@n0WQ834m2tV0Zh#GI5{b!Mf+)U_EVP zJXk9NSf3Nj{pXpm+;KHKO#6G_l4)=+`pD^CxuB+>1^{(-DxTRi8ZZ3EdMk4tdZS`T3z8Gs%`N*6rZf?i=kd;NMJk>B=D@l3D(bv+`TdJpZ?VPB*D zTiVp;Y*~y^OvPj}HVVVBaCB5IQTb*#;pri-C7a{XZovjW-30AVqEpL81 zXtPCRb^sdz$o+3H7dsX-w7t34o)^t5yRD~R_TFzO`-kbW!z%krf!)9CuYkq1=^Ok_AS0M0+N~jq&i<$UCPrTio5bSByBF z+<;(gAsk+XpUN_PV763#g{X%uvH7hyUQe6(JwijvD!+1!P3J@52iqIZXs1U)kpt-` zyZUN0`%?ES3^xv2SLnq&-_6dZtVG;*4$d;+7|Xr9+)%sOMchi$I5}8Hk^Ja^u|5{w z6xV~r5V!@~7qCp^Evap|pXz2iZ?~voIZUYW3?sCVDc5^q8 zQ5$J)QM%>QmB25b#X!wZ+Q%im+9p-Jw~jCuD937><6^rS?4!ADE4BLvY8I_fa+$|I za_u$AoS@_lN^U-VZ(qvv)3+^~0`H=~Vw^KoAuBD&3E}S(z7#~bRx1=KG#_Ha$h@{( zRUzgfU<7l*qV-xhvaV#_>u_hxswVoacFK;jQ>DYIpM=m{Hlw|MEWh#^_xV3T<7qnV ze7qd^OV8gC=svVMEPyy^T%}I*WM&-F3#74`4>yhKm~7uQekM6&mT3d$+8d2ctBVVtMVUt0OOmvoX%>S6a0>Amo)36Cd2Lh!=?`#ef= zXr(|ao_L2jlcU|0p9}pgDU$i;Xqp2fpW5pmV;iB85$N*GyMrvf!uk;IIB&&IbTXGw z)ztksT{(pJ4%7F7j8%98kqX=e_VL8kD$?EL60{fm!*S}C%9Q$j-@A+)x??ZDQ)rka zdq9iugAsrgegMBV{8hriu1=$A9N>|$gw9=)g0_B1*tT2w!cREBCTvr}x#@)5B@OCr zhNY2KHDd9IDbQ2;~A39ax)bFIdw^hPZja$!$Qtss=)q1O8n z$!BJ`Y-TPTC{CK*=t82yR;DVXQtNffb2@%0*8w@P7B^Nsg;z6uZZ%(XdGYicsBD-A zx|Yohb9YIfu#Q%~$aFAYeIQ+`(Mx-3beJP6Wv%o34s3~ST>DNJN^B}zslOvu=9p(* z-j`C*k8}x&R>7>Y!IvomXAN(dj~JKL(fZPy7VNM?Tv(PP^^W-CB`49Nhg{5Y(ODnt z!;hNyF?6XjSIVir>p0KvWOtExU))=0qI%IyAU_3m(8k%5-Kc#I&KXZ669Eb8EIPHQ zNVl8wx##K*J z%#-YDy}ocx?PI)ewHN0$8czzh`{IbGWAC5>W-Y1=H$)L&o@wnrH5E``1>ZGCiCXa%yK(X!EBWa8Re`hYp*Yj zjfTorvAkA4Z-&HlWy*dO=jcuMqT=6@60C0mcQo}D76-8wwV!Kekz9BYC8WBmvJ+AB zS<>r55VVc=tF3GQ(WMDB-(4>-*k{UU-|4%&UdqV4bDx*_SqdTZ0$1ei!ayyxpfA;E zD!d`~;=qluwRqP#<+aKMY`IwQB&!RKKcCqesRK7@h zHN#dzlTp-yuWTk3X{>f0WK}U%lvzz+v?`~is)XaaR`GQkdD7ylZ2_MbwwonHx~03A zW)k8NweOwcQ#+xotj%peP5b1i=PRK0uSu)C2SI53THV4yj1J^KCe-BawDXQE%F^;u zV~cgc8%QI{ILj;a<{xAf+AyaiiyrXT_1~je%Q=4Kf6rn;fL}6J;d4s5a9pC#^XdQ> z%a}Ib%UnO*4q)QUwG_ntKfH>Ya&87Kvf zu-7XB6%0kRGvm7=IOiZ8QH9#W>g4k6Q#<>g5HP>1lG5RIgM%m1q{Kd~_I)wV#^z3;X6-+_lzm)(u08X{aN^jzsr@@tlv9c-SKQp(zXksKVf`_U!%9QM zZ$m3TN2I+`x?FBUJ@a{L^_t$skWmU?Zj_vv<20DD=CbM>Zo4lJho7s@$^TP9JpV5R z@y0Jh`8OX1km~W{&NBbU%lT6#C*RQgGEQuAKP;v38&eKS2!xzn>~r6R!$W0-E9+0u z*RYkiwAcUe zBJN?I+UdDCS>DeR3cHz~?8p=fztTLMhorY=CCSYIW+4bRx&Xz!eGI&SR98@fWXgfeORWEi@=uxCc2IS$ zzI=MN!dqw`8eguR5-sh8iR*Spe&{dSY=23u8HW$*mjwpbof6ex9sEYnY zNN2M(RFBtO+&qO+)j7%ROusYCUhgO~*SXeDCigE!#L+FMavP(O?;eY0w)YDHp&ZX^U z(-x4nLEB-QA^U}NIb-6&IMy%wS)5RRcLp6vU5bmEy1thNoll<(b1*iTGGN%=%#Cf5 zklP_iupsEe5^|{zb4edhyvTs^y2v-Z+O_{cwgUAjOW4jGN$QMmT2UoN#Etm`oIJ1hnHPy4uM+ zvX`;dhHrbNnH<*2cA*LIXzGN=r4|pr>`}Sazoqc7MsfxM3m zrj4oDBk_K(+rc`*`#gY8pIvm}-4fWbxJ?7U@uCyyy15goqi!W-q&R=?@sGc;Y6+TS z1#u{=-EDy9+6Cemrrl(c9?_bV&a{R#XiD7Lx|K?}<+WB_i2LIU%}Dam*p{iyh6Lpz z5oP<(Q2vrPEGDg?{KcEqW<-R{T_iz$v-s&Co$~JnyT7;QFM{k<{eWMF$^n+ ztwE#a0gf0m7x3sm;JX=1@#BfqHps6L_u`55HZtAD;4S}gR!D|%%S7MY^_pe!KNQI6 z(26krQwGMCk&TFbwv7GinvSJs_!z<|+@6e9A*|D-h#}VHOWn zt-}S(Og~0(~ z9POrol49E%KFiX*WeTw^%_#X5UWhdP`2E&C0qvW%huMR!+j+Gblb4&X9UiJNjSSZF znYZU~hy!G;zQOKZIe8APYzPZ!PR7j)rAAps>a*Fw0eoCYdd~00>~Z5%k0scoFM!{eRWWrc<7^{HMNr&O7yHvI2plVWM;L8;%7#l2Z9j2>A>sX;BuN`jQvSUiT(K%hg9SK?zPq+NQ<$mLdf?Dh%siyA6 zAk-U0UUS)o(+HxI<&ee73$`Ko@LRPcAX*JH8wwjJl}8hso{th;e(Hae1})q~u|nf3 z(1<54g|}pkzlVv$-HL1e;miZ^#F^93g$L=Qf zXcueCWR>P=j*kcbrpjGT#BV`hga1hx;x|1t(Ois{FqFsM)c!mi*Qp}r)#(QEX9+dN zAkc4jjnRgSVYrngC@O-5nEe>SG9WKi**-S1lcXvs!0Z`|S)0kTNS!34G!1s*%Q&rZ z24{QhjM9NR25ja`54y|hO$)VTom@_zbwCi1=X=lXRl$d zfN0yyJz9>^%3y8t2XPK{}e{AGW<7Xk|arUv${No5Sb@x(Pu7)b;Eo#h+3?SblQ ztWC)VOIl9AE%f$VMA1b!t?$lu%g2o`qBgU-C^sj0_LHqb%=0#T3A>~Id#Pm;*8V~1 z*?zyGB=+|ebB4-F%Up|o(&H56R|O3wUZgUo2mLUTNDZmJsNUj<-!qA@N|nyW(gJ&c zn$Ft;uJb_}7MZFs3sIN6kPeNT!z6Gp^vqjO)Igl&w#Qx+{nJpQk{2Njs64E9h7ZUL z3Xpl#L*`N7#uJBHWP->~hy<9~0guH0Cz=ww;gE@|<&#zk?N$A5rZP9faL@5n)$?A+ zP$@&?#=VfxesR2ffcA|uLTXe6q7zL5{5h-*BS#-zRNcEWT zwKS2CG6`O4CwUd;y;9l>_MKLm>g{ zWaW6`M=lW}zSTWKlXdcyktWMG*yHi~W?LFNpDgDDVBhk}z5z8?JW*i5iY%@E$L<%< zckjyOwnB0> zXbYP7-D>S`ZMf_}38CRU|1yk~Oq`xf;{=6ob@Div*q%;P`#KMko)(iqX-xdF*Lmp8 zLmEp9(yy@$lo7+lHq=@wxyfq;lSX;+yRmpOt76$f=(Bq^aG_P#h7YnMAICNg+{jw) zHgl5}vcUix+EA7k$*O25>uLXnBI|MMj}PxXT!bYi7RcS zCGa%8dUI~ZdZ5d7>1wo_H%3~L&0vkPxRdOXmQWnUhAs?+ti>G#{+V0>iah`*3XH>p zjS(s)ZLr>H5AHQM(Z$t-(sBG_iUJ39O5dMibB+>$rGI) z#Mpq;oi{TrphGHNR?%-z)^*3=L9R=Zjgi5q69E)A57M`CP0sG5WtO)u)lB8z2`3tr zx*~F5ZR4CHWyP=^ol-?9!kH~Uh&w)r8eAVid<>yldIqG#V1EXLd%zof6SrK^i)wfv>E| z6u%`_UeJ`Y5f6$*(LmIgv5^I_!tHWCwSf+1s+I{}U=F@It^J$&8$^MjKD1yI8bC%ux zurz&u<|p$aWGc$;>rr-5>UKxjk?tFEN+s=yW+{%m2q$clX^>1M0Dc*CuK;p2O`q*P z^*_ug^!hwwZ!~UxrqLN3ba zmj7#PSn?eJ6UI}5pZ(uqub~5c>CX z3>@ciaOHo@ye+sl2riv1kVe9vwcG+nq0>Ou|6Wi{nmev8&#d?|5SZi6OQOwzjiM8B4zIquq#JR-= zzCSZ?V0|Nj;QyzoHV>mp4{z|AiaXCXIsR5~H!2p{va#-|>97L7J!>dF@j43nR^+o| zSi>nf3e+%1k?4d=Tw}GLAbCTOYk;gFthK^_%9rc%4RL3ts!t@}_mr=npU;kco&AV? ziNI}p{_sYKAyOnVV>9YvbT#};=O+rAjs^u|yZ3`$R)EWxS%7apR=m-cONy`+` zVXz2(;7`(>vkg*Hmze8|b;&b`x;wb(xCb{Kca2@RhckQJ<`FS)mQ56k1)I)xZBj?~ zrJrK)yN|03_pQmbCI8K0`gkDYwF2EKLd-XUV zolKIgiQA(bn>QhhK|Ld~>Uln%VrcCqkF%6^LE^1v&LdvoJ{ zDB;otSR1-uVFc+dYgVQU@Ob7!r)Nm3k6ZX1<_~`p{L?IatL~$xeB+Z(pmr6)_Mc8= zjU{PxHpd>VmC2yBovcjk@hVx441p!-nm8`RL>9;~)fwNeT^yT`lYOEuBs!B&5nE%@@p|rwP0}JwKa?Z z7*%O8N5G3bYniD;cea)Z=JC$wUfzWb#6Gd7Ib-v4YZpN*k>T1WYcWF$ARMlfhH=wI zsm8fEq50FIk+zn~b`DFl$?Pnzz>TauVndU^%Lw@@PM@Bc|G4%SXJZ`Y0hs3lDBTZ0 zi32c7L-P;;SOb9ISm?cAmr)JvhB#tmALb{*oEG4e4>N05(_iR%TQPS(iMrlx#f&GJ zoByKK2%mPp?>vP1xw(kArAwY;_MI6X_&Jvy9#Zxq<|v=g^4S|=FPfA8h(>T`uFliC zt(w|KHivrD=hmj4tItgqM5}X?y|VbzExS6*2lnjZC*})i+v3$?4poPED0NGt^b9FL zNorU%ZZ4^`E(q&SF5%jU0>f9`Tvg0ef!$!-z<``lN`ZHGE8K@kmzr3C@mZoVw<`O5 zeqJqZPX$_&bpdT=`CQ}aAtt1rn9H#M>$zxc3UXQWPG}dodUCZbV#Vo9@nv7tPa-A$ zzFW*Sds(nNm#o4-`k)nsbQIU1#(JAU1jjaVY&g$_^@!>Agkx;zlIJ*lmiG(SsdjSe zb{d`fPli=&tuV222s*n@(Ar@igX}(UDDvo#SbO%qC8-62%I1a_99TBD0Mj$wej%fg z=-e>2FWIPWpQT&wd4Tasm0ReTW?!F|F}!<2s~N-lnkV>_)Wp5653{0V^vM!iVvjfE zze|P;8q<*1X!GV#wl2N>%L$7D-ezZK#y7`?xWCUHEaccwh}ptyz^KC=8_GEel3sc$ zwSS+%7%`t!Dbu3Oz|k?yFTWY#AE*&dx+z=25S-D&jm`H0cP zti!TKF@-K>bULP;m}=zlYV$j#!OZY{)6wB=+FQBWjC+a*JAMjH4QGv5n^&6|D$AqT ziK~M_lt)@RkF`)`TJ%LGeGG zoe3}YeQQWtFOLXJarT!Z`keHyzV4bCRhQ3+%z%C;Hm1uZ^56bVCSK((r~Gg8DWfwx zMJm@q=j=|cEy2zQ0iUl}<9aDN7L)11XjK@O;nAwxCiEvYxn|LN#KWpW__dkEtH=C* zM4pVs#aQ&hbp<*z!nkY4#%y+B>@8n^h3e|WNLvH4-;uH8z>i`tG_ly;YSs(d3&Wd6 z7FtEVDSU2UimS;qf|p~lhy8YW?ro;(7l`b_H&Z`%D^+ruG${g;yD%KsTYsWddR#YS zzPgK#F`0qu zX%~Ij#O;HkP7u^4q3lGf(L2HZ{T_c~`)J6>eRz%!hWpuMl@_nj z8YKLdabzVP8dADyyFY|<6FPS`o^tqgvH)XW;{O(tw}nM+^L$K1?f&u>)A5P|=5f-~ zOL5A}k`CD;)1(CLiB%o0&|q0LbGO$b1I`MZEcltA8{0tJ|Oycj6)M z`%Vu!|0Xr0^q?y`bU-8e8sLzhYU(ZuVs!0&{w@93_rYq<8u5Pi7YVQ(N_J+D%#Yk^ zBQ1;%Z}g#`vNw69<x5Ur6GX zJvFPU8j;RQ=8p3%v?es5O!l&I=qgj^SC!2U&s!dJRU2rim#32~p7@eMWV^~c z?|!`7RD$}7g&P#&*{nVHs5K4fR=em&#S2p?QC|-rR#9t-;D=g>4_Jsn{QLk!fo@u|J5fSgc9=EhbK6BptEX z9xX0KmzdLQqQqPCwY5RDxqhr%#pF64@rw~+3Zz%n1Uji;;G{y3tbHOYq+MDNb@qHG zei2v%ZGuX~Jp(-qZMm%&2V~pX4INv+*X#W-6rBzx;;~lNx6<8&8N}V2vNU!`ZR7mj zy3m@%Rbi@zos${ncq>eb!IMX_y>F2otDXVPUuJXWFMb5Vu(|UW|BhEti^pOa-U0+3?_dratfhLX3!yJVS9Ozd^p^BKyhb8&XVt6ifH+R+Tb zHUm+ARFs2h^;W_==&~OHO_cTpda8KhrpLV@*VsJ6jEB2hVZXqhOQrwf=67}zjYEW~ z(dcI;jFX1})bvwA^?4IX@OOrP#RaJeljU2*_L0-6AP!=>$59zlB@kAw;u8qy8VO&JoFs~Gw*{)`jxJ*vzqV-`IojTOYiI79A z-4XTGc2tSyEsgnXjmuB$xH|!8EoSHQfT+$XJ1>Xp8LD&3&dZG)U_O5cVp8lw@H;!e z(#NoG1&{+C0XX*`J?0HC%lAFIFi!Y!nLQIvP2K%B`+Y>i$I1uGaPN6KT}a(% zbwH7S^PR+$OUB*nQZxIXE)2IbZNXa2rOa6}QFI!f5?QKq<1vSs!~`vTa)ilYK7(YC zL{Fusma|C;>o`70@IKYztf`$n;u^v>CmS+bO*479M@XlwAfBq!n6~^S*D?+QDro{| z(whljiv0`2E@PYQk3?#MJrcn%o)}>x=@)THjWtU*ACOT?ZYG5dNde}9N&PAG*CV8= zpqc6idM<(vmY1e&gqf4T+e~>7cPV3WmqNf;-j#Q-I^W7vbb#H*Kr^|{%>Fa|XtS>PH@tUb4I%4D*!1-2Jp#pPMltSaY+=VLswQ4lAIk&eZg89}qmDaNvZ3f$Lm7 zZCm^h(bNtf&W*fbruGGyxXHqv*QS|t-h}A3=%#2(^8aFE3kQxZnB6nlYQ7_s#};Aa#~f)p#*Qi1Z;u@Uhw5Pu4ZC?HuwB}8i%;TqW7_QLW4VlZ z6)G6DpsOk6m_8)4tSBI&SI8mR@k>@U_`jeuW_N4BK8!+&7*TD0`+hK&H^Kiv2#q6>~z|d_jPBo!}I<$O$>k0;%7_>u#lv zo1i=pi_33 zFMkFh_K`0~bF3w<`Z6+9n>CEA^BJ1mx$*f1R2;OqbdIs|Hq1!A3pto(<%ECRK!)VF z0uhc38hu_TCiB7apm|7GEwq4PeumCN?HK0BaW%R<1;V1Q2-P3PM`N?@RS(BzFg1{= z4Gm@0^wgv}Ma{um&b6EwC$uIUJxh71XHNYgN?P7p+c>J&nq>02XjE~C)qhRh)18ng zZ;Oo@;8HjKJtgHwU=ENxBoPsZ_3$$D5FgAY{$v36TR(YJ;%t{zxPr3z9UV=ZMY@_1 z%6B_|82z2wAFBI|MaIRGXYYu{YrmEC#z~`g?d}$+Snkq>x{POS?LBpDv{F}#Y>qv zT_dKL?af#pMJLPdWD1o<2F7T{&SchRyx$!@(oJJ_H{o)QJq*w*ZIanun^}V=uBICB zZZi+_s@U>D(aK%+r8ru-Qx*T}b{__k1}b>#mg(TVm8q=y9yMl%8ZL=ipCa}zXeW$=e37VTY8(J%P#1pjzq4}FH?M4>XK_OU6!bx{EH|iD_(B#v%uaWT0qyZpHAgJ z(0i?uNiDHB8D;lsp9qx|_czuJnBJ{kE}xcoWf z&(WoIujg>fmbFJguo34sCnCakDnd3EWN0qae0c@x20P>{2%eFR1S8UXgjyS)6HehD_q8t$h^ z?!J43AE{FKm(_(14&@B_+3?VFgm&=siC@zTVSUSM&2vI9i2B zLwQWRDIxf6_e2YCom*5|_0`zO^y&O)Uz@cl7~hY*&7dyzJuz!4;rYMh2-YzL?*?M0oq5p?HnM%FxlWem=~oLRYj<L2aSKv2W+kFmXI{Z6>9b>Zb%PG5DmKQ<-U^@so3 z`KZHF%nZKYE}9P{(oRpDdkUM|NhOs>q<@q1Y@*p$JMAs^vCOeS0ybErptGwgvtSYUT?{vf!VK?(gD?T^{qxy>MSl)EEFJjdR#~+T+`vPn} zU<&nAM`cfjA;%Nf6XiJ+bF#0SYt1TJYQ-=BnE@ZCQo(+|e+ERGYRIrx11=>Z1mCXQu+oTVOeTBvtTa}(nq7CzkQYS_} zA%=xI#@+*8Vy#5BhmliBaygR#4La@D0KvL&{0#HhVyH|09PJc^UV;gG!Dn_M##VQC zchW0QKw8a$CDQjXz3++j(b~sczQQhzm~}s=9nU8MtSS;$LLsi84ib0;jqwV?Ux|X~Y_HjYI|0 z#6;ltUGmh#SsBSrBv}-{9c>MB=E(dC-w!~SByEMrl;+;C8QJTuA%hmN7sCJJiG{?c ztt^}Eye5B98bQo#?jF@gslJXd9IEczklMoBmhT%*nn^##bSV7WXf3elfthnkIK54n6<~|1bu)N4|-sjgn z5X>Ou4>xKUSxH|Y+tKTr7n;Crq+di)wSCiWvZYInMst8&y|vq@QSAr~VYXDvZf^O` z#BC_J`5jRA1Q@9W4@1;Z$ulWAo;a0GNtz5jOaBVXJ!rei{2yQNya_N#QQ zpbD%xhO6Hg^E!z*&`NLZ=FJ;G!yQ+C@t2OncrkHe_Lk%Hrss;CQY+qsuE7Cd8M-XH zvArPwriqXvlU4P-!$bE{+#URG=V{|P?3cqsr}NC>xs4}8ywWIaGS5`+TVX%tS;)f} zHKp+GVcjE#TS>UXye!Sk7~|pe478ef8~Rns$IwS2>^qa+DA*>R^Vvm6f4$8APMHeTB2~Y!ljAF^v z@_wa#n@(3uEiqpBA%u&VI?UGqNc>H6Oj8XD*AA<@ijCRsC2V2W^!&uV9)#5&Svr@_ z)D!{jiQQZ>>ZRNsg|KHg)x4_6(X>Wvj9#$@)nAq^91nx9NnEtckUgRLvvtbOA$pH; zf48Y-y>h<*lW!Wc-it~tB}#5W%%$`LdMuY?qjJC&rwYShAnb%Hnkv_ur%|RhRle#( z^y8RJnksjizRZ5XiKRjUEw&PzRdEPR zgN7QbiF(!Q{-9QVref2?~^HkyEL^}GPU^!xOcCLqF%jn&9=Ah@s_hLf)%YWikxJlhj@Eu{VO(9 zw$l3=gx-pE+g~XJ1E}0oWh=gd1M*!4q85U!6WF{ZZIm_mAm%^+o&%O5{O-3X}D(d)(&q_qDdb4AAXUMn<2&ea{sJ8QNS;15RO0;MR1( zYHT5C)2p%JGztighx?>gV;7J_%PGHYT+?7Kqqg|&Z~c~`>IaZ=Q1AMjL0uvo%W5ZN zu?WysdX~h331TU7o?i_c8`Q178_z*Wq~i|D*NFJoz+lPT;V+inQb1R;0eZr|6d%P2 zA$PE>72;+skwNp6gNmBxfj_8u9)fiI<8)RpA_*kZX5xt7WnexLK;V)ysGBfHEnyCj ztjk-A`3-8gG$Uay0pO{+ z^iy4E9$T3<$$>guYr4cKv8&ngkBr(K1G=(ddI-r|wfr5w@x(?bCTZN(i~3))gZUGH zv>oSk$ASKSUQl6>0kKxZ6uT^I4Vtjg7SdtPw!p6xaOdxezLyW0#&}1vJ9Ewob!)bH zgHAyP{)kuE?xUS0o&5Hy04vYhUNncQW;Gr84n!O%DWJ&cJ=1rH$2U z6z1P_HeeAEXa0V8=&vMtp5N^}AMkYW96(r!_b6V^V4m;sjPkxG@q01P)jacgZsxg% z=MOxI1a+w~>>(o8yve(*mAJ>b%vgy{3SrEPubMLy@`OUDvke)jkl!oBs-j5KS0UO& z^f=7Xh$D$5eAleD6cABC$=L$;CB2-y$_u~HhF@G`;J_r96cn+M(vu_CSX~2|q`^oI z4L<*<&*N%V3q{k{W<4y3Y*J*dSAgzjNXtd9P<7GjmvAxmf9@7JIbH>w1a#Tw4}PyW zx}1#86!JWSast9ECXJRDD=nl~m}ebfTAqaLB%ajD!ooY=9YDl7?s$&FN{wxu{-MRz z;Qkkj9fT>~lDt7!adU;nJ7;R%@klyu9!2g!eD%;|CKL1@ zL@}lKp6KBp6WB-keh8bUzoQ9br~jPPgi%&ciL{D6H?e172rvod>?Wc|j!2zXE~Y2> zq=<$(hQrZNU1{S#(yvkHqz;Ky6my=5bu>weNpiSLLM?xYkTua+15Cwt zi}fJa+pPkb-ORL~I2{EX`nDDHojaMunt8&cMeC)^lby(t9Scrc^i_yKvEX3Xqh3hA zj4~D_^^wfO*Mc1#D5 z?E!$)Yt}?dkhf+)w)-*@Sg~lS;P^+I`FTbO?dD!vg0mI@kv21rXuG*%O#kVYzz@Sy zg!%1i=BABn22o-3KjsE*OhJ>f-!}3udO}fi?<8gA9-IZ|)JC%*NT{-Mw^m=d0inGK zk}9mRNrZMFIWp`Cy4W(>O)ZgXgo|vVJ$H~O?UCwO5(%$7@M0gt{PrF}+F%perPML^ z_1b#YMaQ4>WY(F*w+{aGEsVKSo*U=>>5LYmO3hXJu-_4M`$S{~l{k@+tqxumZ)`s( z|E3900Mo$@6!{9ziw_MCeZ=oRo_yYq;JJ)P;Xm=72fS~E`*{ZQKAdMf&-FY{^Qg4( zbkMN8{KeUh9B)UjmiQdrs<<1Gp_W#K6YqQ9v-qyX1~nlkJ4!H(0DZT3-)A$qzIjpj z=ZU|10doj=T>-!G0)9`x+Y1P|-3$1KpXCMuyeZ^xl+updJg>FqmG)SDhl$%@i9vr; z@I+y7JL_y~BCvP+Va>uVc}9(TW|J1hTJ^P<93_Suan9PI8=aJk!_rQtf-VU|J7?Z5 zZnP|38_TKOgScAT*xs`qr)JBx)Moe92 z&dEV*(VLm>Fqf{7bgfu%d56~UpGm9Q#5%`k?LvOLF_p@$V1vjE1Re2Jd#+U`Bg=>? zYgyQXlbhL_%Rc3}K-_e*bm3XgL`eXq1F_>M5XOF99ay~_!-wchog@S=*^z9;j${LN zBM1t!5Z+p#&^IOJ_nq#T}}z{ z#OKTDOJq)ac*nJ$%vlQ5RPpDO4LhPA#AWrqs)u=&?uoDBJ~I7+vz6~XL*#27@zG?a z#Nf6DT0sbfIB%k}g5qb9Op?W zU`DG;;)&O9rFA~`R(#agv+;D(3AF63{Rh~4qUp3i=y0LO?)vCUy|HZFwZR6--t>CrCTwj2FZ`j&Va_182j}juB zXz+a@Z=HJ`$lAzhaC7NsRt-*FL5sGM8Qb($&3}!}1FB<@4yI&!s%k`PQn>(VL%&2J zR}btDuR7gOg39CbBPXU-v;qc=A2R71WoD)^9|jw4!2@-l9#f+P|CaUo8gG z3x(3h{dzXtxt$oqDt1>6xe;EqW7)laNO{<7hibC7S2V`EHpX>@pYBtt=@@ucLD}{N zXev5P83M6>KSQXc5$_uU=qm{+E`jTKjoPhY%#N(&LHx}@ zO7W8AD3FiXr78{}?|-UxifCI_9TL*QvkiwXPieGutGaEbhB$ ziQ3RyVbkihX^9%xd1{(LJH_tQw+cQ6lP9)HGn)1(79>6xb&feoGR2*GRfd1bVun7b zwry(dX9)cjvb)GfjUY=xOCsZklvRi4W8LA}6+5er6b2jmJ5=)<`C5GO)FP2Ai#o;)zpZ3?%e> zo>9p2UVP0SS9hlbwMFc9wMU_gqSc0A$%2W+k%F*^0JEOOq6J=5R@q*E?0q93r_(EU zMEWW6CA;I%+PJPu**XrxN#o9)hy(^@4;1w+5mnfgt9cf7&HTR%@SYNcCf7eEm=u$! z_35i$H{V7_4~UK~;cz~4CezTZ2ZM~J(Jl;5H;2L}H0gCE@N#IbsV3~3fBX_WnACIa znOvojcu?u3v66P&T<_9wrpkjOTao@=8gCzel1t;x_6p8{r1=`7OO@rN`A(3=;k{=0 zYWk$b^nBUUO71EhO?-|#Aid^37xxjv6FTa(N?Z945|Mn6}?HDJY*HVAxzvw zcpdNs(yFziaqZvv6#UUR4Co&m0|&u{vwJ7IEM(%AXMnhwNKmq9)j_;o1=NiG_%&eZ zd-@C;i*dxs#^P-KN}u}4QXL(=Z`Tu$GPhU`K=qc}x^zTo7PcT+zFzZUKkUQAxutQ|EC?ip!wp6zsVWNWN=HO%J-Nwlo_iH2hGG}*Rms2zsE2`1O+(;asK zm|@6W2IMt=ro?z+(oGDu4>TErC(HaCq>jm(o(`?1wtUR`oJhat0Bcn4O(}f6L56 zn^4l~2+tErtLoe0e?ajSjbD{SUUdNw#;8n;Uh^>e92OW)^tBjCesj7{E3bYki;!VY zsjjjJF-3P!fXoaIlRm7)ni&*0=#*;`Fe?cJR=nG4e#xRM;ExqRvGup5{Ry_7wqd3$ zr^0y47BzTkv;-j4hP5`UHm`xP*BNBqCAa8mZDvCCW%D3&5^-MHTks#2QT9esyBN|5 z(a9ExV}wL{uj!v2hVR3(3u#*x!T{_5o}+laHz=Dufb*2b#xnN!kD4E;CQW`XO(mCNc!R$z zo3O*#vLug?n?7NV!DolL>|#~P1>k=V$tLL3B9PT$_ffk*(wywHsMN{k2g5~LdDC9U zy8ypNL3`B#yfVC_uJx_g-`@30ztQ;K-fsrb{V>{&f3~e!1u1BlCIIZ1-}>_!bCcIH zj&{bW+*&w6bt=-9ir7rpl03%z)tM)N7k7MH;?8b6r zb8kajKX$?`kBoFHHdr+VGZ))$vU{&Ke^H5e_Lfs`HFSshC&n03Ksz48Zd6U(mS-|a zp`2_(JVXa;#4BIW5Po~EgDk$aVGW(q3DeM9757;LN!_K4t(-j?2kwLU7Y)wlz!tRjblpe>v%F*m^f6TgN` zG{oW&mUV0D$Y8Ee_%emh)y+E7bTqa8VmW@U_c6sNdxM!pMW9q!Eme!Zv96!1y2%6k z8~~+e;s?Vm8pjPU+(M=0)a3mz1nSWHe~cL!qxbv|o+t<`*ybqcX+lIsYJ zC+=ualQP2CNNCTAW3XlxuZ|c7sA>A6sz-u#Aw^|XYOG1R zcFIiN;7@Kz_)z-%f?TePvZ_rBV@1QF%mw&k&L_2ZFND;#dx`Z^t*twcKWSmWolK(A zvdQ9w{`m+`?RTF09V&8q^q;dv@Ry1FG7}v0kUG$}^W>7?b#oR8mY5 z&OY$$AFEpVi*NBm?M)J=*wFfONoP&6s%lJugPXr(s!gdbhe_b?SS;09{(s+#F*#}p zRS4Tqq?asgRTC$;NCjEt3(7w$2-tb5%r0AM>NdtRt61KVnlT86wl@?VB#<5z9CyqewNz&=GpuIz)`y4B-YvQOj>j0+0PxXM5qXbb`du&T+?Dzp_Z1&bEowYbZvLWMJ+|oW;CUE zFKo;f3YNtlmeanGFTOVwBy9(Bc9@)tcSMG2=9+??FIx!%04_uiVsG7VYKDLWNm-@1 z4l{RZPLZVItv8P#mw@zCuCnnzuXm?-Q#6q;NV`^SxY&ab@ng)7g!VfeTY zsZ+)nC~NU+wLfzm0>&Bx$XckCSD{u0&ru{7o>#J6h7d494a_~nu8*#l`PhD)^ARk% zaXz+X7uuv{O6uBy;nSZ@+axgBY$chgY7D%~jy5Y1*b&igW{IV1L`W4xd3%wqGDgE^ zpJdS6Ss*Bv&0VY-yw%(TlNbJ3>Bi9-2Fu!A)n%b$8>Wl#csa=L*#QWM=`%(>mQ>;BT=h&c- zayq#-BCJ)2)i2(#A>C1y_yd0RFj9Aosr1;?MB7ALkgCoH9Zp4|HB*r^_bS%V$stYl zl6e=%{DoMy#q5ko$D{()R_p8{2^E9#nLA{Q=~G-3ER>{zi6Wkuc0JA5nqK2Ly0WrE zuZ=#s77V@Cjvcd_@FcmUcMaiH!L>+w+GIo)wWx4UY|YeXMjj=;G-Bd zwN`G}34672gIdqY%MKR}+=c)ZujHWR{&lfhuEJ|MM_hLcO^1bQe>z+?DD)<;&`+%V z@FQ2K*I(#|@tqw-9}6Sn>JsiW%3r)!lfFJM-mTDJcE-E;OWst3WZG6!d>-|53a`AG zZtl=7i5I(Xk~8AXU;IZ0OCVVEGHCK23t1c9pAf;0T;X%WL%-yiobg=B`xV~zOn&F_ zD2>AOEb+b-_A{PacxucCA|5ubOrAz-a!8GtA^w&eSYw_PBTXJzW2R`9O7^WWNO-&y z)R=iTF$SPNmyoEAu(m~u@%fBhY3$1bF--m~0^4!4l ztoQ8TcQ4O@n=tBYFPMK&qY2la-Dt91Mwi8`Z88Ps;TZMIG#- z9&}M}dI0ZH)K}CA43-haz|2QKMy8vE+dHbQ)hN%`=PNGZ`6P^1ZjaXNOhx#AyOKXB zq*oEIq1Hd>Mz*+Wu{Rohj_pd^@b5O)+^xI8Dxaq*$^jIse16T%q(9#r-rY_nhc;Dm z5rrvKT+K$o{sPn!1BHrbG%)yTA<*W3_P3u_(NhqV?3n{7k#*PC`d5i_dxYL!pAV>v zop>0H-H9*IC>;N1r7}%-idyYRH5FHhDe7AW%nu?V#AFV=$NUhqux|H^2=2ZJ*sIx8TZS{Z-i~^GV6TPn^y3-g6f4~@epLFIT@CZ;`3_R-SjI(cssnbjL z+%+blX0rW$)l9cD>2C9YwQRjYg>CW_T%rG9U*PmNPOb8fpmUglWz90kbhFJVfqe+$ zE5IaSG3hYx!dv5st}4V`G#qPQBa=R0kZE{WZTSVvqg06CdqF+ktd{$M_l8iL-x!!hmhB^-L(6$ z=b5YT1(`mr96&4UQh%Jem``WrCF#IgdEMna#5JG6N_@ooqW^0VyUqw5S|txfFM)Jj z4)5kEtYXC^dcW*9bmJLC|Eh%f_gbM}P2vkt#Y#+DTA6B?kJJ`PUV6$aHrCvU`bR1> z?{n6Ts%1^xvzvWrVk$+>b-Q1E?3%BJg_(ZmsYTmky*adN)`OnXavh?1fa;_RzoVtA z#oEta*AG-3@~4q7o_K8r?e>vw;0|t|wmw08M|ew6Q#)sb_st;d(vhVIsPb&sV(fC& zIURkRR2lx-tkY3iNkoiJ-#kFHRqNB&<#0mlWPTw27bkHQe2ZzK;@l~?MIJT^V)Cz1 z-%S?|E_}PGqREPnBxGAM9jZ(IM z!?-(mnS|^sSVu%QcUKe&_5UXfBKiInU~U~GfbVWD&?_`Q02@4(9pt|5TukYr!PN|y z+C`&_L+BkOFM2Rr%u&zEq^LqJs)Mk67j`IN`HzL< zcr5;r@W6YW+=d-S$whIwen$4a|1a%BMys`J@rEGaMJr< zDRar?bROmasHV`ht9eVT(!GJY==v~|WTxIb62A?-9?7x+%LwpNV{m3YZw7E%@h62F zPZ~omqDpL!+1CQQ74|E#+Ljs%ba5lgCu6PF(a3E}z`A|!$bMOogKJl;-!eQ@dsJ0% zZLE)dE!)fnrAX>_vf^<>uVIJd2JMP;#4ajeS@O7`7C=ARdf3jbnc>LsvYbFSQ~+HZ zzMt!x0yBgrG%o>2u5XlCb&(B`?r*|Pd96Qg; zwk9p}-|+9}Wt}Y>6sJ1WqM)h-VBPG#dB=phb6(*R(Ykv6*2XG|YNZvpbM2bK*O=LD zKjciPyTm4Gut_TS)s|HpswAZ@2|cR)CA-8AfvY{Aea^JndWNa}mUd&}H8Yr7?RwJg z(dj*t-lZ3O9%ieyfZ8O|Bd8~k6{#W|6a35uI(ph>&z?}&H0 zJ*9DBL8$%&UFI-3EO$spR-E#ozFsqbUqshio1nr{^T;ZTakt9Rk#}Sh&WPk4EJqC8FgB-i#lC+B}3Zkg!A!AuhF={j>aTr z!=rIogUN#iryY@bVwq|%ydNMB^YRrh=UY)>xubEe-3E^iCILs=!p-s|nFcdM#$I$r z60=|#fP(+*;?4Gbbph8e=futmqnY={x~-U4^qE|p0hcbIRq*wAvW^d5Ul2Pl%$3+U zBQD#zFgy0?*s_-TLt@)*GYb|1n@%AM7uA}tD1g3kH0O;qS1o1;L1ItlNnS>diG1LCfI6QS7&EuWX6MlI17hpJ z%lTA06|%;Fxy6)EvzMOcQf5wcXfg*wlmchSEW{jNWBAh`mOXLfB`LKPZ9mI{J1;es zzd7BobUM4o+Y`;CD6<&D#!oNv?tc}5TKfk;%`b@IO^0(Mb4x;zLpdL=z8&&IyAqR> zap3AM<)MaT&+{h5DoS)QviP@*EZ{5BkYE`V>B>dPaM*GiU(J00InGb;e~u5kc&{&f znc&)~zDxj_Z7?rh!l$eIfu3{R-;-j0c>wx%aLnDxPnSAg*_}Q=4W{m!mHrBFo>}Q+ z5?Z>%{0$S*UW}V+Y!`F69xQVXo=^}wYj9a>eLpDgAaf?FKRUK2CZKd>7TO2MHacT4 ze`}xqIAuoDU;y=ad_@5n4_W-i!}9z)_*VHVv(o%fWQu-ugsY3+Bp1Qlovb?yO zZ8o;UTFjUQ1aBrjtbskd+R8}pH;t?|sn5MO+h9t8B`OVgGKBLw!&+wwFtV ziu5d-Jvh=8*6dj3mx1bQiy1;GWhQcH7jdT7q*Ad_m_gqrb@Lt>5>lIFUuBPtYos#}fo*M1Hd@#(Y*9h85b~CxE zS^9#EA_f5VM7ZW^kK!!41NZlxsya<5sDVse9K}1kxvo(B&LUt-|IS`n3{Fc=eut#2*Ti^Z3u412^n=?ygplxq37yD(1qguLYT)$n#;FtY0HojET z@9y%;63KOJs5DHtA>E8&e|EW_-TIN4N^hH7W-4lB-Mqp~T@c)-(WVdGr%~Xvw`TGv z$WaT%n!2nYYvLuK1^Joq7S8I$eH`79uu==TRU-mF%fCgc;Ic^Dg03ikl3kD&Sv!si z$Ij0EiKQl4$V7UZKfyeFYD;`V7A|_osx$}I?AcJcolmN=Q$J0W+pDp$lz)p{O*GYD zhzc8z13R-ScO6)>+wO$YciXv!r2B@-4z;Y^8R~6DW|q+euz{c1%RLJE*0A!+zZLB@ z+dni1q*oBizo|dp$!__#_T|^dtu9RUrE#boNyk?fJ3=XzsU(Dg;babE6Ht#)Aoi6x z@x*&{XR^fylRPldAN~w}wuk34bo{8ga!P2TYxgYHGWj9pLkHIZW0KTZBpSoU%JC@P zS9f73Xtvb5h}%n(`EF@4H#R?f%3cx6F587}Sk#}sp(2M#Fcce^YrgmyE5C|xR0n=o z4(d;#{uJp?vHq0kPw9q=K}-aJCM=nsm~hd)6#WjhJ_5q_(uZLF=b{2Ec#vdPXVDo} zws+%XMXMZQ&w-gbZ_Cr(@rhX8mKm~ryxU04?pds-^3UHVAJJ()&(lfoVB95!oVbAr zJo%9e`Nw3>jo)$;n@*%1Hzzk^;8N&DWAq=f;W?=T@sTxIY%11_YxRMfW9vM=_)H0U zmc}zfk?B{I+dEb4pDRKpaWnO6T~SPQv|H1poYlqXTdYN+IUSlF2%h96uTr=m|@=#gL&iW(xI?yF?$<1(1^wgD*(|pdh$rT|N z=`?cgZyg0T)D^u;C)g6|8VE4gvfrc?n2z4i)LiuxHhKrF~mS(vWp-Df(tFlx-GZW zTifcb6z#RGR;{!WiW*p&V2f>3s;E?HrFLRaQ>73!n&0=#JkPTmw0b}9%ZJU(Gk?#V zIdf*_%$YL}qd#Pf27Lbr+m#=2<}>M~N$u*ShXw|=YumZS9}xZt3^UT4OwB5f@9js0 z1g{jIHjr_N_}0*cYIrj~k)^3K2{V$bX{e=a?~@$E2y;g4yMPq!b~6Ne`W8k*7YSGi zjpZiKbWVv)iI1=QTvlgKd@IMLK0vs=Rca()PcGFZE*==zD!>qMljXKpZmZ>nE%$EAjacq?Ecd&XTW`6y zTW*8pHd=1La)Xw;!gBAh+?AHQ%5v|t+|`!5#&VHa>h`U*+;x_Fv*lJ;?k$$P*m9Rx zZl&c`S?;ZtTWz^ZEw{#UZ?oK5%Ux!<%PqIga{ZQjo#oE6++xcuvD{M2EwkMDmRoMQ z*IVulmV2Y+F0kB%mb=JuZ?fDR%bjkyxt5z}x%rlhWL4v@z;b6;ZlUGQwA@*ido3>f zL&n$(@5IJfCXB|R^!-He{_%~5(&3pGNpwQ=FyRS9OY-V!>l%>8iR$;jI@Zwn|D0|H z`G5E;@iG?0K-ky4_mSe8xqL-M?b0PP8Y=3mmo9$rYs!}R|7+Q4CzL(6zOtbru(WPD zZD^;Qq!A?rb;|?(rGdrOGV{4)#@tE7@T&U!%BqTBZD2%IeOX1r(u!MZD~DCdgC~_s zJQk#lo81aCioGDea#es&Uw^hy!2ArzH?ah*Hq^?Vs*O(v$ zh1>6wdWM(yE0@Qr5k0wk2p?A8SX>9Hht@adS2R`+!Kn)jHy`+t9UmX0&8w}fXgI09 zapv;IrAsOc8fc;ez-CuB^?0P7qPiufHOzRfeqGJ7+}eu8w+*XbHotmlpmIq4vN?72 z`fp%p{j$8e`c=avC?LL*>X#K&Hr!fCL4+jpRnd5x`LLr1C%}p_9~7-~jnL(2FC|M> zQ?mG|u)MCpqf>~-?zV9IPJw-7Np3^1u{wq&ZuYsh6Eo}`*Ur-|(tH#bl3#YrbEt>Ay$*&84g6p$^i~(*ym)Px`drM8_;((Mt?8HC;B6*Sk z;sC}{v3)u?~r``3slP$qD zFtE9#C)TE$@o$LxZoq#hzf3BdO!;5crU{9;PMZSwx$(e187W=l%+UVN2Fi&Uq6uH> z8D_i!!b2n)7HiQkmlt9@Ff`Y7h@X_gzh?6rW{uB7a5%6%@I=z!6bY^&n(JNd&2eoW zlIyyUUwjFPuAzmMOK+_XxTvC?$O9)NNOHM_B?vQlgxa4N41vq-8lt(5ls}m`KR@fA zhH!|>UA*+p$~BZ;Pt=;h*_(&DhG@Elk98fHZs*jlI^p{u-#lyawYZ->EDrbh-7>T( zU&u{(xhw@VOsk0;l4KfgExQK3Pg@B=~cg5j}vnMx8|Zs8lkmFkIF85b?h zNVFO#_<4}HN8;k8nk3hd`Ae4ss>AJF@14;86TT1fE#v9yXfJg{to>KxA8OdcW<~yC z)`!$37)Xp^AW>r=Fp@svXJdeQk}3@bgr#gwhJ^Up@kHWx`tY9j2e)DS3Ez+MT~3y- z;|ZHjinT#_f;uJFwV$7d->{Or3MSe{DSMdxAr%WB7}TYQX(jh-2KJsj2;gQKq&cSa zNe1!qvm2D*+DC&t!qBB#n;DHv(gTm4P(-53EfelxC<)2Lz|Iq*lUzv_r1FoT-p~aOlDGnuXZe1Od+Var}^M;hngF7ZB6*b;EROZ*^w}#vIh1w4yehBB3eA}HF zwLi-B2PT9MhWUeZ;^yR#e0A@tL$aWKuj1RcuLrO{=9knv-Sr~woBRYpTSvdJ{myaw zPY%8AYM*=aum74tys$(0w|O%k-{5EeOa52Pf0Xckepy6rqML+w25y?}dIEPFzeo7> zUYX-czADFM&j*iY{|)HG!Xm<>0zW zKbyGyR=kAUKbdD9B-~@qGw=w3d6*L*ElQp&t#D=u+6itCbYB6N6L*HVVD7*mj2`H& zTW&CVpnD^}ef#!JqvUJ(mGZlY-(~#145haaE?AO(;r7FPt>7pBj^bv17{lpM{Hgr1 ziTgU7?wpY8I>K-AIE-WfI#&{ zyljqxP2fH8-#x_3ruqrtg!K2L{JyOJXKU|B`I z-9MX8C_nk%C)CHc*joEK{Hg0=_{*GU@Yh$&%vxgbmpz~H%`c3_l4ohItNn&tR~GJO%IM{ny;$ZH(-wi5ImLWPgT8>paQn&= z+ZB&Q{A-Ap(e!nA+zSkS{6>__TwJ%@(BS?P%FaJF7>85%p8S8n;gXsd4)4Kl)8JA3 zLW7fU13&z19vZ+eJhX3_p+WERTvsYT;h|pg*f`u)OFZG_{A&3P(XfZx1y0tl(hzCF z7qBL>!Kp*C*oy~-`qew4O}DxIgtnc4Mwi6Pc>X$mk$Idsm^rYqF35CQRIwa((Ez__ zd9h{prR`O_+J{U`%$*rLHuzk!qX20hM^aTSb21_YsMKGHww!esnTVV;?jTDJBPmbb z|Ftsd3|;6bSSMD`6Ru@|p>|4ojtFF6+TX*q1S1>NXFs;hla!~iAre*Bv%KQ87hR4h zJ~_RZ+$WL^IrtzHEfNkjct1;&-SKJTo@4o{np&S6>?TFZ(}kkAL{z(1y@h}hG3kri zeyLB#=4433RhQ_~5h;e7aP!xD-54x0r(A zu%K@shZA(`j?7e`BTmW5L$v#;nMU;AXDowi*>117TrMD_Z1`__j}naS40Q-0tDNKg zQ8!V}@ld-RD5Q>Ft>Ax;?|5_5aTkgQy5$&dkn0wr<}WrV+#K}o4tuAT_63g{^*+S^ z7FaJ?V99+K?Eh)7bWz+w=tZFj>VxX)=?cnIvoFR)qh7-+=W$#$u=_<#6(Er?E&SM) zFojohM#AL+?066-&s=$@e$I(IiezH{B9Fo_ZjY^LozsG73E3sE4=Hc7yOq-^a}&_I z6RLHYEQ{}?>JV8lmF|sIx=3DYK67A(yJam0+`6hqbE%5H?pFw@W5V4(TUAALRp&TW z*>^XnOCLe?>v!vg#;*7zp2o`E+%wDwe`Ma|Jvp>C)zvi8uW}(`&Qh@Db0!C1ha6mA zLuP^DY+cmTT?2DXURt|CKNG9- za1DZTS?v!hWA@Tzlp2He`pVi`bFLy`2A15~pp^zY{ghD;>({B$FAbCvt zv}{X%i#!q>u;Ex`8g1n>WfkAH$`l`$H?N_xVwwInX^JXE{z=nxn5;1u*G^v?0Aaax z4eWVLcuHJ&UPZk~GULzY zX4)Cwp3?`#&s<)iF=3}L^>J^{l(EWGCNLOSV-1ingEJ>gCzf#0VOv~lmu+L&l+DXo zadCs|Wzc;wF0Q?XmS--ns>{8Nq#8E{Rtby2YUr-0a(OU5jeMV&W)5^^(wO!YFK(!- zt<9}y&}ExGo|K<|o7F*9od)ld@@Jc_)M*WDv2#VigXuRDrbWC~ep9Xqx0;8NWYfs= zM6%&m*Ksc*S~H<-r5vSQjmbEf>+SC&*O_n8wc)(b(zP*aR$+fCdVWLasXxn&5#CR? zzmKDa&bPoMbx)sDJoBc!!s+vheI_iYIaZ-Na^f@zprLlq}TyQjNZsGLYxC|05_0GthIO)=f zu?Pu2h48$>nR9%_zTBBJE^{I!PRg1w&*!_=360fVQabP2nZ<=pjHH)33VpfreDj@P z4XcDtpEo^sW~_Nmc<%JsB`1bU+vb%P7tfqN$0fUoj2F- zbFw&io$D)d0&7lga~+2cCi^Wqu)Yt^wdkO1erV5wvTiI3fBI}8B-18c^U=eLP|(=W zA`sMEU8a^n0W3P!U@W#J&%J(4rgtX?GHcw;*A7qMwb|5!pm-DUjxk<0-cya&)0&@o z+NQh_JW_i#mVMIOGALEOJc(oB5<>DuK6#EBd(r0uBs()R1@j=h7ZptI$nzxhjNor# zkDI@)mPw&KH*+u#9Tqze&cDR!M(g6WZxzsY$e%3%nOGNa->{{?+gXst$ZFm)fv}c> zbZa^M5!p^y4zm`1&nk>3l1&-?lwqxh+lVuD>-n9x)doa06MHFn8Txv%bzUy(g_t#> zF&>0%s`N@(#infd3C)&;hTPMZ#nB}Qw#~V^+zgJYCM$e&EFANVrTqq&ABdtLwty+1 z%M_%|OQZ+H3|20uRp{{%SAx%3P@GOlvM!lK$=v_AMwT{Cyjp5KuHTf;Vt=@+4c+CGsq3E$(A%Qn{8PjMryo*eCzjQgGy&?=cW5=3W?%o z>HxM2sy`n%e!%b?sgWoWl&PVFhr5oyPQvjdl-xPqR{!ZJGey`}hxT;oJ5baqT1eX& zVc0gqve`*ih~^)ACg^(=-qxHn8!w_MEjauZIW{fe*=70oFFxY&2!)-PzRaW_q0?8y zr;qWQ)nVehH6zn12?7qaO$JxHntb}!Pk3Xh8)1HIOGiK?{_kTV8a_z3M0bH%3q(4HgYit#vf zuXKHt?;Bud4R>&5_*-xa?M?Y@PI}7IZr_9Sv~8gidz>PdVGyb=nH#Y)>^tID$=rcC zfk|9PnWmPJ)DrD}0A_5M1lB3UotjmOqtXr9r+N<;7=v>#Qg;`794`E?c#nwFmsTGl)1+qh{CEn9Sa zGBo#PbUd7J4IOl>v!&5Qi;n+7q5p}FId@YLBuv6q(!(NvZB|M^XAyxY||~Y zo9khA*1CIf^YghDSiX@CxSDn~RKEvv4(-k+g*4EmEB+}%+q@Sc%f#Mi1>NC(sf|Z8 z=^_2T1AR)B(Ce4ecbP@sEC`bmfCJmFP8~kq+XC|xU2cyga&tD zc#mk@`g(g#Co4?F)#Js(yssZ^Rf#5p44DU*F44@ETf^#y=nkJSWHtbn0rbGT(wjAx z4IVP=rM`50Tm^ZDqf89RS0@BLogBM?6Twc0mfIQZ$XzD;(!$>+X!=DjockR)ESe)T zrufxdG=NcB)T_<6=s0A0cO`!GfpE0GSAe97~9ly)15J})Q362g*AapM4 zQ%R6&5~Pl?OX!@DA&1Ei-pyI|rWu~_t418PrHJ(A!o-gNFK*b`mxDnlb4bL%&Rr^# zZ^#_!Q<^F^GUN)ygV{8WJCyfnH&t!MjTF$nE707wuT^xiaj^z$CIvEJMNX&fd2{7Y zuBA0$(a><5C_Vk*Dvo=y z_a2k?UD<=sj)}3nkAGQS>up^J4f{;?Uy@yJvr%O^TIDgQqb}K(bC{}=P9}XXTJ`+Xuu;grPnGz*?C*X zD3jr*WPmFTjP5S%vJ;6s{B5c&Jm%H&N z#@#J(@EINHtZ^*dzIvmG$V;mOD1Vq0SZK8$OJfs+yj)hk#>r3fllgZUjJoKS99ATtb*|VU=cmfgL0I$*a&~5U1#AJU)vgf(686fdx zaIHqUqFVT%8-1YTUFYU`T8lkbbp@{2G8v+0$8is!ZJ8o|Jw!Ij=he>V3O-|5H|2SR z#I-(zX|qiqd2X&iev`QpGF4oOH`}0xWEK*^PMT1Lb??O8yx8+OAf4QNttX+S(H+t6 z5IsOri>|cgjo#Kpp5rf{o1Xb#7l2(8*`V-yn*4NHulg@$O%ps`r}eUgPLm~cJ1ZU& zF)x-rRmuCYeszaVo2tYHqDk}~1TIYQB<`ZZ>@&sx54Hhnfm846B&kW%y(r_2ts`l6 zNt!97Io@Ro+9s(IbgCzHD#j|X9zz}$IEAkHEUx!gnqp+U5%1RVpBlo^1(Xkp_vrZJ zal|ww79aj2%Y+g%Bh{x{=%?42fek(-ybpy!^|plX*5M3bL>O;EM$ye%YQ_JO@$Zob zg*&vLF=XttzQi)Z@DyynY}xl2DGEzKmVTLO-vmm2;Xz8Sreue|ye3NzX6%nxc}Kg) z5Tz}$Qs(+S{ZOLVzuPDtVOlFswJT8Holn7H2)ek&RV}POvQdPier^0ohaqZKV@btO zxFn*Bh}Y6#n!Y8#^vIm2-0n>r(jUXy0LJ&fib7n}fL}e~k$K&@&*O z`{!v~2TG9lSmjpUQSJoO5?RLHLJ=W4lRckURTP^j7ILn0O0zRzbjq(@F&V{rB8i0$ zI1MW&hoqs%O>mcJ_kSZ)>3L5ZT3jN)gni1dJ_8^|n|_1juwT6|pS{(xN(}qY@E@wmvmA*TSyx1{^t2~*6Xdl4wDC@`Oz{B(%CVB~G6ke2XQ( z`YFOKo3ilk5Z`!jBy}DiVc^J#xQ9-9UX2_>OWm2BdK)3DDvPh}H)21`9I_vsM;ZoG zQQyp!lL%ps&PWP)!|v9Uy}OjB#oan{)rUQ&7hn1YL7O@^y}e6$cfIY|6m3Z-rdy9@ zvo^)nD&pkgs?Lq#x{~mGg2(HM+}n@amP5nTb))3=*Lb8~;H$qPdi^tL>|EO0+g-ugk&WW_!(yXYfuxH`(@L6@r*-v_ z4I2;X{KG;$!yr&%Yl)3)OgFh|hUu_!!g|h*xoN^UtzFd%AIf`LB23R3`P zE!SKUVT&aVH)B)%nDCUR^AaQZp~DjHvBE=yQ+|R2;8IGIlBnknMrX8pW2OM8SNjMz zWql$xR|K*08hcfzJJXdUZ+eko#dU)I`Nir5N)7iRhj%j>LtxkeRDUC~swF=&k@&}m zFIL|sr00~Xmf4w!;oTxK-}SddHD@HrLTfS;U4il1^-V@>i3_0`7%h8#@JDwh6VT-)HSS_{H;GvcT%fK0d?PX=VX8Z` zDTx$iGHz&evASK#>yQn_NU4EmGp#aNk07TtbBL{X^ zQ!thZn5j`b>o@G^R6i`eiB%~wD;W>hoMVp%t~q1$3#*WI5}V}ou8$OYEpaAUquoD0 zmmc^)gPxjzNpRr&U4pBsrCgem^7P9(#%k2VVs~Y5qdIdkM2beL&Kx>S`+!=mq<7|M z85dwL>}MEQVB>=$-ZU4mJ7}~8c3(W$Sos0$?ZhGo#S(^nrZr$gUP10?_XlGIawqm2 zP$y-B+g+O9{#oM1LYR?;a2hv6?7gisGE*5>$Zy~x&$nWF6Wha{uxtEY9xuBgL7Un3 zjK9InJ8LPwz1;fj6@>hjCs4%Hxo3Q?C^&|9{czIm@w-_}bZF1izIEd^KEKvI^7p8p%IKEi>d8?5&w9?Nh2||e`d`{HB-hOx7-tJlGQZJj~1esK}T`Yi{&Qt zBf-;j=o~w)p{4_}C=}b)QvPFO?ec5H*n?kPHHYF3)Bq447x7V*@;JJ0yhG_(!TIT7 zL26I3vjA)Hv8=U3-`gllvQb$!1Rw3bDnmL&uUP0TZ098^Oh*94p>WetnZjm2tEaGz zq;@Rar#zj%J5lA5Abeoz!o%yvPhEIu&A8IAiH)T0W+_6#qTYymmtuO=hNXwL1P`_> zJXA0@*k+=jsesC$i0>~m33#EuC%)PrxGvN@~|OLKh;TQOOkh;B6jN{AlP1Y zmyXZYMOZ_Wcf(*fvM*}N?}NiYB>3#Ug8_Z^qgJQnKvrI)src%8#7WFJ*SHoB^A7*s>nOK>UeFY z7h92|h)9M!@-n98Wi}?Y!|WN7x0?lDx6E#~~x)s*0m{<4;Ci8K?P`BccAa4* z8KT{%rb9I>ltn@(yxXJYbJ$2@^{%D^KO$MTwmT*~U4c?ZPyJEaw5dqz3`Bgd(C4Dz zr>2jPvG*vSqIjkz>d&3X+Ugk;>S^)|-#d1`!}k)g5U(Ho-K$^<12ta6?E1CeeTs#b z#*q?4!RX*znwv=pe|Ab>2&uP_K+|lZHZz_GX)$iF<`dAfg4L7g)h$XkV$9+dgV{x~eQw4=ftv2kN@g;zj{ex~q{`tHDI@E1n^ zLA619p&g}GX>Tt`P|NW~yVK5~_xCvkd?p15ORGf-T64O^csTz*on-GA3PJX5ADjI` zHZ2@VgSZYgd^8^&h*471yM)J`SK0R>I&v}+*t3jwTUkpJGIhU3J!0V4*hfZ0rk`y8HH8DeOh*$hv{{3k zS?jlF^X+6|olLJv$HXN&N=?Z8^JRz}=3tQXHipIP_@=V{$7&bL?egF`68(Du;g&va z|6Ek--RieU-q{4iU~~v2ojRnXe5#FhzjPYp5mSM?Qb+cw^L6BJbfm*zqMOC8UTe-+ z;fvRa$()SvAGWNVGMxivbyl&gPV`>$p14-<>YTKq#Hs$rx(413Mm!YlF4i?HVri%C zJVIh;@M=lx!qno{h2G-u!eoBz4Ut}_4-}`t&%Cfqb}+h3qjSeMq7DY21IqW%2e3dn zXM7Cr7AWNoDC3BPbktQEl)a}4CIl2?r}ZlT*uaQQ{;_Bg(g1JNskeMl)KAV)*t6hb zVL@HPvWkFk09n=F!^KBAP}<&MclkE=YHJ{(>b2u zZ3=$gI1n0VFKrAM8G-e#h%?xqIoTTQP~z{iZH(xlKWdcBNL$?8CX_d{wp=ZO>Rr=F zU(~dNQN9cg;EbV-_0ou+q3no094X`Bw)NBt-mm-P!v?Qt;!N4-}>miQ~jLW+Nz>np9sI1^hV3Vqxy{#gs8#sj_{ z%7mof)bnP)dLC&t69F;_bqgFGmf4adhH6Xu)I9x-p~z$n*YT{lRp*D4Ef3AS4!e(b z_rrDdZ6vcSkg;XI(KCP2^YJj;-=i>s>6!iukC90+!bWjAX&TTii)@>&hfG7XNl$R-^WZa+ZuSN)TC(e?hi zdx5o=LJoTaD7($hdS5<04y+J|vSKHaLH%sr+@-=YM7s${2%-u6k@n!Wl&AVFs8&&FxU0N{3!f6siGcyG!VXUp zmXtryCN06yrGhO|!(eRK&mg%ys(F6l19pX^P{T;6jzM(F2j8P{5SW>JEP0V-%luW{ z|EgKUgS{J%1xIXoNG6z_JV*jEJ9HB?jlU5CgxjPsaDOdbEzOV>q~F*uhx4#hUl0dY zxdy9G)q@yylYsRr84xsS5h3AV-=Gd#M46%(X}9rmzNHCTc`06ZsyDngnXMes9aD9u ztl4kYtz}~+veWSE3WEv|qj~^Ax9s7Jy-NyBWLL_)(4M?7YRyfteOPrq-6Gqt>IERARNVx#l3mzJj97qZZBAFuHf9YbOJRE- z24xo}Z+sr>;=6?IvSG{mZomoQ8Fn~4f0=X&1H;@~=6?64DFhUt2l9{|~Exa6y*( zuN3BftLh>qVXNw2ki_(t#jim*ugQkT$ihM`fe0ADHJIqn%c7$_;gzXs1|^ZoODca> zOL10fPWGm}?4DDYV;{o4iE2!QP32{EVCa@yDpe}h`_N2wtXxhPEM_9vvG;g+=L$!Y z_IP0dGPAvQo_S3`B<7_Y$h7E}J9y)OhtvaB0ifkQpg5-nsc5HK{5pqxYPz6V@mZoj z6Xlb0AI@MSs4R=J^G%BeW@jez^Xii;;6pMjDtYJX9DA?Ox(nW#fl|Uo>98Y&h4!TC zHL^VA&Kt8)?uFbhZ!ToHf}%=yFH|4CF$;E;<_eBSLMC#na9&2wq>`E?e)aCd1A1d7Gl-=2jdrLDpIy4;s9OMY&C$ z`$ZtdN+^q>;2HX@0O-s-GG@K~W%xcPi0*YG8El$3Jw4~^D({0iIKW*Zz@_k_SE$>q zW8W9l{0D$;5YA|1MEA*PGgE3gtSC}?U)vJN$$spc++=EM&+ru$1cs>9ke~<+PdW0L zhz7!ovSg0gJk^}lgu)h380-xR3?~HFN+0*Ntmc^( zq^e^n8Hu(wYOsC0?+IBv`F4)?ZNqrA@EK&y^k? zzuT|IP85!mJSg&ABHxinw~pkxz@J3$AsbGM; zkx0HSepk?c0G{liKceq82`dnS;=u?ZkMB50YrQF3#M~X~sd-ewMIm;+sT;-HzvCfN zshil&1>GGpvgOU0PPK#!B%5x^cKZHa(y$$7_lQ{iMwTwo?(3!c9w=G%W;9*PEH4&; z1^G zZO&6qT?(N2nX~=ylJX@;^KY0yKo|VsJgVwB!|+Yr*=6b)I}V2Gf~DAV+Mo*G6OD#E zIlT5~Os)3@PNl31goET|wQw=ko`t?N?~}2->6p0DdEcWhomcNW^bBV5etCPBn<7qZ zZ@Sgqs5BuzvryX0Tv4WKF0tBsJ7_+ky>sk1F24y2wPEHQ?S9q_QML3++KRYdW#bv& z8~S|7+Ov6HPjeY9^7qJL=Z^cy4!@EEPf6m1=kd(Q`ZbwZngdyLG!@j8t)tEiTUc8(|qF<%YHoUauO0tYcbvnK@IasM`OXS%H3q_iPn=z;Ni+aY= zE2Da6IzDjw!rTPuBm+7Cj!HiVtSZB09tbzbo8(B0FLHA97;%26StkB;J@CYu{0=n*{R| z#(0H6ilC$L9}YUEo{-#3Re}_{V4*L*mr%B4IDRf*KX z``^s>e*leqK^3P7w#`+x)LuUkC{UuZD0F%-*$+-3d;p9mtGD3hjjsDE%MITn^7M# z$QrX)(Qz1^h$zp;8(}S8P${+SA5Xo*$Rj!E|JuC4GRgZ+_};*`jQT>%kbae%KEr41 zve~wdZM&vN2tUa(J7=t$V*i>lhYb!CFt$-eoRw4i3~P%*mZtWd<_HFF$d8eU7*r|@ z^|{tuFY6md03>p0sw9=SuhqC0L@6vVNk-4axNJw&1gPBU*(-^X;ul{vCC!N z?u6@fsy}psiaW}!TX}Bw;c**1eJhXs=4X-zmIyd!P_Mnso4425=0!=t6rtsEf@is6 zEDx7|OOhjwxb+Vr&fs|yI@`IG8O~Y2p6?`ajsIqXQ))!%*+e{VMU?YY z31O;~UMN=K3p7hJi2VDQxN-VVYLRpg|518jSikg^Na8FRmJMJz0Crgbc04cnvCvi! zBONL)SN|BTTYxv5Bdk=hL^Dv9tLL#1!&u*)1t14BusTsaPuc}i%8J!+fm_t~7KkCc zepweRh)X7Kik-&(vREn8l$P9^i`Ap}o#Zz|12kmGKI-HYN)!5xP_NVJF9ENl*a3pE z|MsagRd@MjjMvHi7W5WR9k|vlj~(*-lyHdA@R^$sw22I?OpQHm^=*N?e|d^36$xBH zs$a?Z3$tHAD*JHBRIr42WDSp=#}c!kRy`P|an^?4MRC@KzwMZ&1mpuUlZ*YiQ>Nyn z21coVy&8kTynQ|ywOr>E^-mqhPVG+wQX88Do)dijSJFb1YUB^c>E@iKm*oux-5A1b zMpHKY4?R~ES~bp-^6l^Ajod5o`prJU$2G6e3~BtGbkGm!2kXFGjiDmOK9VC8OsZ+4 zrR-i@Xm@;Mqm<-FSwyF))p)Qt{0*cMB|#l?q4c{HlOQcJ3a{USYe&295qZ|DhVLKC z$w~_3hF0ddf>)z7F|I1~i8pv!XATy9c{(X4{}2W;I&%uop$$D}5}6SkBayBFiR7bSB!Nm_*s|Z#Kn( zxe^*LMQ+x7Dm}7sB}+JeO$l*+_1$r7sQc2IxZ46rg4%1KRyB?B$aEf->lwd+JXE$0 zI4mRnlR2>wFCb<$E&?i6Wf-hUt{Ry;8s(&f;5e2y`ko5cSdo|ba9{}6Nm+-ehz54w z#ByIpIPto^X_98Am1Zhwwr8_}R=IzbRlq@kz&$$-g3g>Yt-A#XD4dEm9=AYNj&lXS zA^M5HAE7*y6_IW8(aeWdRwPwR`8cV*^kAeu4I4K`HB1APE^h&e8X!<-Xg{M}7Yl8o z{%%*25X{mz9U5x7+Z9YlkwrK{7A|U6p>}ttoV~ibUm{1S=_oR1Vj})5i>8mN8rCV@ z+jML{9rg=dvTVK&BmV$W7FwaE&8`*aN%?_uOu4r9v`+P4CXEwdr}TV7$QvyogVPMH zPo}@D0-GeMEZC&<97^w*CXEW`K)`)oq?Pnus4pXUKB1b#(Je|=DZ)K8)O>~7bK2yz zQawXw$of@YJTld4?0)YXbX@{Gn(no9NUw#BX&j*=1~${DZd?OSf;073##CQ(@EW|* zW1bb0?V=7Cg85<_+N6RbFeLR){&b(hPSIhUBcTc)Rad?t3%R%dEsRqX3vUMkGs06RaUc%p| zA|0Np?s=9o#F0)+i;mIgO9eD_r_9Wy6QMd5op|QKwd?FwJU>x6NRqy zURD+CzObSiI73Qfxh`?PCr&0=ysf%$B$X3mkdFgQlkl)4loq9`dl5~lWG6=Q>B~e0 z%tNHts6G++KV%5-)Sp~4fKDPc7Lt=01K;KNR1qLLgj7m9bkwJ0i+2BzsW48fd>yfx zELhKkQA5-C`xsQ9|0*fpcFNhJ%drNW=$=QrizG#louVhLds8oywdlY9gu2vsh*p2) zkDa1AVXnYJ57iMbV+;;`6f)6w@vtbHtobXgq=M>w0T+=l2y1kU7zNstGdTQr8AcdM9as|%r@O^-wv&Hv_R^;a4 zWG%zJyic$z_P0I|omUnRhbWZ^l=a|+w(jd+1Z@&`KOP-O?d>`c&$sayHQL`ukhw@{ zfE3nq0?;3$jA9l%k}v*38s#q`sJZC_tWy`OLfYdH)5SDKLvSvk(e8F=ujhkM(_t6N z0??SI!}H1PWLQB4O=-h*To1MCVKmZO8`Z!zqTMT{99bBF(r>yTBrAY09mqOH~JcSbtErFNo?Bz*qhJexcjMN`GdaF(i;+GJCcr7CpAS$cbr)mktX&!*h5;eyFJ zWO8f46dl4ujn+S+7O|hHwlk6HO91fMNza?j&_jrooi2ErN(~sxv|89mWHZJhlQ|UQ z2tyZpE`J3OeJD1)OUp`$rF7Z;V$k8lPjas7I|e zBulHeVy50m(x+Y~_so#A2K&$??@+&lnJ_9NzK0OJWHY1nX<6_DIuLA{U=I1}WobYh zfJN1xSrpri>KWI)nQnlH)Du6omIqK6tI;3QMz!gExZtb&v6z^=T`hZ$sCrjcj7A*m zmz7pYsJ&KoueEGRbmUy>Qd9S{ZIZK0eLvs;gr+rAy$HhKS)3IKhRSKgotawGv_tK} zL!o7?0y*?^TbNk{9`Z9qh4x{XTqG}Bt*4$65=z6$bYDf)#}Hk}XzOd;g3zABng`0B zMkF$=WA>EEnCn-aOuZCVZK1i3TgH&97w&s*+`jlp+OPSY*(NveDc6x@C2K<3p+&!} zWzFZ4eBO}qI0wvJy;+x%@^smSDNoPIN_qUnsk_%$qF96>QzE_(7~UpN5qb1|F})&R zM-?XaBT~dteUoqw(W2_t;2iy0Z%vXkBby1>uVxV`BjPtS&N^(-lBz7~lH<*DK^YF$ z#<0C37*Ko3r}wJ!NMlyILTHEO4~p}p)w7(U;QhHM)2X(0At!|z6oAP(9=OR7p5Ylk z%+CX=<7c>0^-*QQ?%7*tURjXt4;RQiYMCgZzPax3X{Oz3)b6+`{=3u`&-f_*Vv5&{ z6iVcCAWc}?1CVLYE4lzNd4Q+@SLD%F4SGhnk(YK6Tc|wRA_vUsS%?sfn|Ef#I^$*-mo}xP7mmT9p_R3+05=1p z@)o+Bg@#sVyMmX2=Ep&^{>ajHA~F!(sXN}IQ#lyTZdsHaPSTS4td>QSI}0Z4hj+jl z%-X<=-9^$*QFW_0oSUc%wV)u2m9gkCh$u#ma{@!A`cs3a=>zLgp_LO{LH830J;PC; z=Mi&(3b`9io=!fqJCL!idBd-yV3Cb$Js)XTJ12cBd82z6&gcZYS$6t2?evHvOjzFJ zX>@5uFdOK0vR)8k-j3_)ImeK0MxhYgKRySEFI}%5YvU|qh+6wIV?8)gpTSEzj4ixy zIX%acwo+gExk)XjzR+qKgxT9PS+$AC`ed}i`II|OX`TA1obW7n3(pA5^0X8paL?iX zP*r%Amo4k8(N!&lqjX+ZxY4Uu9(f-1J1m{hp}H^q*&uLOc(*Dz0uwYmpGzTnUaiYi{|Q4&YH7cdL=bel|?(1uF++!|q068dUhja8SS&8shU1!uu88SEloQd`~y zA_l(NG)4xH9Q;I8I(>nIs)snU=msdE%!`a$HObUdfZ^bY2_1QtCRlWbE{G^OXEm>z zfQ8rx>0JHR^v3_zzEt)ycjF8DQm6VnjE-z7tqK=iW0{Qz@0ID8JsgLYP`Ny?9lQzV zbQYsYr9&V*0pE1HD|miQa+%5kP7yMcsk8BMd5@!GSaE6A@pq>lfD^ybllWA^riHh2 z^<;RNx+_&!nYWD6&ev?m3!Qh&n2-?DtLEMkSFw5#A0-BP)WAMfsNF%m9SU`D>;95n zMKt_HRuPZtRra%#9ctnxI|GJWgAy!vxkU1O{5Y8lvO03=IU=)^YD5L1;9Mp~tsjK- zNpr9uDw#iRXNoli7t|m#dr|k$nt z$z5Cd!v)bp=Gqpm=490qYC4pR?X9<(o8EHC%j$=Wi0e?OJ()KMSvOApgWy;MbYjGq z20m6{H&DKsoiAO&>`3YM&~A4d3ron|R1sddC+ysTy!2&I7=>PUat|2iS$Rw)T-uJh z1QMds-MFJd?cPs4ppH!~c>B4N-0N+FpQt_n-j0 zS0p4s%+wtVS2)#6LPb9wnODQgO43|LP4*r2 zG3qBM!?Ly+rfPnpr{k2{;#OSOP)w+4pG(f3jI4(IMbu#|6!9^I55GE>BBR|;{EczW zptMc~{;o6kvKiIYzCTK`9Z|1$hr2U&rpkj#80#cCkU6NVQ1rQ5kiD``bG}(f& zW1EKYwi6BGX{_#&&(t` zL<^R<-oIS8n{LF4@IAUe(_4J^X-`Ir?|$vcZ1Fv4!vsTqicPHyyFVTP-W@=shQ|ZF zO#`je=HCk@uM&uRtvXwMZ_&wnnX$UU+<0cg@q>?r>iT1|)faZV&Mr~!`R;diwC`aq zf+>3(LaR~sc6;WZXdd5s4R7t?E~AE?3(*MCET&UkpT;s^{neSdMX-U?rv}*c{VCKv z3MKZax)l8tOSQ$??;%4Fy*%0MOc z39ws1pa1&^Os{y0F8CIIWZ|tP1lBe~&td4xK5Cr>uRw%x)q#`^r^?!RZB}R<%zA8S z9Zc!W=1WtK2fWYCVj?vUnHa~KQY~VfClHw+_gHMx6Q|^hf@ESsm$S-Di)w?qJ zs}fz~d@lg005&TmNy`jR&*+jt;EVwrXMMzGU$4Hhx5DQDoP4VS4OuC_(0(o8Vy8B5;vN z3whfEG+p=8+aKDX#*+(uchf(z z*y+ATgkHR@@Grubqs>cpwk5K@N|Rw)>Yo?E*eN$JlGPuWT$`KfU4e6{+9M}*7>l@u zWsBzyuVWMfb6yF=BG~tY+D9e0GvndTii5jDeZrV)9h1J4z5cLAsos~003=(>jRrjQ z#i@_e8Z1~-!iCPUq?KSO35XMG8VGQ#z~>1J`}`~jMocBH(Jd(i;@~t4b)e92x$Mva z6ZFRFLfUkpbyn(C*Zfx3oz(poa&ETW!L7#$XIT1KQ<~BV|J` zNQwB;)D?#aktze6cLGpgr~t(7nZGSQzs90|vO(IW9^k}H2hEN6CV<MpcVdc^egaN>M3WCBqnFl`YCdMW|%CoP&m;#G0u6!1G3Mt&m#^n zS~_NoO<;?X&5cBLgtd=-7_s>vKpVs6yU26m(SzvOw3)JiElX8OatpSxA34`_){?}L zMMyh1IN;45a|2RMc~MRp0+R_mBm8N2MwU85ilMku#hsDWQjpcd!zPF!*mDaPuy^T} zQtI0un(LNk?yzlNPq>XWVTUSvgdIVWtWdR>hqVh`kFe6nCkx!1L!p)rzP0USk(Y5* zL?nR8js#H3)v~pTWQEHlllNeTk^Xcq}haxRBxCtu|Iv(5@AyGEk;G? zMgd2uWLmi2*dyU8+doE$=mk4)RUswT`A_P}+L9v+AedCoxiv#01=;>cp4Xr9v|Pj~ zK`u|G1Kad!y6NW>MLIe=v?tk?OK3Y7MtsUOuZ`cM1tN|^%u_^qdsq4~>oRL;6{&UT zwnGhJNj!CkKoA@o@g0M!Bl2*m5qnrZmL4-;v%0DR?4DCV_FqI8)g{)|`qf5uY!0cP z*8MYfw-Wp;RjJ3Id)04K^&P3` zuQKMT`lQ!@4n6MS;>um!ENT^mxNIwKxWpmnl8cPY^>9QX@p6$gE*imyo`XBoT~MkS!|=;38^n^spAq?5y0lK% z?_yzMl&CLB%L8vHR-+O=AJ{5ykV){B47u$`;nzD-gq}`)jLYM)(6{byh4$=yDuHA> zB)449I^URjE>oK+g-I%K%0d3{u z2e!_Zr_qjL(Y9Fz_Z5mgfoNVtvzvn#KD(eU*Y%t{NF}%3oV>%;*|EHv&YDL&*pIqW z?y9}3A>*#PP;sa%bW3Q?c5;8!KZ(yE!PnV0aMkC!QcC<4fk0)$a_iaS*uBPgph97v z`l;fDpYvg^F^*$97sr}y8$M;~EF$#0(V?^kf~Y~i*YC_3Ql9Bk{jTvF#K6O}e;B3X z*K?z`RbXVBLXcgcO=h@%0IM~_G0?v3Sz|9_Y-+hD_^!CTZv1ySH4k2H%wVkgt&EPo za1){_oqZVc6|EFH6-2f0O72Y_-tdq}B%OXv@hpMF5$Y87@c06$}dt zW(5VveEvFvQJ-foXQ5+BV_&`927HGt2}FXQ6aO)p0#(vG&_|!%r>Pk~#y=yKd*5S! zgb%nmch2DX34}7{gZC%2HdCaFvy4)?O!?ynK-95GZ-hI}W4+P5YDi&d@8>z;m)HN@ ze9j8};q%<7yX8e>^n|4GohtkwPdkJ@9UlBcLFn`0LG1YTsVlfLV7DHz!UCZJf&+Wu z5{p>@s9(#HKx0786Lg;)l|Az!!LPj4d{pp-yk7TPUW46yL?-r2%y#+Jvxt?{=#_!p zhgb^;c8}7(vf)$%FbJvqjcwvezcJa}r_a_64+%}%z(8i{4d1P~+X&5X!3}q9k%;T{ z8)syL?k2VKNB5VI)9sBmSOY_{Ntv=y6k&BH)k3Z2`uIBWiHQjn#HaQ{j7E95N+R?F z#S6rzpTL_ZKK)o!q4@MuKi7y)c#WSo0d4X4YpAuF=NbNtZ@Y`~+eTA+c+Ae}tcdPH zX0Tn}5^a;kgB7@pKnH6p0Y2Kjg5lQFCo~wkNSct45|W!v>O;gOMw0#rmwZe`|AVh) zn7RZQw#owsHAB=l#4isV)SRSF6TiG}Q0-c$b+MPb;GZ%Rs|Z<=P zxTmzY3cF->;6Ei$njRnck^~ACNL33*Qd=l$t8@ziN91V&&pXs2%Gh?magO=63R>G- z1JhkQy!_d6NQ~g$^~UD|ZJvZ&*N!y)Z1F0>mJ=4;Jhf{bHfzOQqh07x`uDLwtbaFA zpo6<@L?Hiys%_j!bV4*a_|-f$o%mGH`*n7d9xcQC5O$ObS5Tg1M`>iq+*@iY7YD?I zQs8UqX(nFy#MkleF3LZ2L5?f+4(j2TTryW4N3L9A*=aiXHT5W~o-Ew2x^E)&Os0Ih zo}nehfr`bqG3VLpM$bay!uIuw`79P|9R*&DZ?G3kQJ)a^Wpjm||K-5uy}wy^>eWqu z*K1l?jcIF$&1>0{CO3bY$ldKu_3tQ^{E?kchx*goW(CdpHC)jR{bMHsb|$MajQ;C& zxeeR7@vyC@3@A+->Ive

%&>@fX2luTf-W7PB>y-rj3mkKft{jV6hi(`4;8%QKS~ z=3i84G|Ips6TU#{VMUIOOTTB9>UoQmgG`!o{_a+r`Lx!eLbsKm+Dvl;L+*~J+gc)` z-FI;UWz(%hCqxI%n|)dd=VAlr6pxK)s{QOawltd9ttq(Et%9L?*uC@+j6rk zH`8)6EH~Y9(=0dDa=n(DY`GrGbz82>a{Es;u=Z)!8mrf@jJ0`le4B43BHH~Oq$75l zB|5>}k1;c@$e5Tv{?49H&^LaSU6fdhYK=B;-$@Qva7Ad^cJTy?kegoGJZ+B-&1%ah zeR#}6d_Cb-4}*=Z;jp_OTDq%*%PTp3i}a-MZ0%0mom?F$Rc7P2F0jXzqdT2GQh*>O9OQc@;>%- z+ummLG}DNTw!IqMdnlSiony?fTg6{IFh-o7D?)pr(a`Q~p?4D-Mh8-71;)(6+nB1) z)_YDupJO;@;zLA3$Q9}v2%@U^Ff)+8q9!ni!7yMbUey?=Tvk*WXjry_{v6g{g70h^HAd9VGQOrG=W3U29^f@+Gew@;i;HC=?{e;uc)ee{pd6 z>y|DLNSt1y^$KWM;c5b5?Hamow3V-kBmbZE1D%k6+57=LBmivrq&LaLW zU6a*-C_&JIKw*M<)u69XXXgoNOwPbKtR zMEt3-_^63@6T^vbs?JnaEn{b()GO-%i?kV}!b47>CBEgsW%)}R>uW1kS;Mx`Rs9Hf zQH$PZK`wJm=MZ7-CGh>uA;QFJVR4;9gux!vVZu$^@R-gaGIHksG|Cn>QuJ3^4J!PhEWuS8TlFB8q-1iZYQ1u^kyUCrpp1fmb7S~rUURqJh z6cNk4|EqH+=(4-JeV^Zt`EBL*OMXxB z`yIbT8g^pey-m0rJITKjiTG3EvQ3n0^CO{L*E#&;pZHJwx0|pD1U|?hlD*u6l{v0Q z`EA4h2)~{9lfiZ7X6y><%mPj}_?BK0W5SuZ$r|q#v29L0t-v*#3$x-DS?(Omor|m2 z6i182QlI@+2J72aR8C;6!aY$l@CtWWxxwZRp=n(Ve`Drz0U#@}fU#A=BG@wLUbc8u zm_Ti+9J|zLsZ4sqVMpsINsQ3`hG1DtKZeWwuV-K{ik+W`g|vJJQ8lMKvK~qLLX~pC zL{}*XLQ)^fyGfyU``QjrxcUhthLbP{J|Ggn8yB)RKe1zIH}(hY{pIfM%rGMOV}A!k zVF8#nPv2;_Il>+jYd&X4!6J7vC0nw^LXt^C4kO%&cK>WA4PjTHH_p2#c<{!VokFbM zSwuT~?w@bd``UIS8>$lfb1b;n=IYNf{V7io>(3ebGc8h(sl0IY&VqDVidj|8`9hV} zzLbZki_46;;f1}H?I^wLx?c;WN~=Homfc(qHbj;Kk5MnPY?fUw#$qrj<7Mv~{*yWt z07M-FSsp^rOzF*kini6>9jiZ$GgZ>%{z59@H8+j`Z2=%HXp7pXw@jBAlrlSWGjFcDx}Z8(!x z#clg?A{CA+=a_uGqMnYGlT|=DR(gA_&+tR797WgC>N8rL?rHRf)_M|x6T&EJs$i^y zv<>Yk8>Y#~%R@g%-585W@8)csQ#{V|HtdI3!0O7&k-km-wXChQLH6Hla>}Qlu@kbf zeNBE23$IRJA33BDf#kKYV zjh02CsAGx}C^_{MloZB7(|b;B>wi zEw`qB%;B)H1%_sFzcB}PQmc){NpG-(9TAy3~04aEcSaR$-9-@mh2C;XL2U;b2z^AFSZW^Eh*pmfe`Ki8D{6_A#*TM zuc&)_Oh-Z?kQnFWYuzou-Wd#*gQ!-GmxF~JtW8my?eHBKDqDaWSKEQOj(rF#6lw-d z2@NC!Pq)e~?NwI~DmY_q%6G;9fju(LcwEXnVkI}je{DQmpP>jRcdGcW4Qkual@1C? zHJavT05GC1VMHD5^c|HE6%kEMj#-qkq*dA#E~2<2^2OjeI#6^lc#v52+^;?xpt&1? zMXMP2B$0Q(3?o4kBk714e@vNcX32?|{!ZiAujr8Z6n!WeraUIl-U_scW2}*O=v>3EIiWU%s0Kl$gYUk zWMMAEGLH#k`5{GNYef@7Fs;0yoIu49-bWuTv;11sJ%xXDH~uHuzJg+1F5#Wch#Wy$^U) z#r61oH-DG>Apt=_Q6mOK0Zk<;0&2o$Ly!QgNdPS>fo#}dNYZ3iQ9(lkN?2%9MN3<2 zq0$yx+NMft1hvt`fR-vM+NiX}O6yHSZL}#yMfUxix%ckg1VZTZ{qz2Q&--qk$-Q&u z%$b=pGiT16nK?6Dgnpx^{E!{E!W=$AL&g4Q2Jg;4niQaF`}PxkJX2#suCenCI?FLs z?hs|_H!PyiNfLx4Z|V;)GTA7i+bFV0EREDiqPH0oSzwM#!*s76W-80bo5@S^!LQ$s zUw8?L(grdh#JSS{VKpjvsS3ugf-^f~7}$OmronVDC~gsDAF~#$PZKa{T{-N@3y!^Y2Z62}yA)OhrN0=+> zTJ*7K{W>oXd(RT@g?t~)=Hbq>d6_9MT$UBKK;ELi&GzW0BI@I>k-i9uUu7g|=~%_k zi=Ze91rEhHHO>>JS$itlf(7aNI>3+~Sfk-A*53{Bp~^W2wPIQ>nnuKjH}w@PH&69B zxv^Jyi}oB3x#k2ukX2_psH{QHj)02yk}&9qUZ9w^)<;J`#T#lfu&P~fvhP{{?B~=9 z(sJFss>;}5_Td4(n7g<_PlQ)ingEnCQ1?;;4>EPJbQJp#+XH8qqP;)Cm^N%+H*&be zeFx~4jv|J5SvY8@nk7Djap!#GE5*5LgakOD9S$Yt#-6mjITwnRLi?gh$)YX_mWa zWQ@GVsp1Tl))5*mp1yD~Xt;fc9;BC!I|wOZ|5id@In;;p5{H&lmHM_!GsS zB>oY~{{;i~_V z0P*=&D1h&n0EaDrtQr8s=W9>^D@*|00>}^m@%gqZfcYlC5es0l0Eo}`tOB^w1n9B= zsw5BM^X*pv!%cv03&1A;;`8YWp!;2;m^~K23IPxwtq{Jvq;mNZe>s}7lJasHf@iW$ zgJP%bZf|aRvA;k~%8M$>PWWns-X_Z`OR6i&%RSYVRTAI)UeM2XcSZ5ya<36(dU16G z-dB02?Q8gZ82^pM6-&Jl{NeAiqGU@q{M}Pob7Edj@~uz&aK5ed%zip%Q>2d^gLvV7 zF@b=Vju+|G)>@tfi!Sk67-CDq2Ey&XH4jJpg}hhbQjs**UGLI1w`XfR&}$rdJzMEG zdRkms*VTrO!^0ic$3AgsdC$7E{kLRm=|6O7nRmFfoF`mb{(Ua3k+_@R%GMfiO}y)^ z;Qh#@P575fTS2_-ewVgEcWFCtF@FPZjZ5nm_qa<-+XgOhlm}c|bFE98jLWZcX+5i5 z+NKv>+BU)$5a$3c^)D{1iD%AB)3s@h;C;@eZQ*^xE^sxuw5;a|!yO}^+i*P}5>DQC z9(HLZyf*?Hqs282ZA@*9)t=o0mc7$853U@SQfMeNXH-`Ps-{=22sR77;`@FUqVAmK z(h@aifxpD%uP(1aQf}_C(N<`_|B(o-#ovryXq`p$|F6(`P+^3YLXY^!2O(E2E;B;K zi?yl@m-bau`73B!FkAW6%D+S{HoLrRX+_LcF0GjGCfZXW?S)@$H2;#c`0R=$zRK#F zhVj|jZ9u>dj<5T=0U=Pynsa(tPT6c!pF6IkF-YUWm28cG4A$aZ#Y!71yJJ8QilG^% z;RFF^U~5yfSXX6vW%UlS!5Uy_+K+o>vo=*rbX8W=_^XRqBdpO-0saWRb9x6I2Pas> z4FL;GFGs?+OZb6aShS&e`7X1bAW&p=QSt~R09H}K5fQrTf z^#s%@gmQMMv%^pp4Q~Qh1NpH-oueffxU8Ig7ohe&K%=aj6~O=VsItsuIoe79N6|7Y zY-FtVTy2o2vMNwD+glNke0>L)ogiC@lW=k8(T+_to1k{BRQTT`XsEQ%`I@t^c(K}1 zBv`glx)C-IL6xS(15)5;I0Irn>8ybr9=6rT1zP;PvYIl+vGNJoS{gXS#r!amlhIoI z+zRg3T5`MZT9<~Y*pN7Tc`noj13{g6SNK)I1l2fz#MuGUwS>79Ig85`wqsYjG@TrY zvjdG$K(w?RM&Scf;Id#5X9pUqfN0hNG;S(v8~|~4po=V^xp#Q0H;`NxNr|%qjkA&y zpmikY1dBL3(8b!IxfSk;66r_+!}+3+I6KTG77SSz0yGmY&JHtPXz7Mf)dI1XP;qvM z30fQwE6V&?(-<2G6lVvRs3p&>5Xpf4EaSazGH{ehoE`2`Epcwe?8-omH>dK>ihV$} z10l{1by*l{cI6#j!F31-adxN-DVoAnRCNquYvf6s9p)>7iEU@qej!pGL`nrhoE_@& z2oz-60nwRO7eijkKLuo_S_j`|Q^PJW*y@`EW#LS@W4oTS&Jke8iAh z%74d5|9kf3F>ahpW~oI2&>QG%xSiiJWR@fMxHJ!L#hMdk7XLn^hLgxFhaWOzmdW=c z@8HtzME2oX^~!YZ2x-J?LmLM-#xR#CcwwuK986$gB3U>_0Rl zlKkSu-g4Fmg%J_@xKBE9tzMjF=GXa?c6^dCfMDE<-ng@)bKNMBjx{{|Yy6)K7} zNV(!okCZtTcX>phxM)CuVie*+IgNP%m1%3x2o!^qz_FZG+Mm#o92Po+S1$+4!ahUa zV9l8w@K+WvpXpC7Nf}r!NgYrw5sBhda>>wMa>@TuLPZw6*aztz?Og%2XK6{fl}iFO zGDreI=acbFPq&311Bzr`p3ZbLa=l{M`Q3V+qZDf%4c%GIlQbqQXCEjwEk0~oT zJDK!>Xkkeo0@47QeKu6m=hL*r!s_CRnkprX2`{c7!6dkjz2RS=#Ti^%(40*EK)NvH z4}quz#kf8wF4Ua!E2~T9R~J|HC!(YeD58uxT@l3)ECvu!48g(_QT|s2i~dIY@!@g& zRHOZbFx06=`-!&EUMOBmrHHfDYwe|4k|_~{rI{6gh_i!T76!}r7T@9RPj<+NNCl^m z9c&T?q~E^{xgfdFT~g+kmh397@v4-gXq)>8b9$G|k$aiT6TM4{s;aySg7^GNb`}YA zSVRamm;9*rc(&ok5q}=Dqz=)3v=gyI!OGt?5dyQPPH-V(K-rNN45i)3wPsjd(kFF2tq2 zf#L|~WG72%0{>m*MiYnzXg@)5H8pc8E4)cHHF=fQ zWp~5+i^~&gYUX*X{bfsv%LztU^x4`$`A;JC4i0V2_K1H0@71^ow1W|3x38RIZwK2C zPVdzY8vlf1;*S3HTj{|6Z?%JV(wg?i|DJY`O1a8$G1>##*R@@tS3<-7JYCDfd2rha zu_}4CcX=iHFX$Jpcljg)Uq?;Z>RohS-8Q;QJsf!=QV-%kgg=9NNF)0HUk|h$vmRm# z4LS?G;th|GvKGg@*3vFc?pKUWGWB92#-_8Kb1!&p>|9Ge4KWtAm#I5DlH<}AGayX+ zcSLWILhYq!3DdoIc+1@-OT9IV09pc2Pj?tdqh_^K6KG~dg||8ezDw5*mD?~$I~bx3 zQkX<1C5TFFh$P?QhMI`x2ACiACpMoE5u5Y&=4eTj-4746$}Z1gTH=v77lkZmEKvVO0PfBdc^7I>qZW&uRD8Secd?&>gz^DWac5zZATxvovS71 zm6dpnJ;ZEH-R5#>Yp5r27JY?bpQpvoT<#UsVJ0=nY%(Oyf&ifQd<8M5c)2%&%}tEQ zp@Y5KXKk7mn_pJpt(gX0orE{r@&X3!0&URj;uZPcilu&^(0(W|U439iqYrY=W}bjL zs9z-{8n1zskdk70SiNXX1Wu-e9215*l@b!sbYLaqMOMx%`Mp0S*rLEg`(rrBu`>n@)_6OegwNW==S@GE>??WZaj! zJK1DnVz0@>MPyf;)rRDWR&0K8h5tscKTutv@;eR)aTb)6`!c2+K%qKSAY%#E7|<*b zd1myUm5cyHoCN|z?N>yLg>1@NUw;#q%LkmeOgi0(OQzCe4lr@K!cumM+O)rk%as<; zsWhTjS=vqn_Wm@YlP#E2Xhg3bP$N3U(uj^igWC>I5ND_JR7qx*_b!?FECl8d;o|Ht z)1*wmc&fcMHG)V7K%5=u8p#VlMOFPxv#t$KpiVW-x-JZLs%ci1ZJO2J#3=i;CPs)^ zmg*Hsqu0xPvbVe>ZQA~8BwRMGw@zn0lQmjdr)|Vpd(o|R+BNTFYknGj6VJU>i0AL( z{~B`&{QGe^_;*$^Cjw?1;oGuvwDKQ=6PShgyYV}x=V+UF&*0g`b3d@DglFOR0~=F~ zT#U=$TOr>T;0k$ft#D~W3a1Cq=2m1ckwXoz_S=KasZaGO+s=spAYp&UF@B$Pu4Z3@ z{!iy>nTP%}8NI(XXzl&!CtZWK&(-!mjgEI$|7+0pxmr8}+is>fUx%qU|gxQHwJge}7uz|7fXN#?2v&QsQi> zaNZ=2>nPC)_P3Uu64n`?{#tb^i7bE!_JoFL@j2eo;y}5-KhYL(ec+{N#PxxeqRrLn zQ!PagA5gSC(-3W^Ww^9qlt!GrG-qjv;4dz}(OW}_gt&`XI6JKc>yxR2N1l#+clPPZ zcjxqx?@lI{jT%@kJ9l8Y49R_fMP?-T0T!8As2*UE`GUTJP=D*kBEVNj^Ej1^bD;%v zDj6r;l3z|C%?yLhpf zFXLUw?#TEi<6YIW5q~D{H{G+sw3DeGz{-`vpx%^b9lU3vSUJZMF9urS9u-zuol3EFZW!uRimmfbNANk{%Gp4Qt+dk+d@hIxKKmFdL=RYH zYwpr|Mr*NZOzBTy#j-R~PrtkGq{j@buwvnQ0KsU?fP&H3(-n;V-&?g7^;a{>7I79! zfj+hW!FIU*ls{^*dI06mrKckpT{fU#q*kd1+)<}isTI_zls}iBhVo}pM6Gj*Rq@OS z6oOH(Iit1rSlQag--v%0#Lv4T`;@w$7%#e=TeG#yrMS{;ZB09Rpt5Xj7|&*&liy#H3~`#qnJVk-f7=R9j97N> zKIZWB)~uH>4w38G^$c+^R5xg+rtK;--Y?+YJZJwm;`Kh--vZ3foY)#L?Ba@Dyt2c< z7x;p8tTkx*to%@D*P~!J{okGy3ORCPmW8)~iog7N8mK5=YCcJj*S z44kr&r=Rx;XStW8A2aWfYL&B=929S@FWOsQw6DHse|^z`dd!KcbK^hQW1Zy)?v`vw ze)j0UCGA~J1o582J4bedu6#}&AMtP|?)A^_imrP@yFNGzV?+8s@8MEZGiz6e+($z0 zu3a>cTDkmThFi=e9|6m`GclUYpPV&pq8LeBpQRx#(@1c5dE7IM^io$(#}KSc*X@gqNm3N5q8HZM)J`Qo4uR2szQ-maN5KGAd7x*Cxey@Ik zfr4A3^y4%uE`HJDOcZA-6bnHSK4kM_s%4|Qbr&Z9H2ua}Ib*5oV|li886l=lV2$9 zPX+4QF%E7h;qE+5!&JIl-16u!N8|v|6(E%}jNiUbvh&PcWJfMcHf>8AW)fEt>6aZE zmYK!gG@g$*SRD!4q=LlWql7&l8xB)v9j$(ODU~1Ymm4zx%Jn41>Lf3HQ~EP~b9p0u zQ|!3$zLxj4``Hz#Ioj@LYnx_Subc*^zCAUoPd{x^x3Vd_Bj$;Ix%Wb-IPaBSROI07 zw;D+B(>7@>{)y@&>DjfrB|+{urb*UL9ve7Qo+}($AQ@WiDhGu=cD3|x1r~@~{i;|8 zRd=1e@h&f2ib*U2hd!1*VbIF6ra5Kp{h*bLqUP)GODn4r7!G`^{-+d%HT+-qT2Zo_bw!tmRlP|IVCgyvgd zxi+vH71(CL!fp5#$}H`qa+S1`Z`~PbC*d}mM$zD0b*=kp2v4bkwdjd(DEj<(=}#Q& z*0VSd`i8uHo(-=kOizP0oL$aQuWfoc{f+yM34fRHAbdcDV!W}Aor2sZG0mOF;m*>! z*RtdrJ8!kp#A)sX)T<<$?+6AZ;Oi^4FyH z1Uib!b>)xGNteq^x!?lp*fTge%6+fK*VlVso%^+L;3Wi-uh&dO|E$6eL2CwGM$Y<5 z2l)Bh)F`R!3F&VTNC4xQqRWwB&(Rs9qEgl}>S(pUm8K1Y{0aZbnR&@`4pz+f{Fo%# zIp+U>YC$mLwG zt>d?yt0OPd(j9?8!5MjwVNi5CHwX-p%g%>z<4_LSkjqydT0=JL zT8BwNDbKuM*OW&Dr$2d}dK#A~uDq2q@$;rMNYVT$>(o zyot(V#Z>1+v&g;5vsAg@mZt?Fd7dBaneuI+uz$ol^%P1`g(+kl0$}fCgDPUOina|&Kw=WT|JNVq^qxCFu?v%O{A+^Jbuc4x?SDwudB=qriIk8w?hj(RLg(* zf$9dA8ZdW9U{)#^xTwH{<+U?~z?QuB@*gy9>k)1%sGW?|v~@B&HKbadTc;UsyY3>t z5Y7El#)*-}`z^e~GTQWU=P<6x{UDdozuNAXB|%1x6yt$@Lwu}*$T*^JM|d#>zkfiG zzQc*O9k?c(A^UMdz3(MStwsOl2#4m;fAk|&iNWS64T9gFxK2Iw;h>fBPkBgE_YYgA zoKs{YNH_uJw-%$4|lEJX^&)N32%(7$xP~?z5y!uWu9kiYX7b z1Y0bgW%jop7;#2%EjvbZJwD_PiMB>X`!3OdU#AjKc`)P_W3>I4{Ut`*o$^q!Zp0<+PmzuQQHw8$UcLt7D1&dY~zd+i|&X|D(FkoI~M z)@B%wF!;rk$Jpnh`7c?go@D#=k%|H%t|#% zr0yP806zmD7?Xapl5NCZ_Plw%Ul{;?1+d=0Kphvqr@)g`-K?MHsG3hsf zP2jmBrbkeo7-gi2av4#gGt=uC+a#v2XtHOfeiWI^qbC8Ps`hCkPlwdkqd)vIbkogL zj>vDmac?k7XwhF%LH7_raY)*_6q#1xh*stOkqX~UR3jcThh`;Kufl#{gi+t-eJ!6E z)<6_zQB~PxaGAYiMvOwW=sCa8v@HFSX0gSiE>`}C%oq&>;a(p@fZUGR4d}&yPF>Y= zU(DQku7@b^ebIMqhR9SvX@V zGs6EQXRzTt!B?43)FD`kJzWxi^yiY#um3h|P3s$PM)G+~UqyiAQ^fGqfRZh&u0+4c z4ePJ!JBn=idzg5V={jPxbljx!N9N>~3Zri;q4C_qn)Zz60H89A#A`eC(ME0sq&JR+ps5gyi->nc98wR|;4sqXi}jN6_dTKIw(rN4kaj ziw9yq5UX1^@KFX*CCA?&Q(E`2wpv-2!3ax{Fa9lioy0=JaI+XpP#72s2Zzc*{E<{s zgRoM0G1(@s>l+kvq?QI@n3xZ>O^V9?G;T$7A4~a7t&KYY6&xyEZR-zc1Nbf=lhmd) zHhu%cKTg$Lj_#iqVBM*&EzuC<*9dhDDrRuzuKa{A=k) z=oh|-if&UMvC$IkCkk2wkoTV4My=AdT0dym5eMhTy&it z9~fme7e`_UBIK_sjY{>$@t=z*f%g*4kcCTE-yNq#2Zq)*Nz>E9#h>WL@{wz%)h3~XukIj{;x6zQ9oDJf=Zbb=Z_!a+0$05c6x!YJsyGxoJZI73>JgDJU=s2I!~BQr=`ig8{F*s%<4B^c@xYPdGxD zLtY9JvGw)Xav7MKm&(9t4onnC^ia}VlQGOIKXU{PmlIO1RXD#VoCo9HE0-?QW|*ZS z(5C|LmB600p;c$wt0rHCEmmRC$LB{XXOaq-Nx;^Xj7|2c8KHtMCCC^Lq+citoev%) zcelz|Dtv{aenO)_G@e3xZOL@h9lFo6EK$C{jS9@8ANdaR{(m``Ig0%E@~)90SrU2# zc}bq-KT{ls_9lInUPD<%!O_h2Al*RbW)dhP(C~v+OV}tTR_UYf{X%Nsm>y!JCqqQP z;YY|ZT>;E$_K5dYyvPayb3Rq*&*zPjE=!WYuc%DUAd~c<3pN&YZ|w2kN)d>AF+~X1?kF-Qrcs-3H!Qj}spm1hk!MTC8(wPrkRc)& zV{2qSc7O4gp>;*HU8Yj#Og}-btmJ*h)(EJD$Y7-?ma28}8r-}u7v&8{6^R!z_*{#AzY2JifQ#cGR(PBvHWzAIP>U4Q9Y96L z1-l}1r9AchR=yi?*VjrdS!3?97Nd3PcX83LIbW7=nH$Sf|NWl<79OD5iZnv!t<0aL z4h2S@`V#^(r9tXjzR6R6SiXh(wragywY$d=q;W(2oTRAEn7Y!WFKc&8OXl`OJYSH( zV6%Sv7sM1>c_v?I(SO~8>gU?zK#~O=-4M z(E)AzgSqU}KWO4$BnZE&^Lml!0y)AvejU=ss9XfDXj2PLTzaBEj_BvnJLp=*H~NEu z{NiSn-(j7DYSjuH5}#UFx$u3P-p``pmDFOGhFg&tx3;raSk^pdDWd6jeLJGd`WEkM z7+L5P`Y3|V20@y|h>El!P_=mGd;p>(>zNCLg1^@EFFGH5i z$YA@rheV(ysagZ+dAwe2Waf-ugYfVh8J*%8o%C<;Ps#qqnA)OGe2e*R^Iy#Q?yLjS zI@i}Jd@*YqVZnoP<#Iqxn_g5{ICqX!*3qce4X|}}GKu|a%e>M$WN(qUJGlq(CR5f| zbzaJC_sIdONFcR9s`$?dTwA+aX2Y8Qir@^V{;fe#+WO&(KA5ge*&o3Ar_zv)0u4kO zCSI^6%;I@+b)q-A0{Sa^Oq`F`- z;g0)0h(*!WxkLu>!iO7AIFAs{jc*C?&PU|PTACK(5o}aPQCRH z31tigqSDrg?%@Fvl#T`;P(y4NExSz^EW)AUe^$G`6|#ie^~=ngcNIemM(8ItMb_|M z;9a%n4K$#wO@~#(Z@XVA+FH%40M7}{TiXII)xW6&#`SttmO!lP*TziBVVUJ5v%%)| zO7B_wcLxT6(vH94Pw%|Aw#lgIK~F~MUeI-(^`t{z$V&^Vo7)rC~~gp@KpM_ zhNe>jJ-&~DhTK_E((mSdQ&yvdduxG2v)Mf|&l(%;vQGEwbes`?qvMF=GZdeIL!qUB zE6L}jCR6M&dXtDfb+5!GzKBp$w>15j)Gf*M?pLq8AyXLXg1s{LxI;4c48o@|zAGC2 zNv6r__PmwQNO!eUYTG)ixypK!EZX}(`*(?D_JM72st-&xyW7uEmqDEgw#h0*f+24* z0uqb>X`#LQ#({s^`o@ z!9w*k>H8fUo^f_OTNIu-HarsSXzAg*{kN?n;$O%6BRG+82eR9B@Sp5uw%P5nY>oD3 zw<`yDvD>w&8yi~R8rW`Ee`k>Tv)fhpv7FWVLugMZsSC>*pRmKaaOU#jrC#`slo9(= z$}8m;OI(*|PVP2RW%gO$MPxuTOcss1vwPeTJsZRKu5#A0fWn%F$Bu-c}zgqb>OdC40 zVo9}E409EioA-PPFdXUx1CPV|Zb41^1QV8E%;-(2T8vzJ%9e1%*xTRf>$Cc7Qe6d> zs@_41P zSU{&b>@(Ur$a9LrJ{MXrB-q~(VNU#?hTTO@{F_jx+9f*Hwo7!uKQST;iNx8-q;~bv zPL|5a=KjvujEig%J;heiiz84J5Pr1sqX^HH-qLLI@8EqWE{E^V>#*0{-rL@@+-YT< zBhLRfua)5Kgx3IVKEzS<}zK&<@+u0}Bdp-v5b#R{b*`vZ83(J;cxkJ*1H!6?h zD<&(OlPO`|7_E+xWJ#kXl0_hSMunNcN}6>B5SwfeIuWBaCl}axtM4c-m&Q05kZm>) zaMZ>~yH;s4p4sk}DxG83kF}!52)fwF*3KZpIu6RO%^#zp(`5G&$}e~Y%CBL2a#PpQ z|LSi|N9ftbwvzq%qEJaQ_Mx`u->FAtE+bilx-#Cw$}$hB*`#AbSq7YJH^Xj!Ho+o< z2k)1J^wR6`nmRMl&zL&1XrK`Ln8mLXu;9$3wJ-Yz1z%A5gW!I-ryCiwsIFD#T7vdq zox(LeS4v=dW{XGGZ-)gb58H@Z^f~gz0(9+e+0mf|M%C_yrOAdSSe7<5GyT4VsVmcC zQogYsuNmgQd+pJC?^qkUx0*HV)c11IQ|sBI#dFx7KKtXsbm#Y`9$z&fn3?`wO!`58 zx>NsrGUe$JH22O3@*l{UgZTu!F9BU#-(df^Pkkiid(XDv_>IEBqGD#cK+83ihXglk zgtjg&`O=S{1-V?@@1+krhd0sA)c-u+NR#s%4RG= zf+0SKG0VPMJ{cSNm-%Unn9 zpU}B+Vy!|@l~lWGzUIH{sGEhY8nh{uwfBzJ{AH$21x0jRTkdGBa{+pQo4%mAqtN%y zs{QSyGF|acXC37}=8Q=2SJgfz$!Y$fN-v#4KO^wLElj;+VNUazU-FULJ^JUX<;qgQ z9Bff!mGu_-+5C00L$c5kyd`zbV+`J!{{kkJY)F(zLXyhVgX>78Gr@S@z3#^i^qIa0AVHKjqSosD4X8Qiab6*SCalul8R#nLHC z>lQe90yoKko6^9Ts=mvU@8?+Gr4tCeJoye0Oub=y?L7-Mf3Df1KA0Xt$A9ltruT^9 z9cOx9!pp8t)e&D;@3V4(Tou(4%m_WQ29!ovuqtWo(Udj!%LkNcG5><|d^AI*Q-Sm3 z!@7U-;g80LO|ytM&tY^+On8Xjvwnx@9)3#p0G zwY!bV9%)R^RF(7wHX&rOR5;B_nR#ZBRn`7Fq>i6*9L=TsoM*DH*I=5^IlqaE$mU2fP!lI z@X9q&n*K6FN9PDxNRU-??x%sRWP0@V_lR~mNj35C9ztW4>Q06>BbjB6pbOZ+_d<`F z4ElOj?LAqVe@bcHJySIQBv$E6h@AVZC8%Rt_pzx%f1I^a;~K$z88oVKY`4){W<@gV zyO%^{0zT&knSfUjB$un9QEr&x$?`kbd9w7SbYlA0R8NNAS?|fv=No?L!<9Vsp2@+Y zq!f>sFAf1cs#jLD#fU!DK~CA>6;iB?`q(u+J@z5zx}{3FDwOx0PT= z&DmRbV#-{1&!?h>%j?z*Bno{seoG%P^HYmTq1X&NP7eVs9go>?O0c74JKycUQ9TjA zPM8z_0su}XD>Y!A&61TedH+vjr6VEE^Ej}2OT0xq4_%yvToi@%?XM$2eH0qSw@h5V zJfpZe0GC|os$9OjvLc7eQ7SnzBzcYR{ZWb|B32w08x&McjFPZKeiBEkm7mPONzA-~ zz!aWDfU?2`C|XOD+hWBQ30AyC#L5FgoDIqlv0UYqHOg9&hfr~Lh*&u#FLRxJ?I_jvedF#HKejsWd1v=F_w;uyu8XkxUy8tky!xAm8Ekk4I524 zW!1z~QzXj=AQYuS5 z#eUyx?lH!MdyV*W%gViBKZ(1ly&yF5LK+2_F7;;L$v@JVQ)y+(uur8v6?$v@g2aeO zf#y`s@%rzqtiIi_jiXACUsAGKbu$94_&S5uKXhWM17} z!`!z#P~x3a=`Sn2i`5{k!{+{)@DUSqmhQsS+!+6HpO zqR)}llWcWT6DyU`u!8T{X^qsCTS3c!{@$TXZaxje>8mEnW=~ zB?4*f2l>;YhDOiLokPROHA+N`coQgodSzv~x45Fg^F^dzZsNVi z=5OQuZ@8W0Z6O_?@0`ZoPZvfT{d8rlVGn+wIn5#Z-Lu58`l+7C9W)6p^RC}mQj|M> z7n#p;^I2s+{XElQ&MSDvYX1lw33Z149_k1+#n9t%^gt~QrzK&{M-k2H+fqJkZVLU4 zPeOnZ)C|fIvu7u(q)8$q&feqV(A60BT4?N&{y1_?9(n=AYffpP(*DdMgckJyacXgZ zFvSwfe6`>xu>nYegS12gU?!J%uMjj)Ak+Y)Jv#|nf_bGAopm9Nz|>@gvlGKih*P%) zQ>fViL?dX#+0bBpV2}ynR!Ko*x!}bP!v!y~g=PM7Z|Z>@S$+tKv*D;6EII{@=7bqm z0|4UeKq;c@$gM2LYGlL?9I{bJoEJkchK_XJ>I>-y9C>u ziG>ANh&EcJ9^CS#E{%PAQ(cj4aSU{~Qh{a2iEvt%Pr4#!9)ZrzWhf~1sH z&VpzP7fFlY^4O>-ZXxYVGeBR|zhU@OO<>l7GUf@yvf(mELBK64z$qSZ zp85=uqV}CnKa1{}Pss5bO^|FGh&fpV0x?QI=MI@LrXr4se1XU-cNgB`oD^DpoQ->0 zAP+Q`A?R$~CmT){OjFNbR+eYku>$>7HX;XytB~oL*0pr=3c@gIAK9el#|0v|hcskT z!yMj{cVE25ChuPGy2!g3j(NVl2n_lo?~9Pj7XM% zdoK7)0r#hXQ9$Zt(#yf2Q-@DRE((mSTfyOtGlIjF+&VOvHTgmPudAZ8Upay=s692Z zPI=EXCXr_ca}i?MEy8}2`ARUog&k4#xk(&Ul(onYry6@#z;DXX%(+GU`-Fmo{V)8L}n%dh*zC;%=FZi*D_dWVPDF9dFHtWlD2YcR+==XjoPhGNoAp?Sy z?H+Ex6uI4O!Fp#PN_%J3aV6X$w}rt}9nTBBskY0T7nC0Z;Jv@Rv-~(){raHM?uZik z_d4X?KUy^LT|&BY^J|bS1>Id9{Uf#q%0;w)|C-{?#eAHvjQ4IYww)iG9IU z(xw({Eo3OJ&t14>0nc^!H`1Rih|rY+v2sstiLleQ`)m2n^iJ)*cn!3DI*1H8@}r-; zU?v*OU8#3~C78QFe_x)a67E;z!|W^f0&27)VFo>DMpnj=3a8Be5z?O!B~^QH#!8te zvmu4@DV^L6DEE3)6AVUQqX?UDbjGcYlr?`K{konxDQjQiC0OI6C&ey`l5g=T53qdA zrC@6{q=fr%x8u@qKQ-O0Ja53|;DYm#$jLGo5vqWdO;Uc^yKHBhG0mgr%68RC$s@fX zmOOUeB%UK7y$7Op=8K0N5}o3?E|{AX%o;IuhR>f_KZ7IbrO*EzJ*Nbv*WKgfENxp1 zhaC4Yab-G3Xh%qYl2BHiW70`e&Kc^((CcO?e8CL@U0T=lLvn;hiFh&#tlK6fg}?2mU5CR5*n=`!^VOrywMYENT$uh{U{j)w|-S-{C4!?u`4 zUeUpDIkBDvW=D$ng3~Hu z&}B5ljqc6lbpi48b1s3aR&g?~ZgT9(1Z(q`|Ge-X{&UUHn3ZR2J%q-hZt~452kmih zme`%~yyWwuHcQsKx2V0ai*&S>@Sz_46}NEBZ9!K_y}PmA(taFM}qWtZ6^Rt#`L}CI(&QdY;NbYtU7tU!z|4?s4x+BgdjDX1l=i2V|(-U;Fv_ zcgAz_Cgt&hg*CCkGY1vz%c^UOnRaI2gYemc#j6ARL_dE@YUPBfH6=_f2C?TSd}bsQ ze`kV9<{{xzMoLFiN{+yx&iK{deIfRh%I(bse%+r%;Z}8NfeVkiUv&n~-B{Em1o-j+ z+4|e{jP&VU@=uSZWCF->0e6>PMEl{A^`ov^9bB1f9uNsY^@D3rFi4dhTqpyFD+duE zzHauuta|q$OW?}NsCPfQarS0)1PZ0(yvec&+<)c9qRlkcAClZr_wQr;gI3R4SWD7m zdC9{2sO_WfeGYb7&RXbTr{$7`j9}DvNw_ENrB2B6E|IqMag0kX9b>PiJ9Wxg0y)ri zDFLQDB|2iabG;{oBJTBFR5rWq1H;(<^tQeWitzO{D1hiUIQYBqud44q1fxrFSJ~I0 z`Zl|{4JDZa3Dp{PotTG^qg@Y@p~zHInZyvDW`$o;6r4Uv|GDI7h5`t^0|BJnpf!S$xY`40?F?8x))dISEv#I>{($%Q6&r)67s@EJJ=pwcpY6e7B*kny+tL%E1Ty5g&WwqvoMBWF*rUlo9u=dGqzT1a=NaIv4XH zh-e>Pd0ZVQQ1gn_tvzH&5LQ*P0Vko1AajRc^%jD;Jwb;&2XipW52j96YcwFMC}=*7Ulb zvjd#h#N5Ey8}o|8Uka9Ga_9^pzD++bjwK6yC0i4N(a&U(0<)$1=(^TSMTY~-`|Q8= zP{N1$Ly?@A2b5VbcTN@SUR`#izE_R1?rEB;m_w95iy4AXJj*~NyxDA8c;XCSRX}jH8`{y~@x-WCIg;%;YU%Xp8EH2Nj?V#Lg zIm93D)(!x-mpq91qnaA_3!#czPFoe)DVs>)k3!v~cfb}_@X%0&zpZZFL8FdL44=4+ z>Or7D29bmE>yKg^X03n}(qK97tls7Pz{UE5v~d{!85pkd=x;3|-u@s54$p$|n4$p*DINm7;`vvcIIP);T|4rG2AWPyiRdD1Lv34UF!;r)L;Fj zb@H3N53=$>v%ZAp6J`#bL=cHf)`43(q9+M+P-}biP)!_VH_mQ}bOYc5xQ{Tnv8h&~ zFovaGDPqc+{R%bH$`mV5qFlr5FU#YLN`saD`c@e$4Hjtw>hb8i2{Fp^8(RS9e-QVx zWX)Iq2OJ^z=1uy;BbmSCFUG^HZJ9$~P3qwSJx65uuGfLDrQ<7?Q=)%bp`9Z-%BA8Y z+(o!vO|bp~|11b!D&^AW7E9cJe}%aJ3MMyy>6RHzCOSO*ik}c+m_5QoA_xV40ApqU zzr?YQe<|8+fK$oH&`>{nL;dW-^|O!E&(=j+G{i=zst!Q?b%;f>jkCLU$s%auM7L zt_udG4_43{>fJlr+)Wnrvu*BX1G=@%y_Yuz)O~I4{Z{yaHg~%bez47b2yC04k!{0G zBgmz`=6+j69wGIO?hVEWK9;E@B);8xBI-J+!rGG{Q*H4pzA%71uSOu@1Q{Uzl&w9GjVPm}T-l#t8y zpD9oKr1zfBLaDaLy>pGs3|gk8F#kBj{KNfOjj!%nEpQWD+r35YDnX(deyPf-zOjM* zooNt_?y$v!4Y#64nFa+l{UCd)gYHN51u#xJLbtw@UFZ5@3h2?d-y&h#$Gf-GH9PC$ zf^k#b?e|94yW3rL?wv7Ab85dxOj)~v+MqC~k(LeCV^uB>P*|+2EsN3r&8FUZ_x@#? zsa@4KXGLk&E*hEoN_O-;GMbR~huGJ)UJ(45mvu;BO+>dfBx;dIhw|=*5c`4c#U34J2=wQ%& z*!un;->p(Uc^z$KziDKTNNo2p3q4-pxPA#!Uqd;q-+cmXelOVRz}Bw%BF4}0&&@_@ zW7cL+T0N~0vN69RQ_tMaoa$`Yh^0O}a;vn~O%nEf!XU^(FZR6F4!dgFraRqumYwRl07qM^YX8kc%=$EA}OAF3E9F*-x&ju%lzP*Mn zXyBgw7`V@V5`y)RaD9{T$6ZyDhMd_ll2C6x&VcOEH~$7m297=Y=gUy$7j5CKwnTVu z_j=E5Mx3hgf$h-&y*|(?Dk9D`d~=K%7KTX4z76nrckB2WdCc2sspNNuN97G@YiG<+ z_h&KwxHfl7RA(yh%r<$~I+?Jv#Nu~~|HWt(7Ny>qiSi{%61Y+lXfdm!-o1Cydvv@7 z(EfSpeS6%EjneAuoiL>iD_eIY>96kGBuxPKO zV^xg1J=(qB;oe*OMS@tZYf`K#U9qY({`+B7bmvCt&eE$@m%fB99hM|!QGXlV4ZC6} zm;NKfj+TzcQK>KmcXwMOOoYd)jq5;yAtZdx)AAr_5MP6Ea^N8D{lG z!=xJDELM#wb{Yg@XNjIdDL9FrKnExEx!dR;NAbO_V3lvx;I;3qN_Y}B)~$bO3;=Rm zL?@yX8i%Cg9j;9G(HjERY2^m3+yJ({~W@&XVhw5IDYlhhMwM@J5h9_f6gUJm< z(-WXji;_(-kmu`>PZxdl%h2PpX5w?6jdz52r{P`ZF!W?O>FI4b>Eks07X`4@ob(C! zGPI5P>FK*h5kbrZ>3demgf|)1Q2O|ObX3@)Ya#I^?Di-rUwWI*95XF{F8Ai$=&h2a zcWr(}3A+-Oc>L94E}gtUoZQsMIw6g`0pU@8+j%cRnTQrH?h4IH&Qx*Gy|N@0sTJOy2$GyD-Hb zGcN5-#QQ1dSWO`mn<PMX)g=v*M!fBVw@q;5%cntV9fJ>G%o!S821AeVe*_Vl@Ad z+Iy1KIW+-EZF41aG8tWh3cDaQD@I-dBlH(&lePCGX?`avfBlLH8lkpnH{iZS&4hQ8d~ z9LHIBSz^>H$O=mgXd=|yrl;2l>JiMvu7LpN{O;@LT4WL38mB;I*7cSLhP|J;!lDU~ zLoBr{r4@upR!vBxW(=8tTn-bo&Y><9GFP`A5YU$*TVWE)zM@cJ30VP;S0=t9B z8r{U23ts#k=;j14oc*gwJd)pEUnn&Wt4CvK?aA$(UmDM5mEVk#`m|+HdIzm;YgR@i$W@N9cW?dnz5;}=L-tv%kVou4ER%jsW{JX~_J zJV>oAisa#4+EK4Oyv&DirTW1aq4(Xr^3aFgMd#S)-EO0I7xbQN1RC_dE<)3!YYdv! zUE_xbYk?uO;wt!?J_~KiX<+wf|H= z9W@vEb`qjbq~(@AUd|_9tN71V{tEmoe5-=MwR0G=ePwCpd9tvd4V+cnZOx`Pe$=nz z=}TLlRwyi{q!Ke)^6~fcBl(bEN6SLK+kee{{Ehgx03dc5`tAv`pYPc@J%{DxoL=X9 zy5Hrlz-c+!{uwzZobQRbkiB#7VIv-Q1h`Q=yLj%sCP(XW=V%SMoq0LzWXmgK2co+D zPx8i|pvVWwe9}}BR;J$ps;F_Ojch9sKs~uRy>^a8YcUd2^?Pey%ummTKcW6q%Fpcc z9a>xNB5R@lZ-n*MW|}%np|h9l8{H-pyV6eQaspa9zD|>}>RW;xE&J-44|U8ylOfOF z#v0G_(SnG7Jd(0JzimF3BBzSK#(aKYKJSY*zTaa$zc8QYoA}N#pO?uKVenALJK1zr z^(O1Z#+!px>3tpK^Iy0xaa(au;C_XB2KNH)W!&qycX03HR^#e%-@<(dcNdP-wbF-< zH4eV*%^{CJqczXH>*rIP>Fh1wyZlZSR&t7XUEs*a$xq(<{u0KxxK&rvwhYNq_ZMvO za(^Yxy1VjO-jk+eY11yV_Etha)hQ;ry}+Q6bGM^WN#L?$s_Hqzi}J z#mB?&7@I%d;QLA2gy^y7v**V=?S6n9nq0L_pUt4NHWWCc?w(N`1d)YA*C^pZshtTZ zT!VkmTLBO=E8Q&pqP|I|2LBKy%m~(UC&T|&T*-hj>kMkCT~=k=^oz(=DA41ZM)dil zhg`ti^%_JRwR9jvogB{7c!0u7q%Wz7Tnk;F`K|1_k!4cBCM{@68rp1!d6t5F8XE84 zhVuwP{!NdD0@t*;Qlr$K2BW4~;e=#_zmBF_S(F!hyQmkB>3=3y9NZWsvU8G0FXw1p zIBRY8tX-nAHcn+tWy_E&4jX$nP{5lsQd{XlYvyX^j8P!s^ zfYRvkPdThO=NjDv8*s8ojrup6F5QI9N#R=l2~nj}jA77d>6ihFkxmhjHCDHFAsne{ z-Y9Qkc+jloA75gnTL0^Ag4))~nswsFwc=sf?wOqcY+QQ`-!68}U_%|$uMaG*nwc|hG}TMJUo3p$Y{sQr5rDjMM@gAj}g@qm$Cbn!<@m*B0w5 z-Kd~=d`~}1^B;W_%Bjay8xl9 zFOv+l`P3&3c;j06N1{+JWl5oi^z1MrN zkk?K@b$<>8wAC*>T~wW-Rn)h^Af#ePUusqCQx3T~W+x@8UDd7mFJ12rP039SjO97O zQ9V3xZg8IHEA)GBR>d96h>YskIW>1gAZpTkVG>^qLTUSt!*N?WUScFQhGn5ejMgAF z!{i!|uHYvdr=`mc8k3r9SEi%$iE4DHJ?*kLRc*tc^d14Ic+QqRGMUhXK_e$U)uX3F zB#-_L7Y*S6mHz};W`pUO%CkjzxO}2bmo1M^!D_(D%-NC&s8hvcCnC^=#i~o`&U*57 zAjC?!et;J}bnTa+@h#Wru&FybE#`YG)*!<}F8Y{bdO0ixJ&-lzer7vj>B}SuVMCi? z!z~@Vh6*Vn`U3s^!KBB8!P?LJ?*!(s#^KIi5ERa{RMVbtZbPx_7(4>gwr=22w*r|g zLA~lXO5S>pF!x=7Hd2XW!^wg%>fFw^aAmy;TJ}Fl&U$XK&MHpIuqabEQPOhMOhqu- zhVZP9{dXwzxFl?(-aJYl1-jsVkW)60kSw+cdLTA@r^T2nyV(y?=hkyaKy2b)hK z7aq%+KrOX*U&BHPi!XEqs%t8%ql}M?{>^^AN5>UrmzOQAphIe$CggHeKE@T7&tdi& zTU=gPS>-G)pI*r|@5}j`?=AJmbE9(EQlFpiXe^oH$_q#8DwjLW>&)dYun}Kz3C4bZ z(+JNbv=N1_+;LwrpZn!;);z&FZ<)tj;n5;aQO$E{2XTjZ&%tfO_255-iy>ZOp;()l zyLg#*iGR}oX*Ur*nY8y&R4Z-&egiq^}et%`}CSUflKZ?I^ z+4u5o1@6qk>BTi(Wxa=!fz_4e200qG4UnJxglCbTz0k#?$Eor&ZUOK(sS7JV`S_(S z%6VG(8LH@FHVQK@8Iw*;n}vi+UKWriD=!C(69BHTNH=S5lwR~$38u82lb^&e$E=A=p2lFomp%!GGnogStmwfrjpGBuy z@bsnAe>Go2`s7R6cXyw>rCrfGuSxh>#?bOUI`z8AwK;`-8V z%{$rJ_6nEQ#B*;I>MYTjeT}}0e?Kk<|ISL6wlX_M8%Ovyo=vzdz%0by&ASub+a}&K zcy{re%rlknES~Ar@Ge{qE`x7{d|QAk7J)gW*UGn+bCa zDzxrW6{P}03VfUI3C==Su{z)#Y;G3ZiG{|+!C~ytnkhubMjLj>iS*Hv4A7o ze(zFmwdT0VdL@nhl17RVTWn|<>BO9n&gr5|yBd6h3b{(wz!cpVlOvk2nE90~pq_{` z*t|&M50>~IvBYH_NIy9ePVQDW81PD{z(j!oAJ-Qie`SCp_hGV~lpJKpk5z#L)oazO zBQAT%5^t5?I`$rH)~2ZZNqS-{tsiooUV8b0E2WT>s{MknpTZ7OhW?EDwu?^<8zg>m zp}V3a68nUjbH)`GS1M(!)R8;kP`IC=#XS$ln1;OOEABHI|5Kf+|9dKH;tD;L zEYUc))?Jm~$~k@gStIWR+~}==Q}#m|hZ9OD9%S(ehtS60T;We~1`Z4Th-)a*SrOjv zWk*aTjT1`d2=lox!U%T^e+u)|@RtZDwmwm=O_Fx1!NcbJyx#JD$axZmqa~;atPc8% zEsQ6jWnOVbNx8SgDlBO_uO-bhZD|%&|j^wj9-(PdRxpOLIhR)ncOJqoSkYqS!?o6%`d5<%~**I$xWiB{n8C z4sJ|tbT(emIH>W$#*D^<#xoj+HI8bW(m1X0nnqXSJ<9G+#72l7`Y`mj&|gD`Lm!0x z5_&&$DD>yhpF)2O9Spq}dN=e=s6F(D(A%N6LI*-`hTaIh9@-yzEz}cwHT3(?zR>SN zuY^7ceH?l@v^R9*+enw2u^{vvm$n&K$a@vfX55JHQY+u1c5s_Q;j(y7<+*d4OWVM66K)5t6?gCl)EwbexJ|f2z)af$9-iF~xY!emG)zsV zK8PbF~?>6EE~GHn7b!%sc}q=|F#UbqH44}s?{qC~LEz$%tX1OqKQbckAMwPC>Oi+~_AVb7>U1JMGY_VtF+5_*}BN_9p0 zKdGGX+dz0aU-Ouw4K(-4qqY1ebFXsXPj~L+2liBRui>__Ix_tVHg6~Y(N1hYd&AQ& z*_pVF&x6XAW1+Zsak)3XY`HQ#6IZre4ErPyKy!0pM#@>Xydaw$BgKHICm?xc)#5Ff zRqQXuD-Te)d}S5h8ZU7R7$uelyybi}-@+57VDsc0H4h^{V&Es(oQEGh;B+d?Tdi7H zc%sx$LKt($>E1iMXzcu|HAIv7MSPE2e21v~1}(lLzmgSqZ;5m99XA%2)i5|MzN4Uu zEvVr{8+Hhu1Otz|WT`O;6-3(=BCne2E%wS(Z?V^y>Mi#6nd)^3Xkr9MjYA>_o1N|m z?q1W#7y{xJ-!7TtQOMDI9tD-9ejd5y#r|;ig3bAWI!xRK38bhpZw)s42un$tDe@0< zFiW+-1X(i`&YmC`jDS4VyndCReBg(BJmCr$NFv0g&WO`_+P}Ii9d#+LQK{5 z-G|fD5*g#R`~)ua30&ye-eX+O6L7oxTqo?qSxFmB{m7+F_!lgIc-!G(8+5oZ&VC-U z`*AqzHu3?Ea=2h~EnFOzUkBG*4VQcoE=c%-zrks7sehqg@qCtar!|82IrLFagL@a; zy9v(!JY~ckBcIlJ$dWcjg2Qm}5AFLlN}$Y2h?_~>t>fuqPx27Q9Bnu@?}j_B)+TD> zwFy}9p205QSS?yh<48`7!{LZ^L^*~!F4Iz@F4dy6I_>M)8f~qX5n9`WqIHYl8S*AqQ=V_ zwdWkqMLieuT-CkUNzYgsT4SR*r>UYo(*MxKKW0b??;mUChxShCmT>9^k zM{t?1GWy_F;$r^5ciaJ7H*Rw~Vee1}?=o`XN^om%JN^xRo(FK#jxsX8N4mHJq^aY2 za4TOUJ=`{26Ykj_;vNJiZU=5B?pa(bZqy&ajoW~8{)zhKna6V-uAA^p;6997zI(UG%Z_&naJHWS-cG^n&%=m!! zH_0c?^ExzpgLHY8;MU+`o^)x8c>3f!an}8VJOk^08~o5|7WDi>8gLGVfHb9Z#T-H5y0%!j%x<2C1;XNNG+Od=MXS>Y0 z=Cl7yW*rCo|D?=X{<(+wETGRF7)D1Q&b;SSgq-z!J;(v>-2TAKx} zRoCL5kRc2Zn4kfIf<}!N4Jry&Bw$DKAo6k`B!D1l#gtO4RAw|+UW1chCdaf1De&4nBIg_B?_WtwJ50kUsueH}+d%gCw zV&Av<7vkUJ4DS#8dyaoQ`L~CE@A0ple_!&i4|xXjuYiAGJ>OSpp~=Ln9y4i8?F8cd z```W>dWl|F{-ge9IJ?AmKmUgIFY%4w-@$<;zSr|ge7VP$`0|Dke=@qsC-SgfAM}%l zl(_Rg^SY~<_XutLyZq2cRG4J(Wz)|Dw>muW~ZV7E!o;rIwk+5nxrdYi;-y^rZ-hg z*Jr0IY1rhUPf_GAh#o5jz3U&8N-NA-Q~>eEh1!C(!x2?03|L04zn^r|_C+V^Agd@? zU8KE>=6Kz#FflY;)u!f7=xNbWt#XE`$@cNqNA-Z|Gpw7uDsv{Spmd>c{56T#s5ia6 z>N?kBsq~!x(5fcxqU)}^_J(fZ;_I$mYHiwW`o$BjzVaGP@1yxe9y6`9LLNckKZGmP zp>Y*_el_2$3MT64e6w`wB+mA!a*6GM%_kSStF9}Dvp;e1m2N*oHG;mwP48Y8zI$QT zdu`f&lvgZS{C(XoU2Rq!6=&;LZ^c?+S3fGIPnmV47M3`_wka0<-N$s%k|oO7w{f;# za!o&~@9-6sU901^W0d(3tNrffBo1F91OLztFq!Q~tbUUhj<{h_pGK7uPd9sKK*7{q zD|$WnZ|aNH&>l1YpPi{>&MfD}i#?w=fzLaS@_B>Czp7x{I)+wI$3;iiah$EAu_EIS zjC&QzM%(}O^*YQcsG}K_aM@3hA@T9|e$Fyt6EaXfiYAPV_FOMtV&7(BXf|S|Az>i< zOwpqja>Xn+oub?nj4vDMiwsH(lMK$>k*ETG_jWe4sdx3P_tMLM_n#phX`HW~H-7&e zALG#~*e|m$@{PNc_g7{@!_T@1TqgYaDR`i^K8F5e6;%_NqqZgoI>$2l{4XnnOYAN)m`zmXe1W~r#Z z?r`)qr&V1MHaV00iE_U)DUc`+IFqsyytpwbCsCf`Ov+7^=Q@+}66JZ$r2IsAzB8#H zQC{Fo8lEU0?o1kyC?BEY3NH-I0#5ecLZ|jtm>NKi=@eZq)n%-xvg(GS<%@z`ruoNv zOsJ^M%|FyBkD!B#Sta~6{n>QNNSe(>jYraY$?@&jNb^#$Yy0$Y6*rBPSmxm}av-GN zs=P?qnOuo|k>lm{)8e?vj9l0jXXVcHJxgr3Jc8#k-kKIO%`gh8_(}<{-ymq+{1f$a zah-BHI5Js*gNbzjXmZkH8RjSQaS^7T1ENLiA0u;oYqsej*+wv}aWepyai&jXKyp|* z^#DjC*}oP2OWLNcDOBO9xg}3;OR$aN;~%9eU!)hwXOiq$h@xvg_7wa;{4uFpSlpc0 zH(;4VjC-=GHylbO{nZ;j<>3Io*kQ}Os}VK%T=-Kx8T6xD zyW38t-Adc8g;O)@{Z2syZ_!n$+Y}!imN?JiXw2U_Q;G611cRoQ?=EW+J?CV_1tNb2 z0+I(iL|w{^I3V~WlI4_*NfeJs@6YLUAI)RIc%1C2Urvtd&2Oj7B1&97Uk&SNn>i7H z_?NRcwj$t*_IHZO^_P?>As4%&G&}6P0`fvFy@1^>AgKDz^szV2daIq#ja^eogjgy} zkuizRe6bC(*VsMw+l+Wv+=;1uuorh!`k{wxde=I5vvV91*Y(!;4z?v)jI|%4wv+cb zd!;?GG_(=yA;5Cq5N2o>d*a3?Nb%khKZgpsrqnep!7uCS#H-$BS;$QPE8ng^*7Nvw z^*29aHtgKWWO)m*kUq#lhz^vw?_FJp^PQH4@XY#(nNE0iqGEPKcyWEj;;P0aC5_8U zL_2mq-}4%m&C_ou9CC_70#gA&t*iyLdu#TlF4+4i^9O3ae7*~-YA3nFdG2SUwiRw; zb({60sC`9G=Q*m7QHkH=?h3iG64~IcqHW8wig3WTG8ac9QwjowRCAkoggFD<@wTr# zCOxyj?`F$hd5mVuw%KaQMy9RcIzI~*{A_Rdvv;Z*i%Y_$lzp$7zOt_3_jr%?d>=Zu z%pg9JyW;+g|5qEwSVwJj$FxBRjjp{%-({9nQ``BX=mx(&-dYx41$^d<0c@3d7xmZF z4LPYBvL$Si=qA&t%)|`=XLr$c0dX(fG9fo3IiTp=XrK6w6waw81(KP3G0#?u+roZ) z`*vw-FCGffxevs*er8UfH{vJs;39vOnL|UKi!x4l{QKb=c?w#y;=wXlTMl+g_F%|m zBO$Rr1LE$!MQGuJ@f8Ps!P?VFc0N5i!!j~(fGZbnN;jM}g8mSZDLft!gZtrMjOT1q>#+VE!y{CkGKNK_sYS62&bF9lK? zQP~Zf6R{bHVYD8s73|(9UP5roW9qrR*@=)lK{+b4f@T#-yI}w5 zU$h&i+qlq}_=bC_&KJq^BAl2+m|BN-zDf^9hKP$eX-)o_8MMZz@u`yXp_gtKNA`go zl}j^~+cr+s$b;qrs*=tzY35A%HidHeRO5ilAorC%ppN+Vy|T9LjVnHT-~r}AX2!(F zzb=PHu=WgQe$fE419O4uhkUW%9cfo|=ic-bJr352U&i%&$t_4NuwKeJvxVRY-tr6? ziXkN4)L4`JTs#8M>4Qb3?ZH}o>8JEyY{Rdpfr{4fOPW*@23OxGFcfK!j{lNHVEhX! zO@RG12V(0ooF&;QW454h$@+E_an;Ha0nx_N&zQIrtFjlLX(Z~Jtb3=ErA+xE`Kjm^ zspw~orO;w;+N%3uW}$;HKSZB=;^bi6L|W3Acul~!vY$=-D~U2YD-7P)E5-DJIoA#; zK;h2ExQipWomu^}x^SK5PN$k$3n^;$@9Rw6r&D#ro1N2{9qc~_grX;UQS&>v#SMse zq@Wvj**UzEC_#eWzU0j`3<-BKJLB;8;tWY#W}G>Gj9KsigAY=UG#t#u#=pd>^a$Sm zTs=ndQ_XZ7H_ccG7oNF2iwST*ab5eJGfD56W7pqHDYN=Rxn~Xz>OE7gyU~H%GxgqE zVe&BStlJ7yB<0DpGd>oYpOC$;rk40qBhCBL0QZo{U~=5ctg?LB6=shb8*)8qbLlov zHYY~yPKMuXwvsQAB?f|7PCp@qp6F?^iNjn`9>>A~%>+5#rP|(PpIQ$($r7yIh5s3Z zGf;{;*FkzlPOb8Yrm(x`0=8ynx8eA6HwH7SUeMglyF^MZk8S?7KxkxXd~=O{ECPf5 z`8r(QY>iyb=PP`cNAsWq)=0X^WQ}aoMH9wE#(VAZEW)dhYYgFJd>h!Tqsc1k+fUEo zpHq?3f%oLOBN>>#=+o#PeTqQ)BxhBJT}fZ`%=OPOvcg{WSnIiiz=|R3w@TbZf49is zEeWX9^+ExL^|zt^E{1~7Q9m^Q8abKwdUc+LTNm>ABEz(zu(=NmNWc0?Q|l$kOuyHy zEVeoxDWH|n0-wv5#1piHe8D>i+LRtT`l8wX$Ydzot7W3c%c8K~|G`{oYGStE zE=g`dA{?-vgRwT`ne9Y42SNo8Y+c1Rc*UHqJX$7RgCmvGaOD$V|LDSp{N}Uc+(tOF zc@Ha>KRk$)=S=j6AM0V>5Fpy+1Z{R)F8iH!Zx$A@1X+iRr{_m5!Z=rlKNh1e{fgBr z;!y^v#H`HGNebE=Bgv5*>0IJ>2HS{U%vkb7`+Y6n!7bE_mtWGCvNrg3|3z(q>dsndp4O1^t&;f>rF)U z=DjKNQ*v9tte-G5d8(Z%w$E!r6eI$2c#-Ye?%CYsij+9RWKJ6^=0VN;fqR6{2!D*t zd)|_g_wNT*A^atVCdIm~Z zz+s@kHxOYyz)tAC?Oq}C575qia=A*3myM97HP7EGhiK)Q|IV~ThICZ%(`56 zc>f@wm%>qa$(d6v_crm8BL{y+OV6jM#~0gT_xEJ6z{YFbTPZW=&GcOoj)3c@zimFd zQEZrlb>HI4d4@7(LAjtdoo0AlW5i+C8~xH9p73a4wFl7k|QnI@5@vh6c5yddX4mecaS5_lvn@i@9l#t*_E(&V%H1q8(0ExfHJ% zlR0aOQ&y5Wv7FpyBL%fq@=k%WNQ5E8I^=d^w}D(V@tf9R;HRan(vpMP{tUo!2^MT^ z-i1Oi=e*VJZq6F=w1?~!!F0hpnj!9DHPACNTvQRgSW&z{(M`>FG9I zNlnhK<18+4X84_c(q{GM&G^9umbePZQOaFI;pR|9eQ9dczLwG>z@@Yg@_t&575R?U zjAZeDO;Dg|!}mRC(nP(_OMKbU@hzc|8JYpNbM@h&&Oq6{8etZFge9h>SE8qAluJT4 zzHU1v!y7IBuwc8@7cbT=~RC`QFCQwnxS|9@0qm zT`#yUY#sqvUGU(K0>b#WNbyDHub00%3l)!LN;je>KgK*rtY9*5r}voyj;%Z_KcCx3 zmVbu*if_wyznmEmM^fT8^HXkd-fmwwm1VS)@FlM*s0z}FyPY^yJHgVj?>-MVlpYCd z1nAS5df0yErH*xb-rTkxU{5}gu6heqyMyy8EVG3MDneNVs}i6d?#7i1SEtIx<+^c- zF(zmnr;&8uJh-bfkCvwhxNbBs%O zy-1({nFx>}7uc3Lm)f^_Edd-af~o?G>NVjHufXccbTZ-J&p7DW#tP3U)BNotx{)cD z6Rtm*W(zq%;F*?wwP!2j^vnuV*%PUkm=FgFTf_RN1=&)stS{Z8!S$`Re!tAuhW516 zw%8B47<#-u5Gb$Px_og6|B$Vvo#0mW?gR=O^r4jClGSed{)$(uwnn}qmb-Jhm2Nk0 zfZEONV14rwev&2WRlkC4Qgj4Go7;X2YEI(buroFFQ1ln+OgEFsc5emUYi|3VWcx^# z(f0k2P3-(fy6GxI;@1faihy z%X^x9q3}yP8^c%d>x55lT-mw)3+M5mgNg_~Vsh}Vr;v1$z-RG1JTqJoHtTyyD~aNHcE89)uW0FPo}q0v z!i-EToz0km|Gm!A+1$8}XMQe(a0i%rubw6xqpj@OQZ24@C$;Rz>Ik*DKio`vqwcY3G(k%=kJvwhcd9ltl~kDf=14!}=U2)gxJ zZ|5kl4_@a$@mpq+Oe@QAJ*ccWN8hfxnEHrW;PUljHOuwX%i(nlfl*&%Qv5rt-C0;%;Fy zf1BIn97vij&X|>*icI>{rTN28U8??0(%y!SU*ZiB@VoO>1+(GmQ!3BY4v;S4&ptiy zK@8jpFPLqfhaija)_sygU*^i~(aF>%-VPoHu{F27#P&@BTe3R@PdA(Swt-dM+gRwuk%Dv8Bp?#_36b5yyNw%pLJ za-8Z(`atQfsCsCRdE>fC{4uNGMff*lP0w=W3rt;yGcn>U%_~|nn{3hZyHd}W z)LAvo8nGEMf92-Oy*^!b2&oMdmyBJ5T0U>i*u{5oNP0}8wZA$i&mmR)+L*hgH+D-; zk5|%rGh_AZq!o%=-X5|w55<+Sid{0D`{(1zb?!K*f=~ou*80&)@hevxhGqyPVJ%+p z6D#Ifn3$j4S{BH?32pl^WT`K{(s_|giu9flM?T1cB8mA!Tg&qHHWm9?i3jP1z;-!$L)CobV{zLzYJMc+9b8XAaR0CL)R0rau? z<%x2;K#c*IT8I>vcmCMi&P%w3mdoi&Df7$@C4aO6yr!k|PF!prXwjsJiva<%j({_9 zp=r{;3rtAl#(E0lOZ_tU6mB)A(!aQUY1GO@8$z>Tnd7%uZaa5u<>jG~a*hB4MN3C= zk@Bvj+glYW>RX%ITb9$M59<+&8=SkWnB|MicAl@^{3h@J(QT&#&+-$MBlo;Lp4)kc z&-%Lw&#E$Cej$0P%=7$ZZhAS-%O+XAC`H;|iK`NtE^IFJeVG!sFD^9+3_0P&yx{70 zCge!h*{9Q`3wx=2Z1I(me*6`XXf_A9o1kH&`-W2hQT-sNc(J+Wd7Zc7#jCFhr#N|& zRjVh5eKGFnaxWSvyrMs)Ofdr%uu5^iDqbw7!(tf(-trbFW@opC0%O(}`wmYWni0I? zIZ`RaqoDnzki1R!&^-HEl;A!5@)yr-h#6E|=$|r?ovrm@sEqJP#*uZKX)aRvm%P99 zIe?OWpHRrr)Y=YyJ=#DPraC@(X24lF0t~WqOQsFRpz=v?ahL88cr`T{`FMOU2e=*d}Ki-Cugauhxdc{%w5i~YLfuj>x zi+zz1Eht*KJlYp{Z86XFfy!|yf^kJ1QGwzX^F0W-t~&JX%7%$^$L;pcx zjolVKi+E<|TO)c#PJwhfF_!EJTu+=5b|#jGol8obSs`XJFSS;VZdlapJ07qs0Z8O? zSIS(#r^jm&6#Oq)gvP0X#!3+lEImoj+3SjyhN1(DmX$zy-F4{BbOpCV9oMDiDe@Nq)P-B zxS2~}bn5_;d6F8U+cGTuraw{%C=T$nco~YgjD;>^!Kamg&)k)c{dgT2Gsq@qbXT4i z$Wj$LI#&-5)}8hlT@`&bmpsmyu4{&E?5lYKl1FJ&RlE4Oam7K=1W<(>$g`q#_tGg? z(%eiuX4c^N1`)oX1!J@si-XWRtiA!jIXbDew2lZZ6z4>|k z@lk@E!u!gvC7PtiAo~82%!b*5zi^V%WaJ`RmX=muF*Ef=b6YJKGWiccS8lm3{?HA@ z2C&%d(&q%5MYFwab4*`2Oq@l11)!x;lq)h@gph4DHiv+IE8~YBigGa zre}DfX*Lt*o@=^~dfC(6*BBS_2zo6KqF>g=DGw$3IOQdYNq#;v%ga$5AedP`1!j}d zgtIDvmI(o8tZ*GBWIK!eh0lkbKMMBqphnpqoUc0*eS}FxOHu$W{vQ~W%3aj6T7U#lbgYjxbttx~kuOr}>uAFl?N zzhM1?9+EcYmVM1~?*bubM)Vw%yoA+V+SykwJL2Z=(E8W$e{HrQITqqd@OpA z?+V6*Sk(P0dMz1QJO`Rl265@$C?ZrZ3SvULH@DqLe(|3sfFQKCD>sqhyE7AVvNCz@ zw->K|XCjJ7O!Qlx|NoM=(9K(TbY2-85PXsV*pAn^@%?La7b#F zzDi~_3@ca#;Q_`z#_<>M6na@GY#wfB?oBe&`C&*9bFQ0$a!wN|yawV&XBuS8hamhh zhs&mCM9-Bx$Y^iUGBr2iDPfi%Uq-^I6cGB?F9daH!%_sL9&UhOJ zj^KCfE@bUGXvO$p^Mur7u|F9{ep5y{4#Fm(8Ra{+a*jNH+nf_Q!B#bYAzSObo8`@@ ztH{Ns!|y4Lhv$h#oKg;bo)x7h#qk}$ z5eR@+@W727vcuk`POD6Kcw#s|QltdUc`86Me^unpg)U&u8Og5PtkM;#gC$uGv;46h0SJ!NFz+6{R;_8!ZY$;XM#D zL9&OEipyEJ9CjbK`YC+ou>sK|oLu5mgvjHbq4Di`f^NI+3*(F4k(W32f+M()DQ@`t zx4{i9;B+v9Qu(`0`FV=CbCm7$z0tDDW(*Pt!N2rL?oVScpA{*TZSHm+liYrNT zssx;-NBORMZDppjjmwYfE;>yQc8@E!=ak@)#M0%g$T8aShS6XSdCKA0us%DWe1{pM zb~?=)v!(i$0WQ&ejPw|KoUq=RRKu^uR10?A3+eeHqLcGj9gtLZ4 z(KConXtbScJL2s*GGeO}Shi5exWIgAFIK}A%!<`i`68&C!yh6~LriYtqcbGEA#?>F z&epNvIgw%Y;W=_QjpTBo_lWW!uX}AV)zr?KH~maHb9}x2ZP8lnAWoJ+TqK#sHc8!) z{CfTSwc6y;WAY>;Z5M@?LgPdet08o$+pkNdUzdKZCb?djmo@L$ z@D-Bhim&C7E0lRy^HdjwYa+)~C&DOQFsh)WG)YRM>d%^6UP+~j_96|`ePQ`X<~>$* z?B0mV+F9);@+3vrb2A@of~qGnsyDx!GPN573+cHkuwyshVkfpvkj!p#$Lpfrw0y2p zG1A$nakBz-hgYBlTC`_Xrt`_PqL#>o&YL`HwHxAtGu;+^5kR|X8I$2rS(@+CX3bue0krsd}Q6u$WXB30OwY1XhvX?!aluz&0NN4EUrW^T~OsA zONsQa^WgkHP$FaA2im6|^03Xn&H>xZ1_QfvNVz;;3mF0$3!yjq=cJ@?6DTf+oZ)e2 zlSfQ?lMJL)Ay9q~mTYeO6X+I}r~8;VYoa*)EijaFkVOFz$-_uzgAPlSBn9~v$%G+M zTRCkS)KIH=ct)Vo9Nz9Hg>yd?@_Q5)=^{X)>?Y zYgIX3n29ktbRo7P=>uhJ`r%MG6zyAScpOM2#x7e>d>_-lgLGAE`NNfRqW%IB(52m^ z99)ow@GNt+tf$~`_$>^w>U-cwdF%byqIY1ALLygh-kCCg{~a?sh=Y#%w|`?Xa8KT! zf;AHpvjuFJYs(wy<7|T`ber5t1(x`X$)~p#9j+uPRx`_o8j&4;uy!|jG%(#CtZT6W znTKHQA8bJ8;N)eSE=B)2@JC%PyWs=S2FxA@FOs2HC z?YkS9ocAO_xMB9M&VV}h7hat+@DPetu^apOB4E+WeNhjK9vz>N#;#rQfrilKFiEcS zN}x&Dgm@Ht75mXJ!*AHra#vCvauq)bqe&^}El(-|l5dr0G9bqKz0~cf?>vjmx9<;( zyVZ0;9C-#Bz3oRTdbKN!K0I+|Mr6!PllcL{jww(b!udSy5J#EZ@=;upD?WrH&!zj= z5<(~UfR{(6A5krMDzILAy(Hk)%;nHNZ1v$j6zV+wrsDISq5uL81%BASoTSk}OBT=h zZ1{v>`XVQ`WM%N6V9xXg9u^ci-3y$Z@k1n~*0%F2JeF*0^C0ZG546x=eS*--d{8qR zepN{moJ+=IWEPD_S0X3JH;5Mwo)c-Pm^p)DGn|-2IFn~P6=R?lD+=P{Zp9UrU+y z9_N6vV|3?3RH=NCqvu1+e^P5j6Wf~)CQjat=r*^0%_D|Sc5Yo8r)WSGznza&z|c~9 zm_^?-R*N22WfiFpha08}zcxNjs~E#?Y{g+;^hX&_-cJ+BS#>*ucW-59$0g{RT*N=B zNoaEI&dAB2w!mla*l^?2&(Qi22Hjs%#?SETOAjY=yJGjJ%tw!Dtm8<1t`SGPqh`gO z4W)-ceD;1QG%#auK5krIknz9+@U~Gx?JXcXL3@7!gnGsde)`RT=`Gs_04vcEg!pYB zb9}ppNB`HlCjIYqP0_l_zg8FP6yLs|8ta+0Pq>zVpvH*d5UN`JtKu#58dvOByOv}& zp%O1-M*mHb=)xX;p@6jh9-=38d+S33ORvbtw1zU9k3}Pl2_Qb`1sZz*6KYz;S0%ri16( zgcc+2@eDWafE&kbvcKDTGY_yY}{HDO(%;eF{|F95PYzfNfXc1W{#vS83Xd{ z$#t2HY|t)inCKsod0nr_ytZ2aFVS3N-$|LVWPuSc_xOp~$@8djh~}pvkp@XczvsPpsVJ{|0tKo!znC(g|3*bl zE>BEh=p{z&@>k#-_Kv4g!MbNtms{d^7s*9Ndzht=2WniTvYXd?t8y3h5Q`ST zNz0gmIf9pd4mFq@+sH$=pswPO>GLGSSLs_=#PH%XqIzp1K7^< zB(`HB7E$%XPajpbrb|;%h z$61Lf1vx@rtB~|gs!ImdVAn>hAwnLC$QNp|i310T&UM7im&+#p30nLG8Q{}x^bAv_ z>7vRu-8-tc6PH@Mm0tu$h!15{VdMxejz}MsGO$>>Bkh!$KnA!=qE73>vE}&T~-OJ9Hp0Er+ z$#0p;T+(EUvfUVSC!KSe(=sVW@&YlyT>5!rZ!j+rom#ttU(Qdl-LyPrMD!J=4pT1X zOjsBX%jCj7&6Lq3is7^Byg}Ie!5`pLPF)3pBIWX`V_MdrU7v5-kVl$KZq!m zg%XZ}2;(B(1_U0Nli_m>7bB-}7REP(SzCFn%hLO~AbyqFH+QE_smVuP#$#J2RrD$)W+rA(m<*7Az(;EcF_mR(=1&|Myg=5j& zGc$>Z^brg6p8P~J&beJTkUCGB`QTd2ziu01+w9ahTq;-Afg*m6v76 zaaq0j!<4D{b!Vy#M+J~j(`IJ0#q_Is=8@!o=`|u&lkbcCP(Stkm8(!d(OXf`grU)@ z#yXj;u=)Ml?3PRj5*xug!x^CdL)DPM)rr!>R#YO;V6V7-+Qb|xbG+~?=}ARkhBMg@ zrPgA8_IEZLyG|Hl2VIK6<$JcH_-e8vlgcAK%Ohtbzvq-K0Lc89PW9a4`zg40vj1XQ z;$6VSC`g!0et4=l`5kBF0<;@o14-x{P z0V3V)s&nKe^U~Gz|7r=jq=}hmS|4MCRySO$vpk6DaA44~*zg*;e328lPIRGQ_b&N5 zDXF!)_!aj!uKhfMKG}eO0!?-to;W=xTD^Kzxi5wThTf}JO_7h4XIrVjWKn3coPuyQ zk}&f$5=&=CpQ)HS%klR{%B;H|SUWYih1aY3>0`6~7PLSbVji8)a^X)X-P|VJblxxP zmEb@wl@r-7r#Zw#i+PM!#K68+3*vWAYW`-&R-)HCK7D+&n4vb`v0(iGCq=XmA3Pmf z;ExRMmg~Ek3+o7#yKo%$ll(|0$(%q#1#-hmi5Ro8T)ip1ck$13;-LMTjgOId6Zh48 zw|C387u|iyC*%EUzGvKgJ*e+7Hy_!)mhV8feD&Sxg9Zm~D+O-qH|X-^FVf)1=%x1a%+AjoCs#m!eOr&fUEc$@C)tt zddhsT9%wzW3sCpcQO0>?DH{Ahw^nzuwh;e&hZ+puOGi_SVuk-FMc>U)dPf zOM5%)=GmPxb7@ULOU`VeU5tY3(NHRMtGUt0c9_{CQY2bcUoun#byN3Y=oBgN%Riw? z>@F&SXyskAnJ8TfjUeMnrp(9pf`%t7=Qmf!Ia*y>@ThTBukW}!EjRC! zPvqt+o#KcS7UHj8QAPQRfKyS9VtVozCoH66U*c9~XGZ zBDsjOdghVg9&vTz;o?evbjaaQUIvfs*5vSfZoDQJpD|3}9OTtvDPtuo9C81soyi`LXFQQ%ZUzLH7iG+T!(Yy(*s@D~kyGL~Vm6vBwnok7k@peM z;QwT#6JA2_Zi1qHYVQ?N;0i=(_Fmejq3UuAKucQCO4T(`n6xH1a<~I^9O!SN96mF+ zWpY+S1@-{do8L{D+wW1Z<*{Z<{Vi5RAcoh;76=iPI3*L z{A zaZ7%Le|fIKu+vma8)Vw8nW{uzymf+l{&96AgRp>|Z5xk;mNGwtPYe%Sbtm2UT#2vJ zct{|tV`J|j-lK#_l@41HVKzH*p8E+=)NFqB^UhRJN2J_~>!;KtM_?*E0!<&epR|}i z{Ry1L{RKK%zL1m`YUtv(G?ZOvv#pe1%Tf1+{c-}X2*g`E8>hBAy_|3fKcSGh_LpLV z*)EQ+2#+*hNKqx6FiC2h4f`UykWD(+xo#xN6wctn2~%K8?1yd(6!y)WR_^pFgv75Z z$*k}fB9ABwWo8y4=3l7Q?x&+OV1=`fT|F!1y9gcDA;v;hxFA;(ks7ItsCT8A0!EpW z90|1{U7(}Lh@RrSQvDe*MkN}PcIND9R20RCVMZV^A)wS6^@~7F2J5;Lt6X>n8nRZ| zK_m!jZL#7m0n=dZy(~CK=(j|Q-D3W}A9Tng*PORh+G5@$EX`E~%y4e)9LTd45Zz8R zh5A|;4Ufx+_Gy@f%K{->7Ld?8zBxv{n3*-Mkq>9qaub3MCn+noa#lvPU&EbiB)-Mm z@fWSCPj!5=(vnEeCWaDggi>@SmL%2);h5%noWnR!q1ecM#AmkrN?0Gyf-{xfC+^7H z^Vw3MMeWfbz{*Lf8o`}F7aO^0y*Qi)XOI_Lpv7!WZYQ$U@>v9YY>H$p4UD@*mR0DB-L1qsux<94jfw&3+o>emcA4iOgs)a} z_+B_QDA=}|NAHJjc~WWqCv_!TrGukU7i2ZeYn!?mgr#U+)FJ)|P2Ak}50GcKH`0~v zs1o;I`y8>4KWQ`2AbOYaZGpFYuq)mgy7w>p?^)Wzd8dr1i=!X7&ZI020bRPoWq?t^ zl5(eJ3KVGF)>XZp%xqgtO-1di{7?Fm|0TGk?lrzMlGhY{&fgx%tDO(9!-uXcY)6a} zKva``S0Yj{g1`s@xgyLNNnj*_p?4we8BJg`fr7h)ySq`2GBb{S95-UXm0cd`S03q~ z?EhqDvJXw#*La~G;@ih4Lss-Vyt6XT!+?krm{XtGb*C(d*aKT*94EGcXlQy>t{miq z%V#*@DKk)y6h~IBPLNCU%aO&&v;+RH)&d`)IljL~yrW07XZ*b{(HqI?9q*~=ovNo?fAE4m6A{$+4kvOR`d4HJbmhx#kOiwsvO9SRdm^>kYyzsxp83gJJ zN8cinriVnea$Kw)}$#9BQi)B5-KfT=I&_@Vm7D9VX2A9I>FyQHCKC^|zFH z^`|bQJtt9`jq8aVUv#m|;hvOvnk2=-Q)0`m^hL@b!dlHqG@DqqfG*y3w|4P3DlAYA z=`lo5a{=tVRPA=<|ji-#)^H2{}7aMAZjJcvM zDm4{)atU!x#S#$y-3yLDvphsNqcwgne^@diYWd1kA_yDv0nvVq@p@v+(}LcIaTs|n zO|E%@OG9Oco17%MC9Y!Fd+(-O_3`ggswI9Ezdc&w3;07T3+0cjwKXJ4A1BKwAsTtp zsinDXDTop+6VJ-%7FPNzRz{pKaK@;J!__PoNI*f3bsabYQ;RJRN)!0xxLH3GFy=jt zYRSzafHhM^73Ss|`Mmx%$b7MxklQ_aD%r>?w#2k_A0QUp3T05Xnk(VKgVaxVc13 zC1D697)395iVY#3k34@*uU`=zk;13ax8}Ad*U9>Ir?aNxd(#fdtPBVlQMs^I`>uXA zMeQE_&TguCj@H*{=8(C$?JBKLGQBONmrI=uPz|vGOpT=cpiNWE#6fyRm$`K3Q~6P& zc8=QDa9Jw;Mb-`1r3(IgAN7|#Tiir4FcgX3ykg7TjZLHjCV+3fSXm=z^_dBI8ArTbO zJfEG;?2%j@VWpe5ek#&Jl&G%s;~GgnGVsVJfFzxwr-e7w>&O1WU5UzrNmc#%#ZF#iIfR-SPE=m~{J%2eulWkM*@4k~z-zvT+q}$yHh+tL9FXRX z+x$1BwR_F?aGRG*G>y1VnwMI_CaTSwZq2uo+7kM1hJbA8qaM12eNQoiSg;*OGE#wi z)TejE6!O}l0Pru_;K`4zbn`e!=Jch;GKmX&xKf7Y{eS_HV_fGSk-;%Uz3xg1_t*(# zyZI;hSygdNw>+ByqP&EZ+s$tmT=OHmpl`M)CGhq~?s!L5@aF$!w5fu+cj;u!Z;E|K z_?yk&)A%=ve-by9e{SJQlM(hO7pFs~Vac-%d{7p&Yt}c>5%PuAOBjmHJ_bk4c4{g zIP$wiByJ#*4$tL>CNpJbxY*xzjJJarXLP4K z)et0ppPajCrUVuQz09>)47z<<{D^P)>2M?Vn3IW!)y(xVsS-SvV8g&Mk70okzA_ph zV=DS4cN-zsAx`Adq4>VNN^Kq5?dccWU}+N;sngTv5NztHbmRy`sGb;93)p!?rTH#H zXKI4ABCXSNT|6$|D)`Ey2v#L5MF5IA{&8=!RN}+vX=ZRvCBE-|V?Q#@{r1Ce?y?^} z&29E0%Ovyzwdt6A(2?rRttoSf(@odmWNMdV{z4kW|3QIr*K3ZYkU9EIk0 z!7=9i-syk)1$qWuPfnmUfW{t0;v25uBomJo5-6XUldR&)%p^|GZfkl>R!LWnEzev} z5U-p>X~g@o_K|w7nE4N>1$qEnkS~2Tc5hXuV$xo7b*f(edd)}sqOY9;l?FH{?SL-! zcqTusi!I)-y(!PGPfz(yo<8#MQ>PiF>MBrQon{0TIeUfpn&1*q*ct#BP^uICY^1J826MiDAbrgOPzvq?&kv^=T58#2?AOe4S!aVg2qu<})VreFmRHXO>3ZCv z+qE9I(%&Cl&w~U-EG{(((vzzb!ky~P|4f-HsAy4!^QrUl#t{G&0C>zhOgKUi-3wx^ z9IUHjgDCOs+Rp#u?G#?8fJ4`I{x4qGwVi*(3%j=RTL`D8U?uCtln?2m=9|qulA+Zs zL$euyMyr{RbrgA!P{=X3T)Xp2{2kKMmp6Xf_Hz+sSRI$AAuGWq`NDa{rni_$-5c#~ z8_nhFmc&EX1Ym_f*K*rPimA~@qqV)Xz3neCB%#q3Q%6RseZ@{ri}|NAx6NzxCCw+O zRB72GvS|1P>xufJcoHxAY^7N1K5t%IkDp)k{tNdwaakYp91&U=lwYrJOYCS}~%IJD!=vf%=o zXWn>ICX1NFve8a)!Ko*1p_v_-iDL1c-^HtHHe+`wZ!2qc(3cP3 zA&csY2uXx;&bHPnw45%%7gFYN4MqbL%28Oc(LW~|R(NJS==o&eEMC36lhp&@#im9a zV?qyCF8teuH1=)=g5_8>*VceS$`&LtTZ`o^C~2%f1Jz7tf>6WBi1c4QS)zNao(#NT zq4qKm)?foy(1!v!=0liisg2OQYHk8MmbW1q9jLmcfCZJ{)weLW~ykqt_C5$d{d~ zRU5szYP=&NvBLJabsZgyuW0f`mmaQLMr;^?=r=@N+4JHlj%oFfsENAj9uv1n>7y<5@=CrY1b zEL|5i&u;8YRbyt<)AYqJa^gIB*s1%Ng;qwgBI`?@zvplWp-S`@o!~^72xfjil9kGE z$`+ax7sK?~v5~MyS(^7^U?kcWh-{Qr`e=2xRawIfH`d8WOhkvLt7yH9bW|-yXGY~d+KMka;k&d$4;E7O&n7*}`OWvl> zEoL2F5fbWlf`Fp|@jGGd81socn|yjshzg?=?QWv)mK1Fdbyq?DxkspXt@yDZaMPC( zkO~PzCC~z{#S6Bob~=HgKInFb{18H(T@J7(?~HV_CYzlPD9Od4(bg&#gpQulfZ4=6 ziT2!&Wok9v+T_8dI$v(emR=g6oG&TmTI}sH)8GZ;UwakQe4l$uo`Hs+cxChwh^IHb zY83VUuhr=?8dlyLSd~pwj*?CCBrlR$=i&fW;z$Q`u>R=zM z`WGF8l7YfatAF_zaf(yXS90~z?|<)y_N^#6!$mqUe7kH^7GgzkLkDHeDSHG>EJ&W% zSe9W9zrk+dU7-aanj`YPLk@kDNg?yg-%?V}R^@jJVx|e{^K^S{QKuO_1YKVItcL=m zXE6b+u(<(6f88wa;EXqoVZvl3lX|)MvbpUZaB@;8a&U@1+Q!VN{6XoDn{`Ten;h;X zPJ5YS!z^IoJIjX_yTLu?j-QjPtNTk&|$X}#yvx)RpkNqlUJ?v#$E!mpQG<2W1Al4mTKCn!lJs>KD zmXEpV54d+V9ADmLdRrPjZ$7r0OBI zYD*}r7yrHQ+$K1!WsjQBHqk-9RgTjL!CPR4t%+Sj$>VIZl(_Y z3}x}S&=2%j-r3I0c6QDOX-M}yezfT2x%XGGDok5d+7Isg!l~l&6!5&JxvKmq5XjY5 zdxo1H0ER9L=S4o1Tg^}l+-<6iW-lJP`Qdepb_G3UmH*yVPvi=|8)912Mx4rctKH}Xl->N1acaSPu0o=`;vrywlj=`V`s5?N6@RP0vzCf|WEGlC z>#Oo)ga}VXzn^0`3oM?n~OHsh#LZXucRj_m01-Bs`hZGhPz>W(cY&a&!_)Sl0ujM`@w zI}A{q7ap%GA;7tMn+yP$ZvUf#C~Q-!s{r1MZ+}eA469jnS%)*1`#?0evGg&Bb9@`0 zEX%iE#;{jdN;6voHM%UNxcUx|Q%0Cca={P{i#7q53iC&dtdKagmL7sNV~-JKj?@H% zr89G)E92C-J?4AxHkCh~t(@_5M2#_8C<=^`Q>{P`Wet(s@dx|dPzYbyKX^!5I22!T zC?n!8yCnKQ`ql5K&WHtMg}H#f0MW|wx|-g22zudMh66b#9@?YFcw@fvBpLH>7;|&m zT277R+w5jxkzxZW7^b}yG(at4{KLHtMHl@Q?M4+Yw+qc|c>wY4`%x(S0JE#jmYaN{ z_h`+a_~zwoYBU#}HS&QT15D(64-W=w|04YquPQ;~#huAVDRC$Wn5tQ(!@P2qh2S~qtTJi$N~=&(O-l<8rcu7(71M3=hK zJWW!y`ih?tVDBev2P>MN8*)fByT2{ok%s+RyRXJo>{)LXxRZIaFv%$nm78b(OXne%KEDfq z(S7b_9jMK1$d$_0Xl1(y%ff4_tt=#S>eY6UJE=>$D!U_H*&`I5n8J82Sa-Z&`R)0- zgLNyMuFDw9iwQNkrG?O1e>N#A>dfbS0h`NP{W z%W5s{kXy)>U_M-zlNX_@VV>3;Q^@fULqgY=JaY5d61*2KRw{y#j@(JDlM&I{G(K<< zZa6x)i0{V{$we%tgGFoQetJ|OJyPpqFou{YbKe!;B+D5l!0_@$=1qVh0=r$qE^sU$ zoTj43+tpuwUPy69Cq=Ei+^mf14d@D`^!TRoqq<9+=P*O;(ot}+!gXhF>G zSBL_g)7xcExev!Sb?A=Eimyb$`Al@HGjJ;0Y|cKYv`&9HS!5Hox1AtRC+EytNTJ$t z2*Jr2DueE5ti0c)xExSqW99AX(A_u?skvVks{?OOrj+UB&kr?5E|G#y!)EgXx|~8- zR=?*4 zf7dt3Gs|qiG{tS~@uAXKD~+YG;WczfrcygPkdErdLCQ$?&8K`cx2?V&jQA%PBf5MJ z?2!Uy`M>mN*KE0)^^x!{}g$e{y)6WeUzHvmmiR2+bSwFJkL7lPk}XtT$UXzoFMK~b0itf8w? zZ}~Xv1lZE>Q?@83jSR$(TTUcs6{{c@ur!dHq4mwC!5&!uxp&Pcft9X1K|Q=}%^{YG zZjM`z&QVez7W_FFBKP5w8&|6-9wIu6l|4|^Z#5SJ?|^fwxfPm>oI~84+)lJ+5hJvk z9Ezx#UZe2D)E=vP{fL*-wiqMj6I%=%&IJyaVJR`NaawL;RSp~K4pH3V789SAmm$}F z1xq2#1tZFTE|0C*JjrfI?|(`@g8C-MXP3*`Pp(l-X!;=TR_!?t@>@nZd)C{#$6hGk zBIR5J>-Nw9HgAFIxH%H6ZIBR_pusvZns+txs-2pWYHS~;&NRPccR`k0D{RqHe;w~= z?q#GIH+O=gA~{MOWmRwCU1>jsYou_FUiZ?G%Oo-~FSzARPyO$3gS=?wXK`FAJ~^>^ z^~ydOJ)+-UZ;ek?hyHXofC5t3{8)B2YSlgudK#%d(%TzWM4QFDT)Aeob+ z;>4KN#ln$|Z8D8|f?=7uzK2l)lKhUcU1s-&(0cx;0bi zujK>1#N#CKO-aZ@e3emUu0|h{Rl9UB64kX*lljhfyHT1?Fos45>f>$@Bs%U#AW?N> zsJdp1)#{Z`c=c-|ja0a!jEw3w!n^2J*9iX#2c5wjvnazGPH0@%?8YUIJ1Aa8ZZ=zx zuVV&u%az@_bukS}x8h*+=C%ixNVkMDp>X~Qa$sGOh2sB1dD2MWx9Ke9z@9?WdOHE! z4e)Du)}+7CJ^dw3-{GZm9(NHq!uLg1xrFyzp%TVe%s=fp0rcf3V%Lh&D$6ktx`PX) zI7o^=rL{fAFPm6w`=maa+^9KE#CX+uLV{QlbzgOc^o*9-z%M0SUr72sHi9KF?No6p z9(@KZl4CB12a;gTON*pyT64<$^ZPExh6;qwFx`@9)(^v`Pqg(xRTl5QA{{-XjkfcP zb8p@xoy7pfWj?EL%~svXE@uTSDt;OSC#5F_JcOOwWtqq#>=Pkp(0rno?Tw&~aUae_J+Ie=Iv6)tdgG5E~* z2e&Z9iO9>a(Q0wlN>MY0piAPx<+~7hs%2i)BU|!hD$Ik$D+tns1bgXqZ@-QGg)|#k zQm=nSK`Od{{l8Ml+u%?Bz% z6TT&79{nCbhKSFqH?N^!n)upHS7ay7A+ovcc#aznL9iEf{R|M|SwL}R6%&9RvXgKroF)S@K=mZdfgnx?&TrTnVydk5umv|}5%jcqWRf(DhZ#D#^ zk6k)XBl-4po={}d{NdR%U`IBT&lDvmEEa?pENdY0kG%AV9-tX%_B%A&+;;I|8Igoj z90p*ko~FOZGSFfRDQgLcQavQ`Ii2lkT;V=k;R4DZojThHICnVs$b)H5VM$Q>Y#@;q zZoP_At35i6?}pGUSlqJKm+tY_8mg_ayaXA(D7G--LDk*X;Rj?>C6s{F^aBpz=C;Nk z(Dx4j2V#E2wZ*cXg&vt+pIra~`m-#3WKctPLD~_^TSQ@o%r9P8SUL0oK6l#TytJ4j zgFxQ$64`M@|BMWZJ$t5bNMHo0&H=j!lBH10bKe<=sjI&LFU2|0yvA96suxM*GbytG z*s6}N;u_OK6xpoOfb=JAyKMklOZs}Z8qkN(ts)qdtIhYnG2I={2VWo0K^>3zrtzHh ze;iN$ZywJk>^~R$e;N;K^RA91?aS_fj$=Q+R;Qucx>VD@|FAA+=wh6VKPsVrVfa*U z-k36P0B>mQ`U|S@@*VrivUHpC#&4Q)4~|7{(^C3{Y?<`i+d|g}(A^>$F)G2rdPXEz z#Ep|U<@7}6LfQiTF!m$i!^JZ)BBy||)yoBlo3HXt=VJfHV;D0Fe-IWgWc*2#v6@Z4 z{;mr92Pv9wHjC+`D0pVknT(_#3D!@LzgT?l%9%Jnh3&tWZh$^cZBO9<#rHADZyqr` zc@@Z4X^(uJiDb!@i=HF2<{F%aqyk~O`!Rh-5c3cuq`IettJG3A= zOwmm0&p2GmEl_v2gRksN_1Q~~RP>#raEZNZ;XT8T@)hbjxW-Lh(V2?xU*&o_6 z2vDg_e(9)W>pR(YwR+P0pZBLwsmSA@~Zg?|X4x7yz@1|1K8^=G!=SOrF z>}B!W-mc8D{J%x`2C$yy!cx3u4Bj!IgI%XOp)qDQL~Yod2FxW3(z(WA#?dX;ICHM% z@{vo1vMpsY7>ZC_Tk*g3T*`cMxvW*Uem7zYuOKDJw1hz@ezgvR12BDy#i^2%ulR;I zv+qyJ%P_m$zc1RqTmzJw8Pr#AxcEilrx z2Z6U&vUOmmEjr(u7kbfRo}w^*K<|WNT**H9s^0+NE#rlOS0uzWk7=-#4EE;YVQfSo zU}PnhqE}YEae(x{e6QtM$r^5Yh?((4&Q@0n$HZ?8$m>+xm+->@>miw={s@ExL(D>A zT-!eFzuCfq12^XP?%)4$|Nh$k6@Bk3__^})hjC6^ZqZP+v(g{@eXH%`3DUJEqR8=D|G7s^_xEh!lik4|?Av|tlfF{3$Tnq3wVqR|Vc+LtS!Sp0 z39qE~DTk6wn9i5dRoi9O^o1UI;cAGyaFy%6a3zLOyl@q)U2oUpezr0=aD=zZ@8>?r z_=YZ`fRItyy!r<6aEY1I)^eWA{>BHf=GuyiT1#IaLDh|=ucsA~_H(&wtlZ9W&sfK9 zjBacwTFJ`{XplCR1vrpe-_SxOe8FeGjHut~%1W^sth{29e1$#b-o z=ox?qZeH*sU}e*p;@nY0)$*72UA?={Xti`&^iG-yBZH4`&sJPjcrSTO(UFy>^77#x^DBwFjJQRa-btH=`p>av(cuc+H(TCPI};oEY-g=D zF)45{jH;c`I#22d4@WX_os#*2^Q!qLw7u-*dR=WEV(6+o{_ZLvdL9&_XS!KO3uJWZ zO2HG6V;3F6^f#NG3@L2BcMH(ekD#y$!zN4+n85>l%zA)-X(SIpeZC95vOmoQKGsTu zi9w1-6d{fljQ7N&0H#N~a`uDkK^SA1EvNx(oKf!b`8I0So5?C-#^_LJ37!|&>OLIV zg+PX(G_3VQFVL^kY-QOVemRQ~x%8IMZOfG=vySwIrW9#|D)^e-;E6>Uc*9je^{*M& zB9Y^Z1c;@UafHp|#KNi<91UL-wxvu=O_qDz%4cimse;%bm-1s&eL0bfK8+gTaSDf$ zuF83#eZ7#9j2KCuxvw~bd$gxh7o^%b%mME!FuJ4=NMp&XR#aH%Z?h?UVVt&rh=z9}s_?1&GBRYzt zW7$ot#PCH-N0&Taf%*^|BW!-jvbsk^MTJ&S)U=pciR32quR?B-KyJrFKrVAUwn>Oi zd2dGkw#1Z7)oeME?N!Ovps_p^qxmI=ygeg-RZ7(TB^$BmH+Q>rGbVih2s+DgJhP~S zKU9_U_znSeSz}o%;XpMTuwW{UFqC8~^Tx;$Z&7;(>Gfv&A)!HYxMIQB|u4eNST9Cb>e1Ng{+%F@UQm=nm z57C-ob_*A^yLwlqh($OxmD0DUdU9t$Xx5c@nj_*l0Bks8rueTO^zNjbqry|R0-U!# z@XpS$YlVFsIlEr}3QAHeP`fLFRX;v}lplQebUiK`qUpg_lEe; z8ndVojTX39zTl51YctUY>nn#bOo{!4|k7*ccF`!fYfP~l*jEw#wL5IRquJU}KE`#6J_ zLQ>W@he%S~7izZBpyyXr_U2{4zOMG!oGiY_Gn5bUAUKCJGbqQq8+C_9aS$LUH0#Ex z^`y0jN7m$tDv(2n@``8wc9D%v|8MtN zN!P8|Z*jSlX)|<`vh+Z=_ajRf5c$L%SndY@4O6~vSV znRtI)<~)Y#@(pB?f4G~a)JK2`zc*;res@j1`>6Kr6*|2e{W zOBNeSdQU8azv;H75gCU>TSstEZ2DtqvCAJD5Sya41hp3VOD*Rj?cKOl>Zp7)zw)8{ z@@e^%Tf>!GLyb>()*ojB(<`4KJY4w%THr;TKE0*#@yjsqOxabjtF?(^16K26|U^mc7GW#l$6gwcjKD=oTSu{z3Y!rSGaO75*p=YX_dRuDtD^J z5nB(<)C)XMp?@p&i0YxO0=2ynLiPxZ;9@eh?Oz5GB>V~L!BzQ$q|}hz)FUn?n|gfH z()>Hp{M+OHeOF{}I+1w_HFkQ|=bb7Y^Vxt?LO72sQ5YtRG{+jw>(!-gN&? znr%}#9!a%F%h;3d-@zTDjdeT{yIac;P?a)vrvq}8nKqT<33K=D7;dd$s`{^kH0gE9 zIuL*AIuL*AI!Mcjc7?&xv8@Tj>QOtIB1h!l7i$LCMFD8V0Bi@c#1mM-1`YjzzI}G^ zf*>Z9BP-u(6wIEgNbNWzqlSc@uaaGXTMkfHhuQlz`+|DQ_F`8rqkFG+ z<)bM@>@3qFhDYv@>=vh#u?5p+)ch$v>alpb-Ty(F;N|Zhuvp2S{`#64t{RxV@pj3q zXa5|CJ5u$*?*<9UgL#mZ-UA{1{RAy+t=vHZxdH)qIZj1hlI@(|aNGx@T-D=Z6bXHl z!YqaU)zS|CehL9i$YzXf=YE8Gg%avB0IHUm{jF1EMjH3zH}2pZ85i>u!y=V?^DB3X zmLaj+02)jx`^)F1JK)WKL$pzBq^h`oKQ~+nV7Z2-QFX1Wlj4?Nvx#)w#{LpBgtr8) ziioM$L8>z0LI6A8zh_h1`ZEw0NiQmkOy8A1eMkNa5sap$HGPs%KT^sPGwi>dLJ8~? zVtYY`PzxHDzM3NT<@@(0iU5W0&Y!+his+Fd1|*AE;S_;^m2ggr{{st)(a^hTV3Lz# z7fouumgxwd*Q~!u;{PCw-{_>~YKhEk*5730pCl5SB65MWr$sfvP0ARnqIgy>Tp}s( z{0kDRO_52PYiw!yn@D0dOKI$Pg`4X%KCHjV_?1q)z`X;odRqoFkL@`mhnE9;0+Bn^ z@m3&mXtsHcr*KWrN_C##mE+r*o|R5|f`igkLtWFe(yfFya^NHFLRxS@+92y35KPC4 zvvp?#)6YV(P?Kbe+qE;L>p^&h38JNGt5%6@qliC9dpy~<7IPxQZ|eUg$FFo+2308% z6+i41fEJ00R;#7T%+w@1cs`)KJu-PUh2}45TK6&rw-y?nZ@8++R zVt%TD-30CD6OoR6d{}c@)j+BByIUK#3n5QAktS(iz-D`Z8ZeU6+PK?^b-I$hF_T1y z3;4NTwu2~-0n_i6snS3@l*vHO1hlcaTRM9Zr7}gr_Y-Y_Sm!%y{_cdAK|X7~paC1p zIH%3ud!XIp8G~)DCAnKRs=k5vt~CGNL?R|2ZGNXD%8^7PQxbKODEmpRh{c}$E2(Y62Cun56Vs3oICh~Bp`1?G0xcO7TttOGEHZ_qs<2XsuEJ@xK;Ye3*%^kx_e74IR zliJN${H;=~O&OV=QE~BiJ zam9SA<(oLN4DIHR&&84H=6KxHbo1q7J=E58@twRT;})~SmnoH49T?L|N9ITyLIW^D z9NkEc{%rI3eu2s&5DCu}&3!E(AMLuKRA5@3 z;kxIX{pGUf?zaq)6Z_n9#k!2jXOx8e-2+0r3v-92Nm?BVgik#*J`fUilZQFG%az=<>O29D`4H*`cJjA+38zCL9Uj-_T)*qMhfmu9}?rot`{;(SG_5%)^D?5Wj6X0fM`o_2Wt>m@)Ey5?jUm;aLw-*^ zW^F~8_;)taIb0S{a&sAnU7>A*h?%^OrO({3?ln!XlP1eagZRIuNzB;URE7AaRs7w4 znT*1A)>$mJKxWIH(>rx1b|eQQZ^}*3R}^58MQW0UsW!y}hZ2p}`=HZl027!Y&yN9z zOTI;$2bpKFDI`swIW4_%f8n-9>{*$IY#8d_IgjGM-%V4NUTK^(x4UULhZ)jbhriHo z)(^#f6>b~q*U%mfy+}iQmgLAn_QD&LpE7>zM!7_=wAs}qAif@yZeCcy0u}w2x%BAb zPZ;j(TlFDp)D%yHHQrm@cvI-&y@;54f;WJB0rMrEx?^*MCrAEJW3MMTClQ_};S1bw z(R+PDYh|Z3Qz5!U*r(B9xZZh2fm~RWEoq0rVVOy&R$^YTSIOmE-%xf7f|$)Z0EXSmN{?(`BlY;bFTt>dzO8DykB;=S-gaR zLR3`bo?DQEg%emWhRo0oB$HKcE~Fe0d&Mr?Y8k=ae7_09bL-284N{unJ`z_tuZLTV z+R)lMo>7ZHfLxyN-%$zrBd}z6(rr$>kw?2$FTg%eiL>uyKVM$wz67{?4q(4artoZ% zrpByK#N-fTuf<3gFw^m3saq(&nK&(4#VaSUfcY{(iMfwar-puSjch{cMNIa4ipJ=R zOtL+9M$M+nm7je^cy&W~?MlwCVN8>7ag909sCi%-lW2ZU5s#%c`<6I@;nsdFcHHZN z+1PQfYid~H;nL*|4)GRmrN9StW4k%U)=}8Beu)P)m8C)6zORhTY9MMkTMR$nC9l+K zrRUoXuOSfTU}qalUxx;n8hLoEyhdj(geTSqsPs}phk5=o?ZrifH!ekx1wGG_|4-qo zm&$O-8%Xd=)O`4<)FOKkfm4RD@3y;X^q$(66UsCBlD&z>{Qj}l~J5Cm+nCK0FY5Lz-Ar1 zByLudDiQwfRPB@u!BtMU3MrZ2+lVjAcm~g6_@*(6CfljuZC`uo5>MzQ8Q0m2E5+_$ zxlt`5zm_gw3{z;iCnu%Sa&`A7X}L#+0A?6tCAy~JX_^;k8nRWz~z(onDIlzI{3yFHi$GS63NT5 zB-P2Qa!29N02+j|*+6Xg zg?kaRE*%Y_tW2d8k7X-$Ao@1s&<>Q=WQ>i;gX|1hmwMQd^N60SP%S+k81dG@5!z->CWCW06ND~z z16VKA%YfS%#-{Z-oP;*vBH{z#`tNBe&7s#K^fw|lMkkV}9Kpc7u@O3v_(h)xe$g1v zZcrl3&fPIL^3pvAJ_cak4qm9oLwPKOUJ=M5v^Pf0F2QxX(q;Ajf-ruD0w(;FYrWiK zi7s9pSKZyw)hGwLwHX{j&kk+K^oU4Bh83w$_<872=KWSdBkkO&^M=DLBZHRV;(s|O za0p>wV5X=ZoE|2j_BT(XQ;0hfA-=Nj^2eLRPB*t|J>jZ}LP(#I>7oos2GKH_i-reO zb<$X?}>(6bOnbW-aUMA5_ z57fd!8S6cE_V8XQ-tJ0$mm%W!V+4zNb3rQd2vyvp^Q*GpxLfB+?jHNhbCeHuSZ>qW zn!!cEvu!|&H^+uWW>!xs&Z&oS-)A_ML(Z z7=Qxr>Hd1?6UA=`T$#1%S@nHP=PP@O_^|(NCOea~rE-4%jleMsSowQ_;4Qp3j z0foI>`m~#`&}DE|psxCK;O}+wFT0k0o+$otSpmQwXy4rVvE`YTN2>BRy{B~3Nx4YWmcTOkC#>8QdWRm{)GbwG7_}X!zn~v zd64$Fde8to85Js%2e?9X08Ml8XlOXLfvV{oJ_@Ltz;JOjA!?)K8c`l79KUc?&NK9j z9oW2EE2mY)$YqnmWfQa%OuhDn1Cu+v z6E~l&1?V+>wt4Hk)E$|m%#0uh*=x=3h!pxqUtb&tj*rYL0Fy*!O`s^SR%t=FbV6iS zHB&x`Lt&&e$Gmb5SsWVam$)4U*Y%VnRFF59!4?C_8KJbSXs9S7D;oDMjmFECh8mW7 zHVhR^wbU%jqi=$nWP}AZ75Y?j8}`e3QCUt{sG-+`NkHr#$^{towl7Qd&7llD5r+(< z6PIbY6pGIwtHpUDdQmCb{VFjfgyAwa-)c7BN*QdJE22`o*X0rDVGEUxE5%}KX+|7j zq%3eFw~m=J&0bCH6B5P-pztL=N7Sk-y~hHClS7_4o_H@Ryv~0a*onXai!CS8 z4)c-$^q3!<%6v|-xFc#V?ge$IFq_36=#P>RH~N>{RXLa?Y97QhcaAw@0-$#&(j&ZY zXH%um=Ab30czKL{Zcz9)o$p-AYey?HGDhsmd zewxTpA1$8F1()Nr6hfoPZ|sBzk?-Fpk{~{CH_Ag#eL5UqE#8{CHJf)LkLPUgp zb+NM~DNXX28{DK-$)un~kEyarlYNTzkauN<(s`Ud?uG}+PgQXFE+ZR^1#d^#U4$aGJ(Qjv1^^9BJdz*-N?tP%`Wna zJG>KV3gnhQtJ#->+O?L%LFBOJ4#DDp3X~Ed}lmK z!srO$ZGP= zV@j@QKc13@_G7>&JzSN=;}R|xtS66=ENsX`JgF+vY2Vek+Vf+>Ycm;+DcaL1+W3Y% zG)evf?vqWY+mT z1WHjg1Hvm6@SqY)kd@HVI$~uJ%@peZ>&_=+mK)NWUu^BaNYn;84G!<=@bDa)tqUaH z-PNpUMG6~GUrg2$+^on;tUHf@p>9A*Sp$w()WsBKZ%fAGa&hCg-luilF4}AsU$3^K zx2a(?xX#|J=-#q2Bl~t$z-6gm-h)f8dec|s6}D&J{wLdL*@Px$-@XfCMs~zxqUHS)T`h^;l0-nNb4HN2`tE?U>6@3g$aJWUk~*!M zcl5bXhBr#MNnLiDhH8~7 z51qZIl}s&&&&m{fWIfgv!FlHFiO^DXfrsYjh4yyGSV^I*F=u>6gzT=XF zCYlMVrS12z^~>*_Oq1NzcW4^DtFPQ=D~4*6ve{t!`6G>;qN}jd6<(`^38}W@=ZGj~ z@Io<(tAhn~t>@L>oi689#%Q#V;oasRFsbBpN>lW7+HIb_k%2~3s{V08TLX3d>H{JA z&G?Tv1N94rq$nKdIp}BA3en3p=Wb8;{6nCpwLC{(9x#teIk%RNN#qwwTAO_f`@d~A zQfWPmKT@ogSrj#+PJ`$QtKwC#cyG9>TCBS4=MJX+sPKZU@ajBBU$y~sz-#FG%3eIhbOq>TGtIMPJB(lye7YNauuC1tHa#KB;Pfex_rMjG-W9qH}7e$$IX z3H-10mqT3xlrQ=ASsXo~yDVUtz$Yl6G08Vp3BCZv-yr@$a9IL>-W<`z)@07>ma~lp z$rGCO2U5ImnCzri6!w|;M~P?(fW&Pw-OyZ40VH|d?3E-hPj!M`H#_kQB7rP%WohlA z<%&i?DRG3Ts06_kN7B9ePG%0itkAmyW(wAu-kW}99#N4Up%(^*+R{zFtnbfDfdb$` zW{9MSEkfZbOm;S%Jg<~)z9VoWT2SR0a^OttH%shgc`}%Uq@$j+ZGhRCnkw_KRD*1) zA4w`z8hJH*9d4T21q`)&dO*IL7|g{8UmSG7Wo zN6pXBY14|HL(v`w0nWu97&yJ{EI*{WK?|@r4T=&GSIe*5m%mN?`w@vmv^F0LWa{r$ ziJ+IkXA}aK$%*@Wd*}=S+RRzAkt~X!Kj=XeqeBpX{XOzQ`*Jk!@>TG`iw&OG^@fxuYcbQI zO4-Xfq;%;^w$FwS=z7>qo|T$Bt4rogi|H4h5nCHU88he(Ma--SCZHE1h~dhfmddQA zZJ#1riwI!v@8e$`6?(%H8%YD@$c-8MX)cWn)5D*I81ayC1L}vRHTH43@b{!q7B@=T zea>Te`poO6+m0vty3Z# z=PXlMb}yXlE<3jSLeWXK8R;&wjEi*MtDuCkcgxmK37AI!gIHz$J`RWSl9VO9=WbZS ztH-iaBH|+j^wW%rgISjgrCEug!~{74Is=NnqUx21sdDL`oSXa0sLLT{Sf zMpjFYGTXg5o-Pqs#aMIprgL%V2*Pe(o5eYySGDF`EleAZF1zZ_ma|!dJ*wCP35U9) z{|?~GvG$P|jt(=B8H^n(B}0iZYFZKDe>nl$1eVEHp3B*M2(n&XXfcrkW?^Y{ePM*N zVu!g&N}<>b_~oo9&WFeuUSF*>${#%pNfE1{R=R}BmU-~zMP{>X008uoOaTURISaFl zfL6jW?U)FIw};6|8z0D(*9)k=7q38#c!3g5JH>fUb4lNB=Khm*F@~dP49z@-pIDV0 ztvspTg)!-Fp79`{z=qAufJjGw#t++R$$PC3H&E{Bl%^ zc+L*Mh+X&@q5RC5XA0^%Lzq7?2X(o3H?jlYn2Q#aG^;r=w4oY8NY5JWrs;73=W5nq%LL4pu6js*4ZaUwm;48>oM!=gto4~aW*fZGD00EDk_Uiscs469D0c> zm6w7iK-N@nxsyBA{ERL~+-FQv>NvilDLr4FBi&(RF zN7m>Vg5?>e(!SUy0sVoWmgLKrR@RlD;;u>wU3!)Zc8R!7Y30GzP(Xtz9 zgz!uxII$7Ur5t&7wu)^e+I8m`*=f|sf=i3c5|UfkhgQBT`&75y!-@GUC{w3?r3j(# z2^y2yBniSVSc5J;_*cdoLh$$`!aRzN17%}<#b%ui6pT0A2{d&QmT3F2v;}bx^CV<= zv}+IBp+1@8GF!(?j_}fW-o@B6P-R`^X>RMOwl%OaK{v~ofNvTu;92m?$H`!-^j1b{ zdMGh-{bRIPdD-Wv?HVD^py}!)7^^jC;XYcf#kfJ94e{13;5iY_Hcz0s1fH4*4b;B^4Bxj9ES{dzn=OpR0cBbFiBFj0J zaU(uKsIX_`JkhV(M1k`tP~_%Tf?=aw-_!!#H7R==!Z*O|8m8+K&?Kk{(p6wgu2(N1 zwCXQ%un3N~p~9qDom<#mKf>_`gnL#2){cR)bIqx^6ugVXVNcyhQLg!hPPYwsjX2XJ z0nVOAJH*}%{AGI|R*jKC;WE#&nV{C~CdSmK-v6Y@%JNOLcQ1(z_cV4+F%sae!DDD( zL?CfjIxniC<{{i<0eVF3%D>dEd}L3!e4*gZB~eLeg}*KtrfA#DlCbXZ>Unh|z;2=o z+rV85`>MF24*OJ&BdFJL~rLN>gG zoFtJtNJEQ7d6vV>OE0f5>9mm>+?23@d2b)h&>WQY60=@zw<Bfpu^90C~JJxc3+RfHK zQz%IpP@CE^ndz+=B83qpMPO>n-1S${FUlwyA0m*E?z*X-xgIoQl&_$OhPh3k7c&IzYp-=51=4?GnYM+#4cvvlv{ z($a+@IM`tx;@oBL9=@k1u%1Hyz729BL&~uctkeq&V4d8R7l4Nf{hbmgVG<{4h)a(V zwlCek-+_Tbf6s;ij}J)YPYGUOOn$gmvB%LkeSf6oS&#ai&RqB-27env&R-z_BG0It|2sC+Ae{(PZoE(=?1_-O$2qAED7o` zFYsdm{D~Fgdk6Zqw`?PRCcQbNT0b3TA4xyMyf=f=k0PigBvtDh%q#&#bE}f%5jL*u26el zWDpnW{ApN7FI0Tuf=GwGgSwe+r$-~l78X~m8yGn@TwFoAz(VAV5mSlh+n5cPXiV%v z;=BtLNtv=l#(>R;bsL)zd0i)CV1pJJ(8UQpSDIt1Lvw6Z!8ak)>dsA&9O)Mifd9PV z)a;GlmGg=jlf5yWfO9(W1*eu}Z`=()buEIHJV(NVUp?oXpNqEZO?X0PME0gqk;?I9 z-aK*GV}x(IP`qWz_GTIuGTvQdC5RVH4GYP4ob{MeZs1xgpChNa9N~Bw&C2J(fk33P zEgYz*G(UG{%A82TuD?*5llnwb<5a$9pLs}V;BG_p+yaUr2C zqoRML3s7T!d{(&^+XH3;4<8{-P_C8XXt{hLTbb&^B!o91ZMs)wG;RGZ4vrQg>)zBb zAJ-tM<9{5NE<$~U&DXE=4i*^NtwpR?%eE6k4Ucd8Icah`k2qw zE~Z0wp)IxRHsbZ9@DeDsYrU<*d<>ht>jpd`?P~7l$wKS&=j`xE_qJUjEAlyL#)v#* z?nQ_>lLmWjZb`8hG>EJ~_NH;kuz5d{m6ZMUH~UF% zPWJP;{tQS&SIGHs3JjPRcmm_t6X^Q0Bz`qg-xn#J5T8{bq6pcWULsJS0|mQ*Gi6es z?=z5}pm=WieJ~m)nmkT{U0X;fkaLfe!o>o*)P^WXH%ke6(OocIm~V3XO*zVa>&!1z z?pvoY;!2hKwojkHoBYa7%WX3lYVF63YpvW0;wX`g3zu!dyT=PDmD$>m*IKW)>@j_& z8EQCR2=!Oh%-e)`c|n3CUcs7e^=~!v$r$b0aE#E{EOS>*#1+K3fJv5P9TVGb?i$t? zZ!gVA>nP1cM$@xpKhJ^ z(&1XLT)jAtg-)cxq&R6r9t)}5%E;7)C~wV?NEt(;9Ep@SkFpXeZDtrxjwD~?t#b2a z+-AYOj_#o)$0P*dt%25NUzYnGse8%g{UYD?H7^(gMn^wHYiSPru_blpBHjksHlcQ? zq7}oZt(WJvmSco?v^fu0;$9$x1qD=@H2cQzu+*j1qvksH*uw7M(V~>fykEkA51M7p zWx4)<^%h?-VBu}L^8a9kB_T06!Q%$Hcv(j?8$ z)_s@aVra*MmFLTa^vesFt<;3gU+truG0Ia64j|0$sq-{})ka++2SR01KY5;u%|jRO zlUG>vqwBU4W==w!II^d1C+>1{Jf8a{I&^Usi;9KvPe7t>uOz%n;w03K+xQ2GGsJC? z;DC7>vDy0#x$An9fg+Q8|9%O~IzN%}7ZTVlfn$?__ex-o1Wrx{eoX>6*o%#T1Fzgl%^CV+m#3}53X9g{5oPZZt803h;`3c5nNpRRmblDPB0r4dLN$f zhnc(z5>OE_CCdX-Ri7z<_zZj#9Os~34+d_0VD*ZD%K2qQ%5`}9o^2Ebq$6_ZaK2ksI%dT+XnYa4s!Oz1Vsq+&87tcuXEh2kq$fhc}?>m$8097#~gPLS}lR{ zI86gLQnZw=!0PF+Aa5L=pQBYJ_)^@P`44o zoV7NXSj+d8`(;kYeiW(bO5gq!cclxL+Z^I0?+ed2*+~3*m-{&z~wKUU?_5(IQz0KXaUkWVO0=sQD&X)qU zLmRcg0a~DR)`l+E(C02?#fg1E_*eVSgMW1zR~Yx}=$v)X+U3TAw(%plqywkI{w05> zvY(vDOQw-B!f4NsCjg@jp$+iRBO^tha(I9!H)gp zr7P^X8|ux-zGI-g*EM%j=N8po^qu2AbzB9UfvifS zEt!`wjys8Q{5h0so=f^COvL{P{zP+zlY;xdp|&}j=izn#Js_D={7>TE#kY_I##`jM zdry()k;z`q{@)jQp5XUkzV3^>o~%h;PsZdD&l3xZJooJ`^6Z*l^W{{?EH7HTyr#P7TEV}ZsiY5s4~vkV-pP!mdooG6eA?pG!8Esm zcsE4_`1sc(-vCdh5Ae8d#j3AH+L{=|)PE+$zZLf%_~wxy^U^OKquVYye2gBuw8YcQ zm>g-0#*lu3m;RC_!}DqUh?)Y9p#}aa8QHiIF@8}9&{p#R~Ww!g$EotB2!-J(8 z8B8O6a|giVnRikDzGY78@9!bLfW8Tj`#$Dd=KnFi&(8noRm>;zKY^`mLT!;}JDXiE zU!QDx^+le%CBVWOV1w_08=2>oMV?N6D;kPCZDl2%jpScfQ{)-5y2!JTZztdO#YLWZ z}2(j4kem`Fe3rTgETnZ7YgA`}i6t&rn7N`T9sRje4^vdo^kANu3%j4{5Ckh>-Zhu`6ugUG-*rthWCS%NSpMB zaYzLp>GD3hld?!y4JrMm(8K=q5}!wC9l-TPFtYJY;GAzO-$d4W7T;v~@bBU)!CePL zujAXy_W<8kzMXuXd_8=bjLm4i0=@}+6Zt0ddHG8C%J`=71^6oXs`%#d4Xbe1$MWlu z**|`OJ%mL{=fOW3%w^0$VS6|SpzFW?se1t0lcuK$-nGqBO?Wd4zG)_pM!o`Vm2z+X zSem?flelzV<(Ut`*U^wjbf*(zo)oXpXNkup8TWvz*N>AUzvAXV{Gi-}4AB=>YZ*jN zjP^d>=82kH*v-&?d0wP^UgRc({9L`gudCwA!giRCZ_p-oRc-*V$|g#p%+n{Rcu?Z3 z)E+6XGHo2@g2%RU!|=MvVm~6iGS9eTDxg;PT;-d`nM%Y72J^adAAAmYoz{-Yvmw+)eu$0CO-Rpc=f z41U*Emwo8W)8+y*{rFD>0J)-%j8yuft&fJhp?p@U*o7NSydBy^!nCH$M4Y~tKr~^3 zd6viCk#_Fa05GniCYXOp0QOg~!9k}aZ((2}f*1mol3#S6eZt+u%PbM@^6$h**uk{= zvkTWxtRE(48o4c<5E~^cN?Uh`VRBYfyE)xiQT^L^EaGDe=22<8*@q?M&-S6rc35@R z&m&UWAi4mGJ@C9~X-T}?%fLidX3p63(YldhYX_f<>sDh8zR(ED;O!p!_2q^+n{IFYZKKaRs=YOw~jfZHq?Tw8fS zDz^dDKYFW32af}Xo8C`!6vovS{&b_%HVW;_{NezRLxU;pHM5r=FH74R@`w$h-H$^j zs;b=bD@6e`ws|h&$xmdb2(?d(mzRl>XN#ZQ_?e0LigCvK0??BA0J-tpN|ms@)5$GvSEUY|0iEkXVMH=t}dVNwQqk4pKnQ8 z$E{6Ff*erVRksL=Q^L2(#5laV8_1M`Clb86#ZF<7Tkj^Z`T7CMR!J-q!WsIFo!eop zT9*0Xc96TXmt}K;bC`Kq6k=iB?kzy3!phEGffZ{XGg4m7!>A4;K+{sb7}s0sT7qj2 zBzdG9&4RMz$|B|K2~bb%b8yu8}<4Q3B3iTDD}0{D`y zzJRm(MoxefF=OZt*dlCQr?>s;(nOIQ)_JhPq46xE2j&g&LAkL~&%3EopUzYjzA?h+qK;UKzP z)K9=oC@fxDZ%qN61$0pp4**p|Op-yJO+N^vTbuy@3=)t1>uSBA1IA^Xt#-eRv6FmD zf>&GO;P{q66&$DfOcu7N1Su0;gBhak{fnpIdmq^;5MxvHyh5hH7Lhvow%*FfyG-wI zBjpX|Bp5Tip=EZhlIQbe4A@r!X6Xny)P5le6v+%i2 z;IkfbGqncU{}S+zI(*X4}sM+{0=*p1p z2>Ms(I&6!*7dPMf0PL-_2*kJI$cK5N0Oibn4jgsn*MqwuRLA#z>5SWYUR zejX9Xe}Qp@yM^q}ITD?=dW^%6(nC)EKv}%P#oD4I+6nkcyNA4=_fO(F`Ep3`7+jz) zI#=$PUjnx%9`ECKScR`{(emqRrY&B6eK+~jhE+^mw&=Q=smrTt8Zs!XiGkPWAH;49Clqlb;gmeDq@pSS?zb^n^jo;2$((d=- z-TcC?QZ9}*R@fA*9ch#BvHC|y%Pkvc@YH43Nm4eeHgn7qjPb6UMT-37;K0jJNIgW3 zs9WiSjI~6GGkBCH@zf7P#y@_t#-j}Z{OI0Ke(U`dy5+-7pJEPtg3LlkX@UGe&+7JV z6%oEb;v>*2v&~jpN-tK-+Re9zOWPm`ej~DPH%`+t&EF$au=n#%D(f&`7b;@PH1i~0 z5pNInX>P@y?m&SG2`B^AuCMfViG{L?amsva+smnhT`vDeVHXhk0q?E8u2w z-SCS&O-ND<3ay=x)_97@q)!%^^f!@$x02^)%E@lQ5?H+-gG4)g69r(}eMM=%LebWb>Y!Kpb@6n!U$Uhm>BnEJuj|hQetHAu^i%1B z$p3B}iGUco`G@l3%|$%nYrkRab08hnWg4gE9j% z&4XvtCXu=GP0+2hfJ%ojgkq7;J)dzlVf0`)9H$sgbYX;7PZk>vV8A<>O~q^Azo^-U z{7J+&+2T1AoIz^qiEc?I1*lOu6GfpF3VECpPBl7q9E6w2^_OD4r4}bvqZ6n!AOlk^ zV5R$(R#HhN&>F`yark3niK={Qz+7;aRSf2~>=TB;<^x}foevI-GIQ_ENX*>oiL+** z<zlI;8queJmkG=^3v-eB}r#HNIGKv5cG#{474VQ?_y*`Ri(2QOu_wMWi zoIx)Z;N$Qnlm1hnCjoIEQKvxM>)_;QS1&8YH4#nQ^c|dI&C7+{#REilU5~W)r&qY` zbt9)~q>43vJrT)_8=pv7A;h7AH#ad%C|>Jfe2H4BJ^|9n0doQ!Q#f`ck?*3E2sb(} zzbnm~qs>dS{g)cqV}7oYlQohO?f$o4Kn*cO5vXuGDyopNp9^Uo?OI26#~jOM6tt<$TnDyf3y&I7DRhA%Cqv)^2@KdL zn7)i*ZIRdG+VAgEfdi8k?ro-A@_#_X&}T{pGn)M1LthE&LFajo0HJCskeXUGQ})J3 zfE0asU&2d5>MJu*&WE!6f53p4C&i<(4%oPl_>p($Ftfl2f@>tvEn49^D(n*d&Y`sx zp89iLP&viJpuhvG(7WZ!MKN&s1k0b{m0*FxsfqY1%=6$%u+uYC=`nwM8U)2MXcZmH zmrtu2w^O7G$e{RMuk~JocUE-vVni0pU6U-PWk?vbS)L+ zHa$1}@(Gd39C~EZDO$1?O4ggm3UDFI(rhob)|#< zTNkZ5@job!GY3!6uCd-yKGPSe`PHFqubUv>%9r;=2Jjwza0q)y! zR0maLeoi@NM#@{NynMd$mid|G1|E)wXP{a3t)x!6GMl639y$591<#!w@#h6VJ^ma} zPadd86kcSZ@A^y;9BC2Z`Bx&9z?0C91=KiyTdtK$?4-Dau5;OPT2&u*U!=@V(^LPX zw}AWQ?2NL)9)kd~E6jz7;V1xdaLXNuNU}r%1w5h?+H->X_^Upzn{oH2l2P%_nnXqb z3(WDa9GP8PguazX6rnz^Zix4uMk2M`w@e6Ko(5l*P6%(|JDK2PpLL)~_!RZ*kb!c| z^&QDY6(^;nefJsC=IEq%n5oIM%Ox!c(8?v=$NGbI{#F7NG}3A*>Ycv|D!73SBIUXA zcQmrcv}IN_ajGNfEG#>RaQF9>> zK(MeN^`iKlzgb3)9A=2FW3jZ97B%~dT1tJ*K3~f$FQGqVVYiePH~WgI;TvuZW5t2( zUStnB!ie}(F-}C{oDGbC8j=J|$EgfUyQxyo1$fNOyz$poW>6oJcwt^*3XA7F)|bQW zxgb-yuy)^-jT|0_w)i}!#Uh+?cvD3b(P^qJHfru<9;p6J8mDzG6$ki_XZ=pQTX6Pk z_s>WYFxj@<_o-+7DYW}VQSc$#>oh4-TD-vyvyvIt*(N*ty-bR%faf6P?FwLuF0!=p zm_lE{I@tP3cNO|3q>!Eqkkv@p-`_zqgIS-tp+qT9Hk2W3CDE>YqMQu{)u5ARd4oqa zGiU9(Ppko!I3Gd>adCp4t@qzm`8=_uwuifjw9wjlo((YBra`!!>hM=aO&Kp%Eq&8W zR@$fW+TXARe3@eWSJZdG@4m+2cUQ;D7p9!IEx$&~IoB!Y42MrM&20C48s{*1vle+{4(IBI`i=ge_V_R`(@#m`C36`h7QL~#Pf}3oICR0M{ z2Pw&VDEen4Q%uooxO9p)`;#=ok4P%`=^1iIyUM!yA&RI*_L}c$WWGkCgvmh%tQYzV z>XLT|p@uPNo3QRk)tJN*`saTuyDU#)AkRqJYhDMC*&2isG4ioAd0cG6f5h5A3it`YcubH=&8Q@4zckeuVHmEz3Q+K5a(yKXG+Fr*wSdcSYNv1v+VHk;u z58}6Yad6q9l`3l!+AbV96?aEF$L&<%cSN69e3|Z zvQ+D_>T1N`q{IUUNd9QdK=>1di6qU31LOekoJ`?@M{{Z*D2w=Cy-b z%6_vGhn8}kl){5WyKOH10N9wm&o?7`)0McU#HV*=Z#oHAVjq2tL6PP0-9HKWzu(-U zzkTLywkhoYvOmN+6N%r~#NB2&iK1P7pt6)rH`_w2xl$wltdWU*m34$o3ABSmUfQy> zu!uEn{<=XxPR07Zkpwy36QbcNWcCNl_7j+O*&r_cmu@oE{D4YOZn}@d8-UV#4#?xB zxghl)Q(K~ycWXoX%#J@wD`#m#M6{I^Av*8mva{W+l`=cbb0^RUd8D@cXv}6!DKeuCvsV`3_8qrD1q~KAt&$PaZLxO){hXI#M4k0D!k7O#+pr7 zoHY>l&dX!vt9*7mUR$3Sk9%12&Js+H2Lo{pssjS%iBX4~ad?cA72^;%mvPuOhjG}l zFJ&C=qZ9zjwC$B~m_d}~jl{k4qO(MZVtsnNY+h4C6)Fnby;UCF=5A;976`w~Q0Lw&mxSyNo6EV*94f5XJzRhDeoj!7oX41ZS$-ESa61(r zn48Mu^b!&6`uRJw&*5=ObXxRo!oTRB#AlH}^bvd!|FUe7*E7^Vy=Hm6f7PlLt2RGO zzVx9L5>ULVX3_OPx`^_4JP!~tXxTM0RxGa>yzCl{8_MsZRq7ll8CKIDL$b^q&2O2Z z{xvnrgP!!vLFF~DL01i~xZ;{6HP;65uc%*jZOsr(IyG3c%rkgq%{BFlmsSrhUaE)XzTuoUcG(pL#MK?+!TUy3pr426fEn2>2(dxk!_BUr>(M)O?SRsEsndOLIUmL7R z6dh^XEMk!j1b0n##T7R$uUREUsef~?)H721FdYf-`c*am zlWwF9oV~a@ST}eoqU6`rtV$bHRefv8X<{qIBt^>k`veLHw{~ zizFPG)5X_jE?YEx(enC5OK}7itzvHM)ZHiL4A*k{l_3R588#JiTZ_IJ?rtM~GC@n(SGp|B{5)rJk5v)X zeH7F59l0FPe@*;t8sHgZCD=T)<6(#@`BD-fvNuGa##dK!?ezjJg~V_CQL+%}LxyLV zq$yhwyuRkEt0mQBQh6TkpK74zXi3GC)Xc1@uGjg={E%^^N!_1m)*#OyOV$Z)DxX!y3#YLnzlaX$4>XMXM zp27A#Np%G&E+xf%q)07exM%2;RV(UO>V=YL91^S~l5cBDlaKNYE2~+$(s^p)NHNEO zn)uzxR885QjIzbcgZsIr@=759%^oM3T{)iP8JfJhk_KN)q8&g~YIBbE44Jv8dhrUu zXce?(A!!OIs5e=UX9URC5rCCqE+I(?N%B|{{A-fpj`0khwRrVn9?~qOkY-ZIH_=HU zsd7DouUPI|y7=1b3qYrviIp#-brCYJV)6b-K}jOXfYs#@uk)_)RW0_(yQvtch|A+XIBJPtgK&Iv~=-x z%a=hCXky)Wh#juW(w_*xpI+@BsHrnMX*QmXH`_JT6FF8#r479ishPF z{_G}dc<>s{Wuvl!*GN&;WAo=N*7{{)?Xwt=@oFt1gCcT?9llKKuUMf4;nUxW6;cwu ziWMs>Rur$G=4JS-qhbZ2gO)84V%1i&xrven9c4FteSSkdX;M5ezK@^Oe-oGV_n(Qs zfdu~!#^?R|f3~j8bN$!#X7ZQ)ro=PUPKS)iW@*ddlmKa~0DF!D?87~GGRzB^Vfl36 zK$tXE_hffMKW{f zNiK)aTZBg?7olu>D=9?2l!Dl!+4giKBW=w~`@^IRQtH2ZiO(fK1jN6H?tWwz@1Lr8 z_b|7JN36Vi;adofbwEr+IyU$Go4P#9K%CUIxxV-d>)N%Z*fZhAVoxrghtDoQ*;zOn zU3>jzAvDHP&UxpLpYW9nE}VE#K~b@<#9un4Z0co~Pn$mDiolgK%PT5p&90g=SNbYk zyIzFyklE;i`yoo6M#40CWBiL8|A+CCTGR#94~TpaX@|Fh95}oPz4MIhF)#a8bHW8YyKeaaEKlG9RQ)IYm2YSZf%21aV*8p`j zS=}NxZ>J@37~hhaR{ZhJgIw6jh1a2gsYaskh0Yup1Y_$2x?Ar$MBwQ{c z%y2jcdbtcgK(89|5j^ZkJqQgxa1DnNiud z{7~IwCh{lh{v>4bvTymNBz%QGC@zyXw2|Dq#&LOPTIi}Olp#DavPyz(l%Pj#(#XgP z396Q$du>ot6upF6fSAcOQzhzVHwx7O8g;isol8_~u~T8_-Gb1z0&~q9!$i3+F9#TCsmVq=EzfFQH^ts6-n+ur|RpdVn zHq=Rb4#q$7$H$<*jeDzR>A=erN08SB-6la>ZBUa9+AKj25=0i%wSHHsA52+)cq4_~ zluN~^%&@vz_7W5Adh7Qjj9HNa7C!%&EMB%+IEN9()!`shLUF=Lo6PUr!d>ew!d>8m zjR9y-^J4P&#jwQhkRlKk;N!@bm@Lw8ThacE6l%Uj3RPv~>gGewoY>Z|g2$$V5fLub z)i=0sHa?D)XZWpl46dhfu8ri@;H&}lTy#0&4z)Bu zrc}rj)AG8EX!9-^Q58TGby0~iT_9t+Wh{I%^I!~E*pvO#tG`II0d*39FiK%(Sj!ik>u3Xye4-aRdc};<(eZDO|A;3_LzuPKPlKzzM|F1(6~=SB z_`BQv?|M`p3713hc7H5hIKO*6dcC^I@dPPo6PG%L_4`F_;(p2ZeK$15h0P>??8aOc zPPeF1d_(Vm<}~}?jh)oukCBg<{Sw1UIlx-bsPs5`vZw@2+)~LEwzx_D_?o}Ph}$o9 z9plt>elqf}O^xq*>PL_>`}P1cO|tCUr{GB58QJ$+vbyet{b2sJLxkk=?EM)$@Z`IV zH;8Fz5}8(%$4n8#=-B zHsAZd(|pG#^DULCyo;rt;)!xGWivQ(KCd5^ezK-tqUkR>Ed48orO%Rfd4r@!tyrzbWJ;5(A2-9um(aFp|kj&ntRF?hZ%u5Tm zC9^vHS#nsGrIMwo$qsd4u{W8;9ka_0YvV-n)JB>%+Dx^D#S4?U>^Pr&SUnl!X=xH! zY#q8wk~!RZM%2jrJH+dm!lq_TnA5L8BC98CcfHVVAnA}uXc)d-bok{8FOztL zBt*?yc(aaXWmb5z5C(|ZWUB6V-k-R#x1*Sy@y1%jkl!{=&K=U|x z+&i4;97p08dx`D#4o7h~5Hx%I+u`|b;rYE{n+eN}vUQ$tle={`t-XZ%(c$b%Y){CZ znMG_%EY(j=lt!-?OEOPo$aeB}>@ox$7K+Wl)zye>|9?T5`6g1pb{kcG z5mCDx_axjqHQB4#Px%62{~IF9@wWW=v;28S!~o8ERU{%}H2$H{I;0|QRLw|`ven;# z74{|Z+2#u1z}j%}InBQ~+58Ec9+MhrNf|A9z^Q#AwcqKurB(Oh9@Y)b!*o%_MYgt+ z5j!#uQkLBF|AT1`gkH&+B~|YJ82SSp{JrK@iIyG&G~!tz5`@dCGL!x`^UL1>prri? zzu13eF~p3sPcmDwzJzoelHxuGH!#R@Zn^9re^ssL1Ov{bbgLs$WhS6Y_`hl;=OcZw zrNDIU3o43%Shieyp2MpR>pB?_NhFt-B9~q0?whdz*kbk{l-NMs))Lx{ypTB`NtJ}Y zp+bN`mE;K*gDc%1aOU#ijvU`EAu5efI zG+C7>L@rwz*@mqyd_%)kOL>y|lUWqa-8TcGGT2HGj09y7c+)5W3an&C%?g}Y?Bg+v zUf=F&JII5Wpoja~sF9Te)@C(VM$AY4(V5wso+bzvir(7&!L%+p1V#KyrB?GA)6sR0 z1pL-~%um3ai~y~wr@J${>7(@%>J&&0T``*kdo;nZBzQQZex$}o@CzFJ2BOiAry(b? zVJEMFriL0>^W!7_mAp}rHUHf6I!&jLUe0O(^OU}3LpPlt zHP)pmhi=&^RoqAw411M`Zu=&8mnXsB}lO8M&th2Hrz^s?vXm*wi4W1F7qUg8|skr5oL)H&vtdYUGlQGcxb zHl!h+FQ>Zz^_lMg(1boSPdqQOxa%j7FMHD%mNVkIk?z*UeWKGnk)dWyOrY#7mZPiJ^_=Z!ZfP!|@vJ6xkfJ?+$6j*|b8? zsFv_sfKCbxj@vq%;?3zz)ZV={N8HEbF6?I0lGZvk{1#nB45kgyRF4yqsB@KD=OVYx zm0IVM@24QiOX(RSYFZ(kqg{`(FT45-kv4X5;~0ADx&=21zSKRs8+bqsc4N1UGjHm? zNd&LY(ffF1%k)e%{Y73vb|!Quq47I5^Vj0tZPuQRzM&BC>HAs&eEgYB4SyC(+Sr*4 zbgIPK9y9HB212ZE?Z!w6j&|>>a$pp3w%yL!_{X!loULWC-7VgQpzY@3S6RL`xhC%P z3WZkOt)6|>gB%#e?BpQxOl~uP)WoROf0@8!^}ho!2$1(LlC{Iz%jsD{+PyD(x>{w^ zlE!WK1gGGT!m7NXgYosM=Uf7|oAc2WT)1alzKw5cnCQVaolU!P8gzmtRazU8zQrmHocv_Q3w(VQ2LuZ*_$4=A+$+MA4wK5;6?37FSCPfPcKF~UX@Bq zAWO{#7HD8!>?E5u)W&%?nacID(MiXo;n^D>XCm>(v92V4ZQLGFI(i_?NJ;kRi22By zl~!M>88z~)M5Hc|t=R(CFNh7LUCBh>kVG>0c9Qm(Kr28F3xMjSlxH;8w?CWeY*I;@ zfK+T#npHMYoB@z#%0$bkWN)0S2txYfOq8mvmwekzn8Q@zo<=KT*%Q#x01lD;mkcf5 zi_}#nWkv#fG<3W1?PAvwN)$?6ABuhQiZc*f1-{pWQs6t{-HJS+>?C!)8$@@lX_OZz zyR@jS=HWlvo=9&oEv07)9Zv04)-xky)Ls_MAPw4T+odWg#^{t{9xXF+Lr?ze`AG|p zndz?=&$Q`PK3Nm?O2Rqa`LFg%_-e7H+cU@HM?O2GS*DzN6NJUrHi8tj5$2sI1$*4^ z8?Xlt$FK{9k=X9mn;sUlph*})C3q2G1ni{h{42Y$#dq1~$M$Phpj+By*PqN=vb1!T za}r?PnB-XGU)gh5J^f<)*VAL`NnizSwWLokmL(G|c2)wi%`_+prXM<^B|U$*^(IA# zwr{%Fug4uP)Adr;7n_ey@x@ngG|3y~|H(>KAzxk9q9I-;AlWj(NLrP^XS__h5%Ts9 z(4Ri}aTc*`B-H$8PtZ2uWst=ZYoKu_N`K|pkkQA(5y{xrZGmi$DPWZa%nW^_Wpm3Q zG&PW0w67y{?A0;q)X;}4vey0`qtUv6b!&T_&5@uaj$LdQt0WF$!<<6NUZV~gdD4<> zwY3y#>vY@lz-`i&Z6YjxI>|sb-r#7GW#CCk)~Lz8OERad`W$&({$260);M zUsSz&zrKXLoicXuGQ(en=T(F-{e2u-YC}5~W{II>@leQg0cVC)>}jkw+!W=|PM4&$hq!4fn9k440kP2JDPr z)0(^v3figmDYdXCs3^bq5&lMI!f#}uVUEmEw$HOKr*KpC52xo0_^AXcFdVNAMqZx6 z$TlMFFz48$hqdW*TJi@uhDf(|)<&up)^a$);t1sf<^nDeuhH@9RQF?CgQ!=AHl>Co z0~{kVQ8QD_fnf77wYSTuBY}w088|uNya;_9?TS6^&@jT8J|f+cpgX?5IR!5tf$rFS ztDTH5pgZax{}1R6gIT!5o_aXl@dV8=h!5D^LTBVV#D{d?bBPab*(~wlr20bQLwDeQ#K%oHGg~h4 zp|hDne9TWorVt+`h8(-JNrIY8t|Usu>aPlg{aNB;)Mr!uj8sxcD)I55-JuFkRMKbczAA-AU^Wnus!)g;$udGlj#3P;^XJH ze0E5)%$*eLOl(H4$i+KM%0rK`goNfPM1q^3=Oi&y9{;1vP@OJXvS?M;AAr`3b6!?&1Kxd*$ zDfKFKnjpr6d%F`8e+dfZK}J?6lrKFcsA}pjQYe%o@>TA2_$q3WB3!vA?B5yj?=*X9 zQrN#+od2M4VgL4z{8?#YGr|97*IL98>_&JtB<>gYAZQUO@aH)Chy6F5=(a z)WEI9pim<$4ea#ngtPM<>Ql;CJeJLKX^a2Q0Wr%_xra3JWC`*mkv3Q?@5qt-Km)=2 zossDb;?77&1XSX8+|A$EsahN6Hg+5D80CaxBIs{Sk(vmq5-Y$qLL|e(>hP^16%_mbJxYP%nR`EVIZeRs>(#lKxK_?-u?)T+m220w*tx;gAeM4 z3SM%Sd59%Rj?oG$eUZCF-%B`0eVLKFMC}Vcv`|pD^1AjqywAPi`Q72^eF$|RBgNtV zd?eYOXHqCWeLrsg@M8ejGMQ)Ng!?({@w7@KvK@28fDw$uV`wdxs~zSHsRq91l!9lb zQH~h^@hZH|%nr{$1_|C?2MQMil43Q=4?Q!q!5iO@fv$fTHa$G)12)40@D5Y2a&x#% zh+P=kz&+C$=-YAbnd(PrVXz6sP=KJC4y$)5Ek;(V;aL^c(AuHI4ls?u*ZiMpx2v%U_URc7nza=F6UJ;F$ zU0_aU6~u-)o=2V#Ze&YTj#OD3IXO~!`Nq_8*x}3Ic*S%6nM*kL$UA)DwcjA-fv~#l z?+mpKrvGUJYD3TekfwQp9AMC4A=(Z^1S9gb2MCOR`#%0A*5XBsbE|)^`7V^ZXq~@< z-)Psbeny*|Ya!T^{m%`e|94WLEI70|Q0DM%xX%#r6|ShbLY+p3g2%L~`)-OOO;kBN z_TGbJdha-qk(du0^3HSJIB&Qet)6d0eAQ+SVTF5wBURWSyCrbxr1DF}D6h$+9cW&A zlXajv!MKo~v|wVexOu_E$ogtTS-ylZ)B*LU$oO$$mn*f<4iEQXr2!FTdiwA3Q14_! zlDGgF-n1T329cLq!bD4na*xjITq*OS;C0OlE^;vfu+(mzpl!DtM|FKFt9K zyeM?W`4XdU=LVdE%zz^|_9b#y+oH#dj$c*BbDD%fdS=BdGcg1Dt|)m#*Z{Z`TlMHx zP`RIv9{?G>ZfZ$v$BnVCB;HJ#KPh+_a4|_P#)4q(YV!i4Yt>SoZlC%S_G`kL8n_fW zN@}e(Kf~Ydo#f%A|DT%YPhvDuZ^d*@Fbb(SN9Vbc)^Kw#H}1iqTMS}%=5_A)bUw1- zma3lR;1A_KYhL8N>6`ws?p$W3ur9Ol4DQ94qpG$(WQH!CRC?)}TpLm)$^SaG}$1{iSK}V7RDq2v80TC$7C@ARY5M{WerM}hnu@x${w%RsI zTM-n|!GJBbsA%!!QS_nhO^4dFBBqcszt8vFdnW^}KF{x2|Mg$%zt$ht&D?v=K5zS+ zv(G;J?6bGLEhd~dNIoI>;49u_HOFpdY|?+&{Ozeq8k>Gk0*D82Mz?BfOOXo}fbrqQtTErU&Lf-$lHboGXe zhDIM7v&g-58Nlhm2=R3#FohA@dXH&p&uR*O$G%sXPCXpuFFBaC-%NwO#tPC2>I1kL zyqcQcUyNr%$S&yVjyUXfPPun6JCY#U*l{+e!{1+T$`iS~4{ZdQ%i+w*oXJkYm9sDA zKjArUOP5b#7NE}|kv_j3ePhsL_Xl>Wn?Tai? z+(ijP=iK#Ac$5wK{&XJ$;vx!hyX@L^28H=33laS>!+?WtUmfuhH`28@|EfDg>}@z@ zf5ns)`NA$+1pTo0j;~nCKm5A-Kb=VF~96EYHv&ca=@I$F(7jjc{Y}_&v}r zi^oS877)5F(XH-`%3pn-v>jL7t4|_wV|Ny_IM~x>)7yi|SYcXtB3)Ncn@iZ;>9C8l z6gH2rm%Xsqomj`pwa16!RW)v2mn z{dSb95d3Xfb9x{B-`Jfqtw@hL``a4XL|o%WP_0X{BHdSi+vxT+JJa0Yu1p9s_Yv!l zFN@!+nQo7-h~Fy}G<6{T&60cA*c?bubV*wzh%!G00nC^h2&TSf?%Ru15xK$ekVe2j z=3Iv96~@`I@lx#js(2~(eO0`a_r5A#iho}fFU7vEikD*FSH(-Q@2lda*mq3)u{O1r z!U+RWy}J5hV^90hCzv?ZHLle`Y-dkrer@}a!peB-^Q7L2dCUJH>sIw8yuCtLYNNU- zwbAsNYd71onB+iN)qUcZGVSBfyuh`OkKr}vAR8sR(^$!OVypwnQ%de!?tPy~#>pNf zch(!L(alb`57PV-eQDT~<;-7f_AhlhZ=!nG>{kFb` zTS;g+<}Aey1GHoGqQ~&cYK36%w@`)L#yuA-Z|y z^`ORUq1l_ant%gtfH4nto-q$bf&(;2>znon9bd*!nXC>G4b^#@v&_>s8Hqd z*xb+$9eY9PJxC9xHA59fd%-)``+Grir=XSXADW0oFR3MVpVc*^Q+D@#ta^8Uk|HGd zc+VyB?i(0CA@cD`4)wLKMj1m_4SuOj@Z&%+j5&JlFB zpN{IE>}@V>1nJJnZJU&t^AEC5Z>Jgvp&st_fSaGtUTQAHJ(&by+CDSBQ>y&Gm>;Y*L&Hsi^e3u;ZkQ0sPsgKWykd zdvyKN`Lfo_FOu`SZ%Z5Up#Qned}12NjeW*^?(;u?U_N!zVm`)i*zg6{MKV%m@0_2z>*S0g{esMFpw{knlf)NeE7!E&C0xn_X6+TPj&P!DIZ z`9*J#-$ctAC4YT&X!9>LUivcz%pIGI zG)k|%If})S$;dGa(zkvHc%WrQrLozWNkO7zJ^6)FVeDzdU+fu0Y+Ia8e+7MQR}MY0 z{L=9ZYivFdAo>y>YCb>z6tjOzsUL&-1QYUKgfy33V#&`#GB-{(7>2(x@`{(?FNk(C zyw1&VqjI>=&EZsh)bxn1AoslHN;eCW=QoweNuFsw?%~7Kpsx=d5pO`g5d%3;5C@}QMT^C(xQdjt?WzV_Uxqwe+6Qh^<_ckG?6XMtZ^6`;hW4QlnS9KOy zU8!(int}V(=i~bUaQBpk7hL*dpBG%XGlLhbGm6RL1*@MoAQ;lSrrv(#TWk-j05o?4jh~ji zvfT&=7G25};U0!nYYRSC9paLeG$;wqlDu0S8>Ti9q?xd6iMSO8=**H=BKds9r{kA3 zm6bdnqRYohnL20DPy^&{5;BbYRNr|v9r3k9ja?sFb| zev{gm(SU{>WL|mDvs=wPzG_u|5pEe;N1%irEiJObNX6yT>P+o{jXL*ex`HD6xLd7} z7}S*9b$>6qwk>%YOU>JS-pw%O(4$P-?A+MW8XbD*m}4os40p6^FF)e_;B#+6M5}=& zy0g~E3a+Pe5+a5f1|8k}W@jYP(##I7)cz64LP4Z6n~=YWc9@Mv1>{YYNzSPg$e z&fM3`X^X6@k7L0LIYYRJ0PlOM2wbFJ8YzR<8l+&UeWY`>>Xl$PYiyY#sV=dl6D%X# zMI?{BAOK=6Yk2d{0E^E-&n7m$(abJQJ*n9?8@A4{usQ+`HBQaaU641y1Q#$}I@@RzbN%kH(W(tT7di}xU3T!9Z0A3bUzm-D zbd;1TBI}|#EfS#{pmrp%Axezr#7f}cbO>f@R#Mp(Z1@IW+@=q>^^GpQ*b3jSy~Kc@ z`kbv>(4jKZT{+G;2p`GS#a?;g0esiym5ggQbK$u9D(7r}y-N;i>BDqYmZ5`QXF7X! zvG&~rwMYuq@PDB!JE-_D(O9yj}?S3!Ssp(YQu*FM(^Ev%N=YHn5x&90w+%!{3lW5rq zMN57fTXDcb(6i#R?C{z&=v8UZp$7DO9w?pK)g4#$ol;*!HNs*W--3 zdtDquWaaMZkW#k%$KzV3~IG8ZQGSSp3fZLBf)C3rcOFxi-| zUF`BvA?IhW97)~1JxY0^#ioCC9~-_~-mG;OPA8J6K|P|QM-8!UN3=CMl$==&do?r> za_`_Ugrb-YmDC-EKE#fZT3z)qgKMt=m}>G{N`6mfhdVdt6qPsUSWlMewamO`>GgEI zHs_S+r>Z1!a7Cw*>)IAS>~0?2@rUgPim8q_=x4wSS*bJaQCPZ%l-!w5MM25QP)RqVZKZC^^A-fl`NMZ;N5b^7&VtIqd86e?8Eh{&bIrZ=|uS%Zhc2tG0dhl(DO756i3A?k0`s^Jm8gv7gF^A3+J=by&@0 zSM9^v9i++~CzHPicy+C=fjK`RTshVrUKJXfXgQIkBDr68?ImwPZWCWJ3S{r2AstmX z3AtUL=pDG=mF4a=Dn*AKSAq^YT6QsU$F0wwR<0ApBKHoyXG_-Wq*|rKQrRcp;rpR{jTD^&C7IaZ9YPsXR_{hjJ@;L zWPc)F-p01MErsCI#Ey9FekYgun@gNQ&Q}@iQ;VICMuSvnDeO?dwZ*T6PXC1~=;Bdz z`k0M=ryr_3O1V=*&a-6SQSQ?;(B7LoyCdYgCInU4KJ?hd98Z7#RCV#{Ue41d;NUgRNAGB0M|w8aw(0`qlieW`?D%{& z56XoTNz=Ou>MHRK!~`GWW`p#TZ!p-n^=YP{-_F=}*;FvLoY{Gl=kKV*a5~Brl`wVs zLED9Tmgj|>g)fPKpeffz4==xs9i%hjkVg;{e-rU`TkY!d0G=Q6ARfPWrZbMkeO1(b zu_N0G2V*M^S}QK12*OjzbIfOcJaTYHc|LQKVaPI2iO=o zk#CYFwIUxl-BxOO8eo&lGOt=+|Ceg*=JLXE)f(Vc>k_wGUA)|qv;G$qddM!%*NwMs z*s1AOc@PXHPl~nWM3Al>uvX+^6e3=Gz{DLOju9={8DrP*!{!K;vCsx%2AaZ>Qi<0H z;aj#Z^?p)=Y??FKGyzUeZ0!Uzq=8EICu0ALv`vR@;T}l+HLA{bW6g?s8kf(S4Y`pV zbTVvnfpd=RnD9FfgC)rm(LOQElTqg3MjKiFm@KI!N6z^c`>o<|{;E)MGe+;6ziQfx zW{wrj9Bb}>YA)-D6WVl=T|UZr>$@@@9PY6?^ffB;BE78bj(3hD`er~+7FQ^TV$O(r zF=$|5zvaOi`T!e&Hh#(KXc=2K%7}e(*XsLACDJMz$<{mJ#+QCcd}(5IXhyi-s?ZEO zG>Y@BpkZ2jH(AycXEUfYYom*QtfSj)vAbAmaO&*LWfjl~aimu|g*~2ywSh#i%3aLI z1TLicZa3OV^fdAf7hwU5!t$w`J!Pb`Y&+P3lCiT|px3p;^~UvvJ+Z#zvXK!j6GT-j zO7u|1t35hhoSKTEe|gyLsCdZ9q7E)@Let60_^Yl&8t0EChJ-k+ORz+hw(TqdMS)Kd zlKomfzwbzz&u3--)hm#OiMH3@X`XO5^nfeF2;cthW%|Gi=}dFSNJeqj!0?4JXJ~Nc zXr}Fi;L1zHvN&f3R}LYv<#|@9;WC^Q9v^e^!nXl%9YHPY-L=nkY8+g1KcD4BRfcu( zvUM^pFg4(GLHG&h-tY}#$6p@#xaHp45vzHqDz_jE z@B5h+XwRE+m_lI=v+!44l?Rp!g3nD2U{mT(n+<(S7VM~Gq(h%Nqi+)s#rwTh?k68Z zJ7Mx?y2<(~*1ch+L@mODBrX)=JKY)0;75w(GvBAbMw@ql8Jh7;FAyd+U%?L(OzB9c z)Ab6jxtqW=LN2B_m$|InS28X?(#x&IAO(xbKFFb~saJtQ5U5Vce?!jb-VCnHrBXn5TW><|nNGLb z-SvLxo*{I89`nAp**f;JcW%m~#QU9dUZsVv6Y0m??`+&o&=!KmUmk2Y8RWJ+sCZ%E zgA5GZMPM2O7nz!UU{A@TW>Z*>Jd_7lzCtlbSe_gS1^ML^*)=yNz?(Oxhbg(1^$j`0 zxW1-kiiz>#VQ$TmM{oY3Dh(<7S`{$#3e zB0Huq@L@7RzA0E!X45YvO}vDumi#aczJeJf;0Nwf;HIs6fc;4btEm znUGAQV%Yc8e|ue2jA!?pM#W+EF{!AmGj)?%yI-yClAf_Xo*J)8<7xkv4m|DWelr#J zkhG&Crgp#T`)!c%FBlpm+X0n(xy3=F_cl(*cM&88b5y#;ualLFplX9qA&A26|Ai1R z5VD{AI1QTwR;5MmRAi1KarWSuIdD<8pX6>Uchky5%ZCjBIYQxkEau6HY0NFZB#pI0 z{~;%QdpP+p6RD&s4+-H^rhv{uve;}h`ST@%-s6160-QXBiTWxX5kftMkFaB&QAwRo20-j(4D?(RMX75 z$rAb9N88bv0yfVsFK|Yk@lRtr&=1m-c+(n< zfj;(>(zqGKX-Q6TylPZ))vPT0o%MZX9!4^C&r|gdvds`J%2PG=WM1|P^AlXH1fEQ> zfwDcB;yr+oKy793u^3sgMBrhLBgETl{VS`1Z-+{S_=P{{*vedF*8lSw%cnbwer`IT zz+L|Dhffx(knHVMe>F0=gmZ@%%syZ$DClms0?XJ6To_#n&v6#Uft)kljJnrt-I;RE zVF=l~lPCH__lmB;_X9F^~a65^Xa#vGHA;OVZL!xB3BOsD<+}%WX93T9_UStO z=Ibpd|2yrek|9V`&DYUK&{%sjUchnpK3Dh6$N~(X&z=6Hxx9-7wRT@;{7Y2J-%n<< ziIxLDl%L;T4q{#9=WBRnKYXY%FDpDOy5a~5fadQ&&NcdPW?K@q#}_)gF8^n0GlrJ= z$ag-&;b5{19%>M&qT&8Jl`&9H2&$(C^;=Dd-v5xQbRElnZ5jUJ2$$#@6jPhq4kn=7Df!-y3B5Vo;GmDdkxdS2Vc-@i*j$_ zyPWjDjq5n@&Y0oZyuNG29iTtk6x zn6I{LoNYU)M8~n&a#G1I5-TyT0jO-EWij*J-8V{5ff(D8CBk-WlE_ryc7A2&G0N{s zemC$N<+m>_TF&p&d@tp<6&2kuzf1XD#P5T|&*yh7zxDi%Bz!i%A--qw+n?VV{O;j* zI=@Z)*6>>fT!`Ngzmxb~!!P4oEnfbL-{MrKfl$227*{wrU@3gBA%Q38&Db&Q!rLaL ze_jo;d&|tbGan)KCZk6%6{?;l_%ZTLwA{?vEf*rtz1VPNpS9$UO)Ei{^Y%UDYW6c5 z?RM{N;u^?_>owUN7yW@4@7pLozAZ=-lUUtuJRP0S9;^JeBdNz#KbGy%`DA^*0#tRa z;|gfl_|Mei5|gSPg$a!QcCV?vaq+_W^YJ7yalwt!MzB)YA1EdcyVU8p>hQApa}+5t zm^F;pE=!h7nR8QQ{;b8hOP1jCBHVS!5}XSd)4QFj7B0SJ$qPVb{@Zul_fEbi0PqNP z|0n>nZ3Y;`JEB0VBX1D|hm&#W&40J`aWwS(H(T05J;f+7UNJslNF@m1aOG zn@(0Us4o3m1m#l|eC) z7Kt+f@~nWcq23-zbj5MeT`ZigFf5dO6!9~Ti|>l>i~7hdHz*I)0d16&p3EY;S$Sr} z%w2GkKu{h@J)Mz_K=I4q`6pn^?tInQJD)ap!R&>uix-od2IY35@@`vl1w(Jv{BE}` znLHOm;}ktx@3WS=@9r?Lw@q(0coLe-zxo{=-$Qu6f~P;ZK2lk3J+gPK^>k^Ob>I!g zA-`>ja;x=Xx@B~kRdyJUAAc{mCcIE?{EZa-pxhdHYq?eN<8o`#UFB92X&-rethJVB z6YqQff$i{5%dKIbm0L?m_hh)-TH};kPxA!+NWM$Tt%G_VFSiD6ATRQmA1SxCMa!*G zJdd>)`PE<(~~cjTg&-fvzh$)E!#?+c-pDw z2A;M*m0Po$%dKDR=a=`rz^wWw{!dcaX=iX1X7$c0Z90(J#{UZIQF7hJQa9y|ZrC}F&8VtINhW?LU<7G-01yZxA97wu+spRjQ8?djPqI3#?j z=y7oQR`;oM7KhVt`zbr8phmQ3+``2;JL(`>(p%d{bnXp|j|Fq`Zdg)Hz30#As+Zg6 z%)yjPTK7V2n#E`3CqJOI*Y z^dKGNw_d*|THP{+{?nj3Oh%Fs2s$1hHY2`5=y@fCAZd1UdDg^OoiSZ@6X0D62NO0!NTAAr>SB0#Pq zdggJR*53t@8xZwti0IioE7!Y?S8fB+9)QFEfSObQayyWRe^EWBcnpAY_@Ua<0_o@R z<4+|%Jby$*G&$DzCYTOt>od?h&|vGpsJgWrF-ZsKoC8^9wRt&ZBreXBwwOCYuN8t*8+A=Z}3Q zDJrg9Hqg4h86e8)EUPmh)!~JU1Tl?rRpEKLdubxASV)D|H34M;$ z!$5~IqG*t%f)ReF^kf2_YuqZ`FyHuI+A|t<0suXkK*a`#SyZuj;i4fW@Bskm$pkvj z2fFgsIg8sbM)XMw^kf2^Z-AIb%4rQitpMoB1RCK3DW_G`DUYJ`WCD%Ep~r&C1+z7| z1=E*!J((~U_%IYG1Z*Q-PbSQT!hEIN-3VeQv3fEgF0yigSUNXcMi=iUQcotpC^?cE zKPN02GjT!P!unCb(I!2aa2IPROkNmSGN)qUZ3}h*g&on9o=m9GX{gByZ=IvO_5q-aL>xTo%Px)-9P_F|oWJkC$D{tKhrUEuqoNu3Ufvqck5^`X>2x zt8o{-i8p#KmXzTrV)Ad8TfJ-vPE|zly52C?-*)KL+jZpLFjp?&+zjn)YeHIgUacG^C5RzXHbX$%UVZx*F_6GoXzf{SL4a9ApJ&~D;LaP)-xR-u2vyE7KxXc zGiQP8G%9Gaxfz=2ev^aTc&X`b067>(R~;d4t;^n`ZowL_;K>UY%ndJGY-%VxloH!z z_AS&KQ%Gu5HLFL)42G|8K@v}1I3u}qVU9?cF zIt>UxjTSD}PIuP)e0>66v#@Gz*v)3`2SDd_t0|wqaLF9kL235!4ob5;2a5SFlomxa~3cAvc!3;5GHruHLATWq_jP1d~>*7%APrsm)w+FGi~zabCzXwo-k{{ z?D-u|PuH$gnyxh(3I;wa2k(XpZ@C4qz%({2R-j^5c$V*6G>cN_&lj*?s{dQ~cE>&< z@D6*u-*|JL};(82>;J@2f?C()|%-(fxu<~E_uDg1&b1bMdd)Er`{Ib34q`sAA z@4Bz3(kdN7{mwvU$G%m2*D!VW_pbHDw1>TGX=P=4?>ghcN~^werL|wr1Z0P_dte3W zhav|AZYOncefMe1N@Q0GmR3R(Li}#xcQ(Ic*aH+=XId9ocU$XW(|cx}m^Co#)T~@& zEIqAWS$(ZR*+Z(Ef|UPlBeJT!g*%!Jjhc@Yair!lxN^Yw3er06yH2MdDdPG_C|w|iAYRK zDy>nM@myYM<&_donpI$W81EsTH3JFbDLAdtD&{#r*z9pgWO>%`e84lXA6Vr#R9GUHrW-(2Ij+61u`~x0C7smX+i_qgv!^#;rnoQLBlpkPBY#5E4rcV5 zDm}m|K;*k%$s*(a+6cLo)qX(t9S3@f)%$o*)6rihbU5{2gbqIhYnhvLb@o7SldjSC zBjT4Hx3OdwUaMWejW^?}dlr7b#onAp_4N3N)eTxVXq#U1AiN}dKPVW$Ne3=BJ z=)ad>4F2yW7-ys<7^=$gyM1B*%*@^Xmx(EcxMB+N%iQ2d?PiMm?N%OVSzSap(!&2q z>@O;om0LqHhEyjj*BwmWI-6pxJ!V&Mwv{L5rfTl|IhlgsjB@@(5L|X>tW`!f4^p2e zGswX|-0opa-*nG|f@P>JKyH{@VwWwOn1VP8kdWwCCitjE~v+lsD z&?FXO?_ZSP=y_K6(A=eS<}V4&(GgvJrsO4~nbGqzBrn=B6ssBJl>uXo=r}bJk9`3k zdVCPIcq9WP&5^6}A@Z1!k&l2b;OIyk`)mRHI}y)?9U`7g@x5P=+I776URgB)o`<3g~lDG)0| zte#AWOC&@vTNAT^`7-g;rT?u!(Z&rSgJWae|VI#^QpFB9Ee(;<{&z#qE2dBVsm{=Y9z=)yVXdTUtIxTcGnx;4F;dMWi{ zs&5FH1J7Qb;;Y#CPN}r|^E^s>qu}HKPte=-id2c063#mxAE=Je&a8*GGs`mCEB}s1 z=zbiJuy)j+I{HwH=<)v)k6MY<#FF||x;GO>mvIu~$@`G4uFc4I8}IuvzE#GXe6Qm- z?^5(0j?-LN_vZtlUm@0T*#c%W-Xy0*q6RqISmECBblODEYL-jEqs4ruIBqmwHg0+f+BGo!Wj#QXmq;eCI`x5D4# zo3-t~ZP&Ir|99=$bEDs`TuSlVWq4-3XGh0bFxMtL{+4SVXKJ)^6^^JnsFX^N4;hR|Ln*J22I`2DL@hEb|X-&yEc14*s~2$a{F; zU<6jFkU%a)Lvh|k(iL?7b1#(;qo=rj2#RHxfk81k55ufZ1ra0OG@;H+Y^%9%m;4#k zH9?sEt0rUA=&N#f)%aM(hqAJjU0ye3?ZL+tFk+iYF2z6l&%WZHzG~86+*N<1 z1*N%C>}Q3X*LD5Hl&!lmu6jAQVm_+zf{h(4Xa6*OL5`T7q5gM2`Pr2RO=(;+GleHw zW&oGO0Cu~l`b|Hm7lzp%+T4J1u12>L40OWOQsix%c`BhZXV$C1ov&&yaUzFZQ$z3yhzX3m{DNCikui9pqFGYHm1}B zMn-sd&O|#rhlS`U?=uo>Fw2V9T;pzcR2e=FSMRULk=R|*# z#Ty38c?kO>R{;cjhtuh$h0+Awqs(t4NZAm)34uy)e7hBJE-eqS@0Ti9!j(iqtWbq zUY#+6Cy}9?;YF`jRewNKveml>tNU{h8sF5*)GNtKc6Kob!sWh=mosp03vl0W)%d=3 z&xfqK_?2CQ&%OM7S1>x_%`dnbJU*`(OP)K%4^+^O@nr$?Q>vwT$M_-AIc*+4EUS5Z z1y1Fm%t7aJipaQou><;{TgR)*PW5aKq-_x{LT%1DFv%e7Z2RcyY5k!c8=xWfG+Zq@ zx0^S)!ySlNvd$i#R~IkO^Gpn`U*MV;)cuR-9ew4AD&aiI`o}%f(;!LCsz;7;XU^CY zOgJC0b|t&o)ynoQ-hH}6(rH$>W_9_s!?G549hM!=J-Rbonksm6vDg|<6iJHC`jUMVOBQ-)-+lp}jD*hJ7ZW_x-d zx}`wj!Ik&%moZw9x_>)w;Ao+_i>p7FOlY&q0#5Q(uJ;*(s-tC4wX!X`6twoiteB#) z1o90493HH}7j}d$Rj97KU5(Y%*DxZGQ>z?<&y}5&vzr&^KMd{}ZoGe!clV00>;dfE zDnUBEmlf&6>p))nV{>#K_rC+qoBo(9Olf9mk_rV9#8M8&8cCfUmP(Nqo=iIa*iwCXlxVVCO|h*LZW z&!!J_%@{~<76VmMUJ!f~vgFwY!Y}HM%KiNr5<4oL0)7mB7;UBoo!pBYpmtGP@TFUg zBT_7BXf&z;=c@th*Kz+rh=Li&u_L(k_4?P0McJE#v7y=2KDzW&M&kFG5DW$G9|r1T zP9PX-JSHG}aUQ|hi%(DDld?Vd+;q8$;$GE|d(Aa3V(fjZEArjMLj|xQ z1K`iZtPJto=ZvG$f!7lkjh z{|*b>SGVB$;^UwK9y5cg?2cC#HJ7tyLg^lcC;|$Ni>!R`jmSe=F)>sLj|bnI>)|fVrjYa9+GRzvV~N%Puc0*?nh# zD}uQVZ(_~=O|w=8u!$3>+nPmmK3=pZ18u?!hQ4BB(@>R~{FkG;_qB8AuleFy_ZYt2 z+zY}3oD}y-nec_NO(DjyjLk>&qI;J5ha5p+MG8|kL8tLP06cEb0Bs3}< zjE6?~;RC&J``7Ua1r4vQ=p_Gi1;TW$V%FLd0?l`u)w8+mlq}=l8@mjF)lr4P$*cVWx&rjaQ1zSu!jHKt6tM=xrZ(|@zK#d!q)PMb})_}p^fq%eA zT9I|7;>sp`=N~3qy z`l8j756f~;uJg8x*7UJ&FBsZp=f;;7Hl$W`_EPSs{Lo#YJ~@%GCOZB{VXM%(v#W4b z5U>1mQj@3h&*|LmBe$}6<)@0zk5^*o;ZQBtB+DwCtHi(9xr_p99#%3x#OX+a#;G%I z_*6r3jjNo(tNYsr!GD& z&!YAA6jBUWk9C+UX48r;u${s zzsM?s&-U&(|EE`gZSrxl%P8+&C3e2JlvnSz^9bBwc(2u|Wt4R$46VxOa`Q-$Ic6J98I&?k|tJHjbi8 z@nX^|x=H*w?i!+-IMn7v&Q6RyybfNWvYlod-x__Xu4mKO;tE9C=!bJ@^e7Q9xb5~M z!WGqM!YT|rt?rm(ny$lZ)ECheUtpGpU=l#Q6V5xvT5G#=bTj14?7lD3E#tRD$A6|U z_J(@@f!55Y+;&lq6^@8 z*C))k^7g*zm#aM9ZBt)WBiQghe}$lnnJltE_#3{pr@e!#S?NA%jtbh?B#D};-sX1D z8R|5HglDo?Q+`V|Oo(7K#~f_Wq>?kzP|l^9=>%ocVerpQCybbMF{Lv+mnlySue0!9 zpsph%jre=0Fa^0)<@)RV3Q+&4Yda7FG(8WE>yNQcR#P?^XCSv8Jdm0osrLoKLRg7sNaB zw=h0FAi&0Hd2BH1kA-aTA<5i;=GzzHqo zo)sx{8>DsDMWWs`c+Ctrf%NH-oXdx|$&HlRPYEEp;uJQK)3D-)&5&M<0_O3n&Ty?S zPpL-7#Q%MP7vEj+rT_}V`llfEW~$ji-(12rfw|?;xMlz4(KzuKnlHxUq_#V$sAjJ0 zs-112RA`Lpxx{7S>qi-fc6A{3=d8t@!(A>5cg3#Wwq!2;&$64cMR(d6QQz8S=9XtC z?q>72=pkUwf57@iOA`-K5S5veM*C{LRr+!iO<=crbc*{)+L4 zgi|RPa2ea)YNk-adG2<`-2(!#rxZ9VmcVFWTN3Le31_;ZcH3Lb2pwPG%p?*Ctmznr z`WNqEcj1e@&Ogf9Q&a7ujp3qz>^@v?7M?{J<-L zcJDF*BjT3?as4Wcd(2mTUb6X@aq!Bp3e)s%RpXVmd!apRcQ0`D!AF{e%sO1=Cj=Q z!Mr!$I}l8z*ME{9xBfo`tSbODXgG^8&Hf{%RPddvVB5M>1=b&cC68hfY+!T?%4aMb zCq}oJj3Yhnt_!46cct#WBF`d?%TKRWT)5jR^Xp{2Kp(Q)BTEr66X9dMGVM~IrZX?_ z^OPyaU0di&nQy!C{i9+wm?w8{KL96&0pR%e^y1^>S9E~=t}7`~Crv^_+U=zE+s$6V z`Cyj>pSAVF(lM;Pzkwit^S-GEJ`lR-u&XXair;A`XOqE|&$(eTL>p}QiTe>lHs~9^q`C57KyEA$(>|mIthXeo9a=~A z8}$tv6F3)PZOgL=YbUU{r$^5bild0=I#u9kqUAHrjLcMwZbGL^s8&-D3UL32rPUjA zBWSLVf_FhdqGgNHVHd&!s0N_1K}9>uVCfPq|DpK5s3e&%2|61uK4!dBwtMm+_FT~| zrewyw5fQ53LorovAeJt1-?TC1{PH4P0zIy6=q16G3t0fNAIobj)YUiyEwnkL0Rq`W_oLUV;P0bJFi0$$Ks*k zRyOba%&?fi6h6{6ukq=HJIV@P=w6vNp|!ZPo8Kq_%67`zHyur_>r3@k#fA{p97Dg= z%5ILKnWZn3D6O34ScSgKiHluU7LU!~vpI$?8WWH;Zv@E%zX&Ur24oZnL_l5DaEaXRKIaqma#|=CrhOWoO8n z0`I=YC`&jG|CVz~oh8|2%W2iQ)iR4i__({VxwK_AmC;y=>BVf!U1Qf6uLb63m#`2X z+v|IP_vvMu^AP>*PD4fzGbb;S?-zd!#cSSN(K$VD7-Yu{Ugbt@m`bTLDWBiY`wemQ zNB7eJAbE2!G5$&eV@K6T&Sa2F3OlNji`J~uNG2%*^FOgoZ<4hYo~TCsxT8_m;n1Vqj_n~b z^}ufa`g1LLYRhWSqqJLpnsUB7(kvRCoH*q<@^DxvQ%|}h$ozNr@8L7NL!1;rVhikkKIBh3C>13hi_{UtIz!D zJ#rK)Kse5Q=Ypoxe?PPqj1xWqiUlNMsj#X@W_1d&!-F zNJP0B_jMngZ)!E0q&uA4-pJXmwtud?@WjwRUH0=XlWqR?Cr6Y#r~EwIy1zv% zR`T5Rj(`VEz|4+-uMrS?DMWy_hjL+gpFU)7slaf2Mm~-WO=BZcL+t`s3h{EEa23hp zRXAB z{7N#)_o3(`d=`Z9zQR-xEdJq`?Iq*N!sn*LLOz8GDQHnWY(v$Nc6R7}XERE~Z(qu% z4@6Dk_n54x#}AZctI0_vm$U{~zCnrD#FB490A^{gNv^9D?FNn8YwGUSEf79EojL@+ zO*Ms$kn`w`W`xG?@sQ(!=lv_VFcxxd@xeU=y5IxDRmbOk7|ev6OMGyB9uFcj2qEWW zA4H$&is6u^t6{F46Be0f*in_(5nSd5ukPJSFRxlF{A2U0$k@KxOis$mxg4T%Y{>B6 zOOC^~e@v4LGU>hyZn1^Dl-?~WtKP0Yyc^bwu!%P|WJA!c zqq?M+NBoGPU9J$y-K0G(gLUrfnzu#9oL>S;jnC)xk_Ch~Y!VaBU!id!r)8#@E(vE; zjwKs)&R#!YnhCIPu7}usvrSX$MK|hI=TSb>``8TTS0(iQPqW-z8r>r9A_tjv!l@qw z{^w=YN2v&(e|uLYcfRR}gfaN}FrTe+jJ^kpv=;5$ir^gJT10Wm8=Hrl z?pN-xe!nLDZaelMpQ#7d@>jAx;-59~1#avwOl*^hRX-5_>&*CFCVpo+-q??%TAx8m zu>0#a_eKp{{h#VggNL4X0Dw0QKs|IU6x%XES#m~tXfLw$d96fmG|>|kJ=J-37Ts4q zX=qh`j*MHDPZ(O2my;`p>Ezgn95n_t#VSFv8IP>PsP1^P&vP6j0PDk}sge&P<9L03 zN4s30Ex!;^a@&r|c4{D&g_tG8ASz@j{Tu85Fth&W$z-3L8mHK$Pi~96trgk0Ha2J7 zu`TPA9^r=R*y&K-g!9x{>{41YT{TLUiZ7*u@kvF2cwv$4V6)M+tLD5i)GUq#Hc>WF zj6cR$ayl>PK5;a478~as6-9ZP&;+$NQ$=%}f01i3^i}6Yf=rDalT+lR6yQjb@=8b= z$*2B-B4-akc=o$IvMaVd-&+eAN29A};*cQW{BSW_>pL)0U(iAE63(^v9Ayk^yd5g9 z$qV<3KOoA#?mz+B?lFUkhI<(~M$&yGLy_-)qz}~GW&e+OrCyPc%dmcnR(Q-CX z$yS)KwaB&A=OXLn#q{ssk`KeDp(Z5Gd+F0q9u2hdkRXFeg&sv9Pt}<}j7tqlmy$`( zz$IbJ5@PB@)YJ9t;)cf*Ag*N?gDq{_KepXuf#;KUJ15pwb=T>^l?O-|+jcnS?(OJ60Ex{nsca8-c3Sr+N`ll` z!mHde>$X0Va?WMGv|Qi@qyYx|0Blox2%yjlu*>?_o!)6t zpGuU6dWZE>vOCytNGQWaMYNcg3B$6NoWNIflQw_2`@pn+Y(ihWw|D@*q zDp7Nnh6Wp+QlLqY)$*A8j;&@){l-RR1sfXOB(Y5{?OM6Qd>^nw+Kkvyg<|=6`om0D z89VK@2SVMd*(xayXUyT&L?kbL0EKs`rOCq?YG=V%a6WD zqvhpvB*!Nq=QOJ5;bcQcjPxB2I?vF0mjg~C}c4S%?dtqQYZ#&FkFY+RtHBAnZ}yb7__+ME zuUj{P6M)FOYtU&%rOap!z2jU=us7i%0c75}XpVh?bCPS*KFcyki zAv&*VtlU6jOiP`gs2LLa<_jM!n}rnT4+zu9+ox~AhR5CfoM8|cs93Pp>7n9bu27Lk zW}B_PZLs82zQI|^t#0;AWZBY>^lPmA1tl`~4I-WHsB0o$j*o-=s;$2ma|hn;-<3HJ zVogX7$??RROinm1lbuHA^Jmb#LdMm38^TY${qk7{ysx3P=qo*AKeY~Q_{AD|J^FKNuEH5yPiN3&RGg_ z9j|{f$nZBk%<9;aa3}g`DuoNscdk*b+_f=%9$7(atjO0vXLD5V91uYcxul-noky3> zw8G~J-_rPx^fCey+1+;ge^IiVY;$`bZr?F1=BH7cPyln-CC&hOoDG<6NQLw^oNbEe1YSd_}GGJAvM3GN z5Qsn8(j<1r^FpBiQlrXD`bT^@JHlY>rXNC zjDsCi>EkTbyFqqwNjpZ(V6X}-!=E!bUX&;XnOdM|9{TLg8M~Mi>)U;$QO=Ak=ermC z4I5$_rm)3?l`IWJdNIiPypd0`XlVe4v=QZ0H_RoP{S47WygME3+q_aH#0Y8!+@FC{ zC>uo9RK12ag-=G!NWHLrl&`@;W_{9i7@V%dr=#3D1l>A(#3yw~2FUnB=B?+8)Ro1e z2W6zNBA9uJ)n(VaI^2dh;9)>iA?V889s7!Zq7&A!l{9;D@#~|%FZM8ei=1Eeif$F# zg`|!NhinQ$njZMquRoeveXn3wnH13@+2H^VP5VW+nscg10V(3*?IhOPGv{c>oO$U7 zM^nbPmdW*PBs2^aowez{Mf63NJ)IMK(H(d*7-kJ(znDG7lX}!pu08=l5r)A4Ji!Ez=$K{Zr1eY|a$&s=CRg)7Hwif~^*Bp2oZR6U(L7ivS zwyqz>Kz6RboT)Im)!+V{`Un&H&eM;i#-}E?M}BC>d<>#%aq9gLuyPIwx>6M`YV^;; zZRnx+Mgww)Hfamihc=1V*NKK9lifYpNN}aLh;D{PRj7-1R1wJ)TzEQ|c#X4T>6=JX z)`isQA4xAIoFDI0Fa4;RUTO>X%ZSxE@){HQXS$G&yZA7pXTi5vo{`OHWMsLr8B;)b zD5r@DXPQa$OA_IRhV{>l!(R~}B_{4W#6`E9Lvq~KG3pY|i9u?Xa8{vynqETwKo@aJ z==|wSaeZA_;5xK>j7XOWlV^I)E-MplGkZqvE70%k8&3h7onl9emiGn^S>Z0REkiy7mi*J?&eb_A8oqChe^+GAX?y zW?@l!swL%%B4=&}Q&9qX-k{at?mHIgo7sQ0V<4$V@Oo6q9RI&iz9-ifxV0)9Dt zq!;{vh8j7%!G}AyjI3bv_qDGJpR>yR8d5VTy7dV$dEtJXjVCx4U&|&p1Y&Mz)-Wn# z4twhia+m|G^5#HLW6x|nd+XRGcDv4%wejQ#ps)xc)!T3vxR-U_mzOQH3?Qjv-L$1S zk<(n+eeCvnE8N?|cD>Wo0otb&;>cY@b2n_#2-;$@phdO^gp9_G9Y-v4JhQKkB*~?8?5-18b+#!qviX_|#u3W%}n>zbV1Q~cP) zioJzc1Y2H$uPNvWB`BZZrO0vcA)L6{9+G-!B{geNf0gF`Yw1NwTAf*XFNF;LveFNp zN9bTn0ca?9;mp)?n0z^ za_ptGnwcJ}4%;5D>Tgt1+F#mHWk#udKZko6=={5{U?2HAtK}KetiDepRMMOtJF1{V zR&+;Ifqqs$HbevXKCz~&0!7i648@NGVc4~887zDROMySo<@WvJDf@1Li^TJ*MUA7&@!uglmvjTJ4kth682u< zW(bW86b0JBz)9pG5I2uJ@>tjAK>{)?XGxWhg-(YOS>e8i63D$wWwWhAiGcT>Ma4xs zp-Wxd77k1nLg)(IkE~?pW)n{mR0>RB9`AX2$1Q^ndj}|AX%tu?JaiQI2!}M8r|Xg?M#+;6#|I-#)L?u%*P! zL#M#y9~)t?;;}GcwuuRKMBT1N^_zEsayS3Qy}bOA+PjdbtFK{+vMia0#d6^lHM9gC z@$T)DSU+7hu~Dzus@2xd!78OISn&8A1eYXmakHK`f9Yb0@8WH!B4;)}q#V`{LF>w? zL0ix^Ir_}tJB=`dU+;%P)VN9VahDyh+-ER_gEo5{D)){(4yEe@_P9cnrR;HiVKMD- z#@pbyfv~2Hl^=k)`hm3FFWr}ld||K>WlThEiS!GS!|lH}z9>KB&!0|hKl|a`Kb+1- zD)mLG@gW6+nkyLPu6oj6`FaqzG!HhP<~KH|G0sf3Pl=X$`hwfPu=$e+%$!*mU8jQZ zVAIRjZUxKrr~R9nT}RD~YvRxYlFYdM>+UnexA}YF@v%!fAq&rNw3cvkm8tXKcsjf) zpPPgkk?vri)}O#Hg`Al#jCe4e5`2srhvCQQU)^q&=AG%)?N~N+d$q)9?}ZmNq{5XY zAB6`(IKGyE)!G{|Y-6NY=TxYC{Jp0+r!wao73$U%x)IQEzLC9#KK2Knm_r!&1qN4fcMcm4ZfxB=qU2|F+J)tcD_+`o-c z;p0etPmR~p5$~ru>0-a9<`L;zvZCFn*2V8rNy$7q(7c)DQ;HFx+2%FAwB8LW3*qAT z-Qm9Mn9tv_)oCBb&TNOPDd?PAU_@4DdkN=z2`7ikS~^r# zGcefj8bh5Ag!46Z-8$_W&W66Wo$Dhtl4v#Y=}NS`yqB`asbQv`?K~^3O7?0JM3CXq zy3JWl;AZGc+LlMUI(PQrEMz{J8<67r__|{erSa@V0D{MR?$scy`poJ>EB3ZKc`ID##GT&_+&jF%?SE^ zWAZ}oigJIxl>tyZH?U;$E|)LrHlNhw%=Giy*qi*;D?h(hk(VU7TU1QegQXZG z7bVWR9;Q3G>yTEug5TPyB)0-2(Mo#=y@<|DgzRvBg7mf&i`62DrBsGZ18LJ+|Zp#FkTt?)QDXtF+&eHcbp0W1FDeGBy;9akS_`Br~2bV5p?$8^&MUjT5V+hGBc;T+HiTnhKE&7 zce90BrY+RH>2G^tMeKQP;;eAkg(w+Y5uWSG01=^>R6s?a*Girpz5?&)+U*v3r%d6K z?Nv%2UB+djF23wA*EV90jzvZw=8DWa&hYyX0(7RDWxTjL%;qk_d$xnn=0dvYLwkuv zSWg&S*Sy0e`DTRuQ6A4rj)aXPpXb$EQ}XBT)!JFjTcPpCKC(Y zwT;})`FymI%5^meaBd#QpiJwwnFC^Gk*jriEwF=PxXpVI~fN)GeR5v7Z%Z z#QN7i3k+n4q9v3WlFZix+4^+KxvN{oGI5xs=`5k7Cy`~M z`vmfaK>pmJ-^jkabyW@e5rHD^ZlSYK-vY13PA0az2p@+htR_wyo4T!Uq@4Dy%&onG zx)mtfncVP~4(@*3<|)R@)%)JLFnCisip zb*H-#$>JIY)Z4#Q(&QynzLn2&Snv$1Io0_#tF|CE8i?2Uifu1rk}*tlm?u8khzNZd z+Iauv0&#qib33MjITaiAu1>{-G2;fb!o7ci5{>gPS-aLYB>ioriIlR|a3GQ+jWFep zg*BvcQXXk`3csr}g2ax_sQk(`bNYIK;R8IR+{lIyCP;Z1*}FMgjBe5f4TA8IQktMc z(IEt71y}A$2YHh^xbk^|VAc$r^OIi)ReiaIK~H4lmJ_*7JUPoywKE7r)@xTXuXDB2 zJN?nA+R5WXV66f>g3i3yQuFbt`yn4A)y~IWjLB^eAIVXf)y$4`XaC`*J4KzA(S(4B za|_5Oj$=;nLEF8vEQ4K*`L&`=yg2!PW*oX|7Lm@i&f9dp(OY)qgRwgU*^x+ei_92g zMdq7ctz$s^V59KRM6b~ywevcs_YPV>8cgH}G4dYoS^op!HR0TBV)}tTpWqMjj)?5t z(Z6TKUenUFpxR|a#gcyG#*DQn^UlXHo3KKY?b&4ODQ|@bdjm1t-|*R=J*(|q+=DXWZF5lfI;&?80>0Ped(_jwI9&xDd z?%Q)ysYvI#*zFiqI%~xH!RN*W4voV#d;Z3(kUcIBJ0^@6$op>lKDENRF-${Id`*DiZbu$ zmoQzIyb0mX7%T}-Oy0)8Jp~P3{IZuC9nLPzv0;>xoji@0fQcD>OiT_!6a#_}>y7~! z-J(=hxL9|$^oor75hJ5cI9q?N{2ygBxe3j_CnK%Fk>vawxR?PQ-70P=D>4T1d^d?p z%JYn^D{~@a?oGcRKFwbe*pCFlC-`5_W|`G*OO9zV0}4A~UD?EkoRzd9!5#I>0bb?M4Zp-n9{dIAW%tu2$ zO+-HSC=$*MM7VU5v4s_%=vL{kWktHjwoc~-4+s7swU`le zYKUx{{K1GfvHY{EGg?ZuA2d$hPsFw3xHeq5-&qLqk*p*yZ?60ztJc2P5ES%3Q&*$^ z6kE||MY`A8Rm+_RydXcbyE-y!c6xZ34RSL(bng&GNuJzYe~}eA!=8LVey)9ch!r$y za+@*k=T5L;gd~R;SX$ra2L8O9z!P-Y9XkP>A)4zc=M$JFUY~(Dorb*&6P;)|k}Kln zN?{CtaFz63Tfu2|q&B)$=MGkQk`!Gzm(g_i}dle>K;{Di^OL*GjSIQrZvR6%l#Sq z$-_hv*c>$tg#U&1CO>uejMBr`Kk6h}EgOLD?YoOCGY4IP*g&5aX275ud4dO%zNF{V zODy-@h=MA1`IaxQgV14LsY|$9Y}Us^mec@viU#(4nOvP&#*M!H|Y@4f79U>JSN zAYVwBncYFR45d|) zxmP^V%tE)ya?`RL)aI3Rgq z%Qv$q+h3}ultMB7K*=U6ok0W;z$sh3=LQ>gfH3oI$Z)VhLg$X}$S6}|9UInp$;fypf|0BJ3#>rkI~eQ@HITlNZd82UdEOLk&8(^4l&gb3Q{ksb#hM;VR9-7ycji z{y#pd;tKr6Z+=05;6{EZDo9jRD5z0Tk)Q@Pi>L%vNcdqvK}igV1e0Aw4Y;@ol3dqP zk!r1Nv1+R=ZLwO7U?UPts8Yq>_@hb{?Sw^*n$n2CKJRnxy_*H?=lgwL&mYe}4|=or z&YYP!GjnF<%$ak}Y}p^#lkSU(WZkL3k|M2@)Ve!%2{H#}x_joH2lvZ#Ck~kGB{&rb z4YjYD$VXR2Gp;JMCrmmF-;4=GUM182S0(?6f!JY*{_j`vFHVikQBuiA`52q!tNW$f z46a&uS08OH_}_iBSK_=DPwk^>!SaXtX!8FP^jlPYIfaybb$|8H7Um{Be4cEO4w$=s z2ggux3PB#Jd4l%4v0Gf2AutsJVJ8=HSlm=nJ{Y;1l5sezg^4Lprvlev`9s$<12T!fe}+!L+@#1=Ys`JR-hw}gF& zifr2hP9wSktI*(z`v^$cNdY%T5i=M-#w(FO5Hgey1b1Q^P|(gdoV_VQ3FBC<7Q0Qr@DFL_6n&$2=@b;H!#o=_ocyr`JP z1I+2!+)Zn?qX6oz3gi9BZU>UdOCXbVy_8sKrDFx}wnv$);VQb$9&mNa{DvUs4++!W zsWVXzcTb^Sqx?BEjP5Pa>T|5#vqQet=p-3L^nF`IC_?Sxck#wVR^0evY-jEo&(g)Y<~E8Zv-WC9e*S*b%2p=wh_aj zt-4+3sMeV)CoXm8%o>`#XCLnfOb*GM7#QWEavZFA8cWOjy2nhc4IKWmcNu^`Rk2`! z`zq3!y4;sxom5NehcA)(OQ^P;$jCv`h^CVg?*rU5#uOFHk&W86M5=4 zoT^KRJ+mfyTeh_vX`4AEmqSIT?dz8;bv1Et>tL=t`mjU8rO{=(kzd$>-9ht?@W6p~ z^^*^J&QkE-=C+5w-JfV%z%DXbf$PCB7T9Ixu?{r1MTw8M+ksAJ>m~ECGukB+hZ_z} zmHNIX#{W96_?>x$um%ZZ-*H7G)LVy?Yp1%D8M6QV-0N-zexq|NZj|0LK;%@z^H&8bYO`{`NP2`GqqpI`sgHm{ zk6$LtaoSE{%#ki}yVfPmRe}FD1pb>G=L~auS+31eGAG-!B|RD&c(o!8;)gYtI`*6~ zeVQ457t;kZHHdtI4NkZJB@j+ zJ+f&RWy`_trjO+%^kcbDfP;Z!RV@;K5Hk;}(GyLjxH(;*TYrW+N9R&!a9EA47AC)OTHObjoU zX|MY^4DoH_Fe-qDc$-B>R==OYn_vRhVd`Y3wgb3X=8LldBGU9zksL+ZF1$a-h_f z?X*iqel85ZY)gttL>tyzE0J%^DsS4JFt_d9p9o9||C8XkjiL+doD|bPHb7H zT6i^^52-=jW+r^!z2Z~4%YT5JU5Whcj}25zjxu_4a+MbDj(82S5t*Fup?XH6=Yz}K z^TAL^p%;_%4*Zb@&1yJCsx^mz8?~_IQ;#?*yi(z^Pi5F0aa35SF<+2_cT_l7DQm1O zqJM{`%!KnD@t&YM`4d(CP`uQZ%{`Yev+f2Q)+c-(exgMw=K`5VT1@}(wAyW%1<$Ob zM&aXV(^m8OFv7Omt=zw&t$9X#zce9j1T{>XVMd`c0Fw}xmYU`eEi`Io(X^;K(1gre zDdFQJ9G!{bD8y=HO5CYV-1oxeh=4TN!;|@mZ^xbXd##y6&_}jP#EAHzxt>wncb=2R z=+>dh{)6}Q=B|x<+__6>YQS=K9sNklJxZXtIonJrEI@F2DTEm@g&gQGuUiR(tS;lQ`B>9>$rA{Xr8- z#s!@?CTV{~fj<;Fq23=7VGC{SDsRcY6;TU+ZU21Cpaf(IAop~E+^Zm~`72}7Id2CC zbUG|JOOzbNJEhq~t0Eid=b*QiD2K_4j?iTwHQhjZ!~yHWn*%l*F!GEpW&S-;gQAT> z3dn@?%Z?~vq#>=;Io2*LG5HiC?IQ2nsI${X=*pQ2i(3~M8Mh7+DZ<=MD6S-$w!%b3 zzx%Q#EBal>a{k1_+4hB^Mh{Dwu)76kn9BjzbB7nrB8v7$TQZ~HCBiQyb*N?_wYz#Y z%kL8@!z#TAI1 z&j5A#9fS@`N8uD(Jh(C3m!5~*a_+}uh@fP=@a)meqfMOIJ*JL1g9{5y8)&HU*0H21 zMBw5?iK;yRTjufi9VR#qaxf{uisP(whv}5)`npFzq^0gL{#vn^d~BQuKC!y>yqXGh ze}WYZw}@GRW-2yf>aswjP2bG)ux<@>ik_I_Dtm00s3&xM-oK)+bnlMPhi&%0<)4LbKi1*<1>HP363*`FWG(-BKA2RnI>4%+X6NYmt8C zg>Z%D@>0;%HN~nDNM(NGsem{p1Z*rQ%lizD|j+O$>Fo27m9!ny%vp%G|G%? zg$8)qJl_scQqjZl&v{SDPey8q$vfoiDHv-yr;Pl2bbkWphZAR`kJh?Xg*(AVg_RIkibK-Jm$|QFe-33 z6B@VBnqh`v!(khKfQE{OfRuOb8z9D1^0VfCQK?pdUChT|3Pgzh}qVZ((n<8uCqs9!qFz zT_sqEY6ltVyAb>^bG4J#s94?QT7h4?*+oFieE2w;7j-_3Sm{RS+W8_8c$(MMTQwrg zeUw4D1Ke^I>eoQIFbJL^=8fOUw?u91F5k_3C&_sL)%PmiyP?#br+1u;#H#IWCj0ou zO4rOu<%!5$5zjTn!VGhKWEaUGw^UvSHKp9~Za*B-;eAkr}`(2jv8XMvb2Pbw&F4=qOkQJRSE z&$>C37_xTnoPag!D(>Co>CbZ-&pA97^N{;+KWE|6Lc_*q;%`4CAZ>h3eB|(U7q9&I z>E3(VlI-~LDIZ59Voj5&F}det;AtM6B0ZJbMVEG1BK4)d9V$7+_olq7Bw~#7F2@tD z&Q?c5;g81z65%{IM!jdHp(jtGV21{Fn*IM}BuXyr=6yEMuFY{Bt#yg4LHu)KX-M;1 z0obYT(B^SNp5#4CH?GrE%3SPf?1gBJ?1Gscp|RXxl;+^rS+FE~8Lr1D2*Xmdt5e2* zL$Pe(aq=Xr5`OtK5cxr<5O?Wi*C+0n{R|u04HbNg)2Ncx+x+A#2WbH{CFKJRZ=hgrPY5f$wKWcBH7X@xT(N5g7)PMZw?+SYtrM%$~a5>6)Z#^>ha^-8vY3@ zq^7(EJH?xeLX!Rj647LYcywiZ}q1;5pe$M>)Z14;3nCGrEhc z?eEw0_bMZH;L2=#zzLnY&=G+yZFz+TM;afM1_@siy-;$n!pEC0v38*E8i!tkoOEG^ z@v;0pERo#5s~~FhB63hO7SM+!FnVE;Mx9V1;T79yyb8HA1(=}%Be7RgA$) z0Iox>Iqu3KbiP~Z6||U{PuhYkX}3`Cg^7nGm!SDIG4AX79-3alvB2m=?2t`mpgx2c z!G+liCz@L+fxhmAWk{xl`%tdo9VvhCjgf>OEBAHPlcX!*rDhxny*qp5vIB!FDrP9` zs=9Ny`8*#prkj2MNeE*P*{bw?^Xk+Kucc|}s})-hF#Ng%9j`Ikx}4>ob%SipILs{< zBj7On5$`JW$i5Y!$A**`dDj@LCSbMrN6Dr`WghXjm=dD0bM!*q)sV*s;V{!bSFBZK zooe;ZhEgM~{-qrdRExic8xR~(M5{lv74zyA6ANs%5}Av<9Yz1&ORMS{7Y6q3cBB@OV9|xWQxXYxlKXC+#eGr6X*Re zvrX+)+H*(6f@w~ed7YDLH~LHybD}di1aQI%@y`ck#G=9y#nwv3&aUN+-TM;{EybTF zcV4J8w@Pb1E3L(UCC`tkq}=YO4Y7_F){V`B^yk~tSM zlS#?^grLn_38aW5nSol#p&q7NC{sF9y4JP|GKYv32=A^G1dtrHNTOS{!+}Ar29Wf) zCn{Mf*g?$Qg65R&D1fh&E)u!v*@IQW-(nu5Z@nwNhFs6ZC>84$Qeca@?`R?>h_|p2 zk)}r^8NRsttBw5_mLvvkT1AA=pt(h6kO}!glS^Ypmrvq6JvL#IS&7))o=`{zS>}P; zU}jeeX_<%LAb6$Fow;9Mg)&TxR~6>qfAt*|*D^2|2hpu>%4WLsO-D@yaQCf<%>0G1z|P=9W9iGFW0r4@2TP>cWAyXkp~O@S z;E!3@TNPeK&Ew67c1g%;)HdwmHzDQi`H*t|Da*Pxk6jfV*yS`uIv>VdgYb!~E{7q6Opg z!2SaxWXu1@ySBKE2MSm7c|Gg0g3Jm7E3v0>O5>gU8qg!HgZ{K@BRN4o=8 zKLB4iExHJ2i?24>5${x3VZ?m3B4UeflE2W`3Q6qGug@GJ)`W6#`!1Ts+|A5n>trfI zvd~rNNXmL*UZD}Wmt@qCg?p!)*Z2U>?u=|^8W&zfqQU|Wujq>q#-SKGjk~&VzT#wQ z3cp}^HNU41mGgTm5fyCIp~GtSZI_Z+Ghz?%YspEMZ!+02d=6WS+DS+ zhZWA1n_kH+G*ZyydnKa=sI4bE0FgrU??AIUa%*oZ#C?XNl1{{r2v75F?GpxsbrHt3 zyW_{wy{#d2DCF+I~k zjv;*5JVw7GZ9`UiG7|#a6DKD)lQnmI$l$}OJ2oLl4x>e%O|Pq6lLwf`t5sBxfgH8W z|3IjHB^Lm?M=cJI>+<^lN57|q47zvGq;wAV>yv&?G4z}k3v71w3&_F%8zW#lHB;{7 z?IzFcY#1-#lO6MUhVh}~Y~tGx;) z^FmP_yZuC8?H)eP=mT(QQFQaJsOj#zBOw_LB*ht`_~onp5|F6r@2kz=r7c6U%9GTC zeYK^TNhjD}DO8rP_H@G9{wWb>Yx3)Ojc)!LdoSM|>jaq>2w(%nL6_Q^osrj0={?R6 zh6nw};)237Bpgz{zc)gY+k1QcYZ5 z=m%Cha%_{=5PI;(5$&Wz)RIK(3IS2osr}TO<}Z{0_tK;Tge2B< zN`nkb!hP+)4M>6OP&fvx)g~^t$WO_%5=K!@PCh4sJH~}RIM>Mc)qOw!d%vIDA~uRR z#pe@#nHsij;ni*GQtpk%?wB_1-iwTNZgz-@%M*nJvlB})6Zr!0TbT>)l%$7;?;$*1 zMWEUcB2!~yb z&g%O|ytbB_3=KNU+&KqnHWudJ0GN~t#rwDYVY`r*-m$d=fwjrlp^^;|e8HC_awztu zYZryeszW2soWE!hpVri}>lSe8QZ+6ds=Q%dXhG#-FxD%K%#Ap+he^-z&gDvJROM}y zolRi3ChaX-2bkVYVFiTE{V!0_+b}kmBL=uA$@_~`bT*k6^K?jP=L1a|8oRI%E~~o8 zo-i?JPrxbOguebo_MAfJ7xGlsnx_ zbl$p6H|1nV!>QbE#a6j!NDimmy@|1MF6Pkd z`xB&h(153RU0~Ty3iV4Bki`6j)X`2^vV@83f~hVNdo#xfIz2qt^TN3ZKLRrxkLW?g z^RTp~;MoadJ0bHzDb;s}2n{ckcJSRPvP$!ymRbv#ZovDmwNAqv>$>3h=}x|32t~Uh%O|6(>zuvx3VRO+ zbXbzm_cAmb+mN^HXg@v@YjXK31}q#6-X@!|Q`m!DaHG)6)gTB4eNcGw7pZy8PhS+x z1Wzw`Rpt;eD$$~p2C!Yx4TCr$lK*Cy2c0a!Po_n0&+HQ_chG2h9V{F)-mzD{$$R2w zJNTcK%KUQV4R&E{W=>l+y=~8a^c<7ai7sg7nr^~g zcGq`_M$&T!_@AMH((HOHhjYZIILDO=@!^MRWX>Md-4h>~`y9<3mm-?`C!$PtnUmIb zPAtYc9`v2;;vX>qqdi`lQxMDyALkrSe)cEIX9K8({*^*;^F1Xjp48`T$+o6F zG!R)!6m8<3_8@t+Pe{Ds?7`F~p!k5wv6>T{>Fi`3Vt37!tYxXUC9_{aEf2*5_y!CRQLX$-QsPE8t1l=$-N@1l4V=@6PYaR{uNS7stQ_PVCeKOe&6lG8ZYo$70ZcP%?sJYb{-_0dH!8mdIVG#vzilPt?k} z=QKWpW+yP__CHco|53LUT4wzV+K>~>^&0k|gx#-UVLwCqB{1WLUsEixa<5->I{}F` z+xQzl*_9TS?{8hCCfCC38Kv1{Jjr5qRwtS7)>z*bVvg=$b}7jL&q zw+?^lwQsS9e$C2w=?c4an;qCZe8+4133=VZvoI^an{GNS1Aq4G#iFXyZl1hBes>dV z@>o`x|GKSsnL~0srIl8Eew$Fz+4Jj9nuY?rn}O(j>cN^TDC+>oMSQe}P@`SC;c$eW zvIFaX7@-%x6@q9tFMyG1ybRHR-6jvzn%nk6Kk;9~%|Qu>-?;2m&k8!3!PMnMOx`#M zlvPv4-6o{NjwnP0Aem+uTv~M50V~9jBle}LrVy)>vj%hGv!Zk)uN$|K%-r*r{fV1; zlWyai@_FnlG;wZht&~9L&xZZ8>*T|Hjy{z1gxM{MQBcM#b0~%EE4Gk4=79EjnKFRv z$!~Mp2&v7d&N;I<7iKP%&9}uXa`EJyX65SSVdVQQ$hXrRK4fVH>&npb=<~m41+_va za(csFVA7E6a=aU7+IOxeIC0-&{KbvNY30$T_W+Z_v%}$53V)vXnXGlp)ioRi`D!2M zgUlx|$E?C~2^uJfK0j8AIft+%3uUc_<9Jo~KcQvisKy47*HQjY1dO!}yL2?1@+kW1 z=<=WyI^SNL50l9P(B0&F>3`y+zc3~JYtLcjY&*Q1XOS7uWNtatN0gI$SUIn{*xJYizDw-j5F_)p}Q4JZU?IT*IfXjd05(E%3lZX(hil7 z8`)KhMX!+DtC5tfIsD&4li<2OR{Wi}&E88uVvXUi+^`(dGHB=26>@$PE#_<^hq1Jp z=UA7_Pq2ru`?s@MEx>D<$(*9sh-Qj)v1XKI4$>J(0zQK};xuNX3|i9+XVKjD!e*#g z6x-#{LRO{yPJhpOQyM_5vj!s@Wnu8{e<9rW%sX7R_T2?Pa{V_lEX^nlcMf8f5QJb)Hjn`bf_Z?WY08p-90JNW4%$GHGCITK9pPnU z4oABWrI4Kr#L!JKeIG(~Sff9tYQpa#z~0SUV$Cl8#;5A2$UPaGN`tPWEW2+@Kc2o- z3<*jrX5Eq6Lt+PZ^v0BSn@hBSTaD6WcRw!!KZU;%Qy0-wahBX)prGk&fyQJNa#|K1 zHD-|fhG6R&ntjh?28#GnS+>Kwr=o=op=vGDd$Y zp^hQo`O!_QWyN5R(QMwmPKGQplcJp4f!>K~v;3-t7$&X0BrWVUa|n+sj@VA)|WktLSD= zSjsOaVQ36a8j`(noJ>aOeX_-JfKQLgUht#_Qm>jYv;L~Npqdpln?Bb%WP#ag25Ni| zFXEoC3l1+{;(f`)m?5HD^K@4z_9u?Z_f3R0M5T%G9ngzj=l)zi;=U3ym#lR|c0L); z;zliPN2pg<2`1r@U#_&KS5cqD&c)egtO|IQMyp=wB$K*H= zOdUV}@3Orz>jZWUV>9PDXl(*V#EThN-@)3hTqm3xmr{wu872% zyeB39YwjJ^>;|DsMI<8$rW3dP%&o-jp2PUQ6G~4Jj*ZZc=S>2z;$;2 z1OH}MIsPSxd5-#{!aI()z-F`*&Qu2k!oI*-=E%{4s&V;hE#A#H*UBctSNjcgisnA! z_ri}Ezmaz-rEkIbxxTu0iLp^dn_C}P$9|wb@E9aeA6Rchoj)zG-kB%+{zJNGg8*@fX3EDd(zfgVP zO=SY>1CL1H2eH7qpcVx4l+Q$D{?kLx9qtW$5?S_%YSF=%91qgy*9&m0_>)-aPJ5)i zav*_;pNX(vA+w#1@+4Ds-&Nyh;!9%bO!~11py;xlyp7?l)DApiZlF76X~_*-5SZau z#7ILfu>&8_8mk2@r9QiOC;LIMvK28E8$p`vF@-Q2ux9hX%A2+&Of}R?qmT9i?MSxJ zL+MWQ)ye~j=;o|pfz{uSd&`Tv-)sCvfN0uDkOOaPwfTed?X}wc!3qy-vjcYOVPK0q zuqDZoN}n?ItP@hRWLWXeSm|o1)edT9UVn#94D7({rZ+Rh4t#NJ>qJg63@2}>@?@Be z@`cNV4{l2;+*XC# zoC;S_au>V#FszNT2)Pw=`JQGL5%92>vf~xHw7RTnWg_ZW+E#eU83*^PZKrEESt^R?pnY;f@ZRH+aCm%ogG-G@^ulo9H=2iKt z%I1a2=3CZWKzsHoDJHmVehC&n)FC8cJxT)e7lb5S&K6Wg{bZW-6D!WYp{0V(+q*Xu zU6fb_W7J~a(vaodN50>798I8N=Hjy*3Eq=w>ZvT6NLxNSQghLv+2OP8cP=B*2n7hA z5>2EpKbDw-vr*lBC%Pw{xZJM9+h|5eU`*B|VZl!#@;XY3c4pS}=l#d>ZZZoxmv?`% zTbqcm37p^pjNyGu5?}=Q9&)qE`=LUAfu}O=^2vO%dwe=2jyQ|UiF)yw9=}9BPYUIX zI6>~cCip~xGvfIYd`c*H#4$t-b)$L^l@;$VQTYTPoeWNY5^v(Vb9HP{)w5@3!=On& zVQFtQKV|)w{qyqie-kQdC^{=K_M~uDC$-&6WnSsgSX5lJZjUQf(i;6NLFXN-dIdULlE{ddPK5EvN z`@d<^hCXsVUH|Mu?khBV#j|q$C+z;E;cu_Fv-rTY>8se|x>8P|=v#m4Q8dH;ZLjd-VwujPc0I?M0l56oWry_nau5N!;9O;F=>}_ zXM`sEz;2cs+PUM5=tQ7B{v*8*3UzZch>(In`?CJ5yA7q^nRCgGwTDTnmjgQ?QEYs5 zKc>7kUCT1e9zbABrJf)0s#c57l6xVwX$^GnrftwHIkgWDcR1VA#skU9{TMAnSyYPK zow|KRzbC?PdUd;sPLsOrFptrui7>C*rEXP{q126vjpuu#Cgajur*3`0H$GG=b~468 zY0J1)d7D(}8gdc&FrIwOt>h8Uz@jh_=+KIZa3r}7t)NRuLp=22WnaMS7!7o0m2)&WbS%NAxWO5`W4|Yu_Tn{%;D*`V z*y%X35S1~B-#2ma(J5kQJm(4he|t}o{-K0Hf2CyfQfkEZ_uu;9>QbK@ESPapB#QQ*$37d>4Q$QojJa_?F-JSk}e88xuhrfE(X*Q zbHzYQOb(~WumGD&Bx8i*uE4%T^E_adYMu{ho>D&~0y4MLpW+R$)#OUD9lVHbO+oXr zEP6G5&dAT}2g+pfwII%BWO<&B*#ITBntvg`8k-U7k;oY$Ti_bGE%#IVMW>@2Sp$vA zu@Pgw#z*EY=1(NSsLgjzb4nXuAwt!|=bIULQ?d8UPHRrK9quP>Ubfb&vE4E}&{+`3 z^~EBjOHMq$rOEN`W4GXKt$iPkpgG(PbjrsxZ@7VUgg#b-wA|q>uy4oLw_umkP?}@T zmtJHC!TsD+c5>Ln0wzd;|4R5a9e1r}ybw;Q0mu;C_PC6951YHiY(0t100$ez<075W zTFrVVtG9=jid18tQowFl<6WZWbE%WG)qE)7rMu*qp_K&jOLTr~HH+QUB;BM*h40=e zNi&Lbld0EfY9_H+re0FxNRb`vD=^wgl2}Abl2WxiOf50o|FvF*y2D{&s>4`U+Lt}O z(EiT)IUm%|*@fApNNMO3>5z-=^EyPfCY@SNIl0lz%@CW+^TI3Z%(z!)dRiB`1YYfh z1fsu_#?zoYCV!b#GfV>snM*tDWu2Gsc^jX@TWd}si0OZCLs7ScDS(9RzGI5UB*Izs z%R1uSxO;bZ_+LO516>g4SeEC3<_aiN=q_`m?1-gJO+G+!@T4UK+K0CWF^r@kSwdd5 z`-0!~vJ#cDY?z-vA)pABI}?GuI%AHJG1g*sOKw8W*U7}*Nztzp!o)R#*H&H&gTt3~ z&Tul;9vY`v=W5onz+5C*XP93A3lUzVZRD(yvUEjiauazN5|*~hboLhtLF~tcJ7$xR zKxsRce5)qq%4!By7|MBLJwT60$Lf0b2WIc)w#R>;(y^J24VH9XIN5oLtcmc6$vkR^ zXl|>LWN?|-1f3z#Y0YmE{(t%>@tlTOasaz-Y@xNDX9LeuJdg3TUr=b}j48Ax^5g`H z#tVZPsH&>0g4Xiy@5+1V&jZ#v9`E0H-tXcmWKT2T(ec*(JI86>dtV!;d9QwZd@}EK zzw=uMzV=%apYvNg=8Ut3{KjvMy2)=9J?^(A-R`$GkoNw!##yU*8hKy$n}C)3h2I+S zjo(^Iy2nC(>n`KB*7Ib3=C|6b{Z@xO5BsgWNBq{@SI1k6!hUOW#BYt}nH2R~oh$s- zy<7a&BgD@n&6_;AAN#FFexIYDc`u}#n%Bl#qj@aSt>$|N<`!C``OUG?($mvXS<6VzIDW$k8~SWGal>_8lDe$a(@@F zig@mNGGOg~Dqs!dS5(z#0OKuf!j&MwLxA2GW1hPu-6Ul+IlB+wl~eDR7MO z&AAVB^{1dY;1`E~XY(!nyBiw%|N8fb`?rT~)1=ky&C-6|y8@(nACw{DwD`GJ=J|eW z9vkRJ=(z-X|!WS&6a#@$2qa^6~Mx^(g4vMK?Zp8_NW%5$^}G=(jBRVLmv%K%!GQXDW=AFGEJcR5@5iNI6< zb5{zCWYpKqXvTv1p^DYR$5~g?LQAOHsymz(0w&VW${xRyTOSFqKdS6#O2vvC&XBr=&T@_3}2 zES_VnEPv&q%BuDBR*3kqzfCqzL|_J3z3|OZ9jcnQU~x!$>`|&Uq>cHFM9V}PJTRn@a=3R&1kLt>*x##&U&L9%WDe1D zQ#xHh209?pGC~(YqLCmRs(r{oMRFTtbq_3_cg>=*`R){yupSR2+YW%piB`5JSct^O zVW4FtX43iEJi^vtB94ygOD;#Qi#d( zZYUcIp4-9T&BL*!{#7@s#3`g8*I$;81!n zr8sMd)yo0Yz7(j(L8yct@}T7R)FhPjl|aoRuhrB$73wsrr<0eofB-!J(9R=(PWLdI zR#AELL`Y*OfW3uKcXSadMOs6xoZ!6b>YE`}A;nP(i>w;*8_0s?6$(^jnAIa#xg@-# zxNLD)$~=m!4jhrykF4yeW%K4wS-j{L0pZLo@f2v)@JJT&47aj9gzkleB0{2jx=Ml) z6C7(!zzdf9U&ag5?QY9J80F7Ff z;oT`Tc&62T`hw~O5aFT`bf`5CvARDT|9M!_{R{*mj_H0wGT-)Yv3capg^AlGiREZ8NB&TVic~v24=ZUm)E)ephLS5ViMN6}X_I&jn^$VEu_c&pH z9j5)C!w)9bwZl8DT6qR*-9tN(6gTiOgb%v~19_i05BLpJH3?woAM)XBgn;yzh@zmQSZpmClz=pg@FP zDy@yg2LbGT$UaoCHU4+HGi^3mA1Jc7l2dYPcQxrn@9l=)$j z{euZ=?v|Ze`hjHW6nzg>Wl!2m+wE_piH{b|JNjI9$h^J6`?6ft1^41M1d^RW`xuUx zxYvPjk%G0D^T8yEUIsHgP|h*f=MM>vafXOUAjQbWkx7jF`xX3i5SD#xI$IW}P008F z;}op8^p1}iVAUpedQ_Pv%B3g|nN!7!T!TGC`U_n3;wIAzg;>Dx3tb%f$ zC)HXAQ_IF%B3p^v7nMPs89rb9@r2IiZ(4Xb*Oe)-91@Bm7?>Pu_kIpZrTB_qYh#w+ z)x=DZX+kp<-!#eE_n;z4ovtrYA&|0~Rh8h=2SDc&S1-Wrt7hYKD$C5k*yA9Uu0*J2 zIx%-F-*~2|sGQAs_xe(GON(~$UCvn=hnsI!&x#$+i z39IMwP5lB#m{W zq=nxkN(4WeHbVs^lHyYGOSaLe;G3k7mwzo3^7o%R6yn(G%Z2l@^%b`!p53&ZV|3BW zSIH_nSfczyZ*+Cf_;4-HKxvQao8%OX5)4mNU7`Jo_ zUR*@aF;ufzMOvNi7{AW(e$tO;v$VjcDU9ojHJ?`j|%UgZS=wI>ZSRZTGza9KlA5Sty z){D#~A?6Oz@6u}WVrw;4<^cq}cAb8`VXnfZ6NhbSmvc@aLij4OkoEZ%2C3XXxJ>WQ zz>j^WKekp%5uiHFLB7mAP)EVeWy2f~krG*zK{aY4 z()1rIeKwp-x`1yy64*66HF76P&>VuIl4Ip03QHD`kn$gE87N*DFt%1mL1Mo~N5ig@FO&GCT0V#kD{~TY4-lHf!{5=<)He+R=NE)C2&p)g&l>6|MMr0h{f$B z`l}h`(asaNgR4buE;3Z0P23AOpAHk}tDQ$kq)8V8U!C}hbkk+~YA5hT4!yk`i`l9EA;r5~ zpn#PA&7ipr1z|Mjd?+aO33Khs2SKxxAV{B{YB3-5P#>C+WeJ$#UxC;6vPMB-M?>FL z!L$}DEmpdN6IOF|MAlnQOh52~w-NkAx;aK7O1HBxn>Dg-7k5TqNO#b->Bx9bVjB9I z?^vNl^{*M4LCv*d3*~~#5JB3E0lQ?opxJ=yNhgP+>B1yI9iWS(m>tai&23-b10l6@ zfRLmm?N?L+6M6a=YIrot^d5 zt_5GtWK|P-;03x-87H~Ok@`wq`+v)wjLaVC_D32!9Q3^P52!5@wc*c|G|~e(M62!; zXXf^M@ic$IuVe{dc62ho>6%~oGd+!^YzI!v1-f9)abWgvlVmR~Rs6ciY^1!U@FyMa zZk0JL8@=mHioTSIl*Szro}#IwAVFX+b*}1VrxPH+ho+;S{I)`_kc>AeTT(-pL+s6`d zEeos%G!mc!ytl-phZNX%*9zVp-Z}iWn*|JWhwUTn={<>)!^+~$;XeD=@erqZ9*$Rj zXYiYxYnn~tD4A|U2+6Olye7>be2(9>%KrJXTB$Cq6{QvA;8l*$}oD7@FX^mC^j8tsA6-OVx!HY ze5x|)-iEB4TSrb1`(AVHH`+LTc+EdSS8%zcL}mzg3~4&}Xa~-{WZjXe$l6M}4MJbS zCi_vsCzaM6-4xUhL0MP%-*XJ;^oP`^#o&HNb}*koR`iS9Mdm&YYbPw(7*9~5bj=AYSKR-1m)kX}TcW%VCLa%r z!IN&E>NAI6;+WYBhnRNnRq(UkzW83${muELc5NA`o7l^^OPswdTUPUMpBYc&(E`p+ ztn^)orQZy96YqsyOeoYkOAJTp4{akOW7%0Wjr~=UuTO9E8VkKGovT}Ln)X|!Vt73} zqO5BY?go11X5m!}i8zJ`X9Gb^ z&vI+pIlL9IVJ5temt%iG5rQnuE*Rgryh3d}IuWhjBNc5ZN>7+OmZ)hm zwjW*Lnd#Y@R*Z(XwI^?YRCwh zHk8Z6NUDf$wgMZM&}?QVD~#+5HbV&PT5|UV_N8Om0Y^&U;8%n?_DX!dtV9EeMfMzH zY9Z)cjYW^G-Si=rAWk4M+N6@-L+f}!OZS~3w%7uQq9(enNmTy93@w?R>!L!6o>YW- z;4R+CTX=4%%86Q)@L0(twWmb0X&%`eLQhtzbp z6hA?hnPg3ON$lksyGvq+66<1onXQ#f#78?F3!k2iQn*ep~25WfQ1nq9+ z@vvgZoo4k&_{B=Xs9`?NXm!Q5>vWpgB`qon+e@8UPD5E3w(rpK+sv|1l{q4veFy2{ zQzGAGhA$H>N!+!gG+#0#M~Hk(olKpf6Wkz2?`yDALZoJN!XN4*W$P_e6U8{AK0Tf- z8DifVYaoVesa(G`y4HycZ1)~IL>Af7jZ54 z;TTzjm1jImpIp_+5$7ejmL;3L$pMU6JL(W_ir*mBrKLw{(~<`1{A_oEpTNW*le6zG zVO82>U?*qk$)Jl4C@4t#_fS2IY)aies%3;E1JS2j(hSD!reC!C< za;uLPGP;79uHk1|y?z54^w^HXPQKMj?qoM6@MZWkfj&i`OTUzs_350SM&sqX(|7kLu>TT&4&NDE6QD_av9(T?{u8C+)t( zezAc-U-<*4^5!IMdhE{H%|2fJs&Oi?c5$}Dot&iz?o5O^9YM7nz!w3eF#BhM-|!t= zq0nxgkbJsInq@kvhokuJ)GG)o_;Fk2C8N7Z)=dyXu8I!qj-Y+FX85X>E3r@MSlPr!%jW^4r{Y^9m68giTbEh*eM8 zepj~Tq7;4ZB}sDoXnS&W47Pu!t8&R0X~7=$7IV)l5;^8Q1T0_1eQw7*4lh&CS@WFz zV%NBpB{J&;Zxkf5CZ+0Q<`9AZf8Uu3O1SY*vU4}aI)(P5NlB07xJJFkfJ z!%+kRZW}n{SU;t8+M!O%$|^3qq4Mkw-qWn^IqD`AX-Qe@WTmq6PC7RbF@Y zfzTyX`Wq8T>%B5##@|`J4MUGnJ+1ZDGpCfj$Sys16^R6jdbarma$2tA@ z=w|isSCn0Qz2r22@9tKwY4dI>b4wmbND{M=-Oz`7?jKlaO{lE8!Lj4&Yi)RqD!Hhu zLP}q2@0-b>b2MmxHNpg}qmw9l+8G0^lGN~XyzstO4dHICBQ=X|B~s|w+16u^jkktA zg_`1bsHUzRZ*4{QaP+UnTaC}5qIz(=wOVMgy9+LKn)5FGV&zm`zu@Zm3##W;-*9yp zw!+M}E57@E%!J(Bw(B-&A#c;s#joYl6YxIIUdXR(KrmU84L>dM`SxT>Y<{>St=QU_ z$;fHU?p}f~{Blez^{xF{3@1;xhCe^!58To*jROEP9aBXFrRmy^M^A>Gl#}56>%F8u z=gsVz$KtWJgi1>*imzc?zofMv1atgth+_d7j}4=EREvx*kT2-Du}}(nLx>P|#sTrw z{e@GKSY40|u}JVJ?SR=P{iMP4s@WpPE2W){lO%_qN)95Xm?Z=|c0gVz7^|D48N8gN z)K#QU{8d6LW|AmYH&Fqg*JGdwdmEcTA>MfkCUMgK%srNQns~s4lPK*}w+ZI?JEYPQ z6jSrKCr&g&uOk=8BXjhsx?4#u??t22w0&09-6k2<6_P*}YV$DZDRM@pe0(9JTH|7C zi-0hn!kXFoiX`$`tzr`iS^{x4B~7re9ZtP}E=?l4^r}OFc&v`o#ndM2Fl#kq3Fb(_ z{DfS+YP37m=%jy~YH+j_h+n_CSFAScMo@jCrxL`#%Nih?u2x>DA@DxdH@)RT zvG)r0`|6^kFK7*qiPen|qR_(`XCyS6>#vedm+)BKX#SdwbffLOKFk=&2<&DDq!lgb z4A1zXEQY3JF;ud+S+mer8l5b5kp&t=b#f5`VwV{jFCV6mcp|UYy5ATp>h`Gp2ISVp zG_+#bUY2^Rx;j>u2k7P{3ibTS<|pBeF$*|H-0YG0zJPViD@R`U$Ov9$w$eMstMF5WB^lJAYH zgwF3l0@ez`Vg3@TskRcUz#sO_;ZQLq(U^UiT3LCzy zX7afD;vMyYUDpS8-Iz6v$cCO{va9oBRjXrvOQpHklGU+WS9=r~Te|vil8kP?k@|zm;RlB-q+3yxc(Ea{YCELjeXZDdZj%yIfHbF1^+L%!M9Y2<-hWtH0>qBAzR ze1OU%kVIPNop(Xim@nP~oV5M; zomN>DTCn)KR3z}8pmLN=ooOX#jb@?OO#t`Hb1c~Er;w((J7}%jG9N8}lmJfhTK(r_ zjGuZrA6I4aF*jpIQ3#K zqM>^vRQ?fh3{}sunrbxyt!e97=^dsQ;zZPTl-2a0#)(#gq>+d!-gB*{4H^-0BM4u| zYagp=vxfi136~l~U*Wx<)wDw+c622Woy23Trd=8?W;BA7B=`}HFu-bR*N72(NYRAP z7V&->t*#M^B|<_U;AJSSuAvV~Xt{=O!e$e|hE2 zy}j?iO<0p8Hcw(^77r^v)$iw+~1Mz?7kXhZ) zv6Hv@*gF0DnEri4|E`z6C|&BQZ92PE=X@YJQ`o42F#V%)I#$+_Rt8RNtt4_HOz#U& zQq+K0-3Efq{a3m^DBSN+^H_8I)A`fHA5j=;96&K<;3cR9FO}?^bd7TWIROxEwzoER zvRK%!xKR)Nm?(>=$>sIu$^_l*v0LmGM2Jvzs%G{{trrwg$)Qq`n&qrO?F9 zvbM>$9X(=Mi)rI%V*K^pEy>qPbJ{ZG7ip6E6ot=?iFb|$jiC1 z4VmDURnQ*FWP8>q8LyU%UuJnC;H;+6P)egPie@*|t0f&GJH( zUF+YO<1d|@S1yYm|5#-cC-N&{iz;Qs%EMNwGVhvjc{$^ANIw707~;SU0?Jj&A` zr$mx!9{u<&W09^b&-1dfqgB3SMyr} z|FATTk}7%tAAnO=fSyyLt0SCOtaP15$Y3?MoXeODtc#UCVtQ_bj*B0O1s>bD1iZ~5 zI^HhDw;PBaF#sy=0w7j=KlCCwSmJ=53s^gS|m zU-&q?JYx$zw4)*i^kT&k-!p+74lc9)D!4@|@+3lC&c&vsn@_3;{i|$}Vu4x*a4*Bj zF0DNR6-BxnUuEU>{zK8`Gbj7%ZY9+bP(NIajro-Mf2cL&wQn@Lc4MjE5RN;{mzA=W ze+BtYyZMCo>sxMYhzLW@JijtZ8>|XE`(#?IZV@;H*@iWv)Ryu3c))bWqI)^>+n~%9 z0`#Pow$;9IHDP7~4G=$zX4=QT@QsWuwCR6o$ylsHl0mSR8T=Ft zvR1wYoBkv~MEGp@j#%A%!mfY&MtAdd-;Dw%VRGy+jAr!JoeLKUL}X(+xR-_oX+7k9 zY^kqyfD<_;@T#vaN8UfYF}6lFxQ+J;YBuPv3baL*wOKdKiq#d7vA7p4Zi__)NVlqH z%M|LkR^m7pGW|fI3(^)a+3vb2%-R&-56r(g|3}p;u*)gbyu&wVI;MIt=TuRzv8!Q& zXzZ52p0rJ}k48WvwLWxXtfb&k^Dt=;%MfDjiZh!)dgUvY?Le(|Wj_)MOym7hnI?*M zE8|-!4qsz+qudHjDtJ_p93Lwp$FC+!g(QwsB;yyH97u`n+M}Dlcfr-=OZfv76@5)} zQE|JqbWgHh%ZCdE2X=6~r3?-8(G$u1rAkr+tG?T|yoKnEs<1WXq18zwO%d6R$gDaV__g01^igv8d$ z_>iXFx!9|1@cT{iW6ABvrr1w?H0FoI_`eA z!7Ed}>K<0RmnRA8N+opzr1!T>rFK#XduzU*=w%{=AWCp+w;%RE8>caeNP!@-=!X#z zB92&9c}y*L%19{BkO`uEcq!z~8F2Y{}+321LwuK|Nqant5&*MS*(PWBnfl( znVHYbeCD&&mW`EFTavI_yW5s+cWv9Puu@4kP6&&nLnom_5+_L(=`4~El8~${LP(O- z|9Q=P_O_hk{J!V+|NnoF$L~|Gy=Jbt=I)y7df&fi8gCcRt;yrr`6TD$O)J%$vi2G5 zz~YTD^mI#RY5iOX(l3&@OiVUgYMQ`8p_OvD)1wVw#CYbMH##Z|#>m zp)%9O;_5Bp=+E=;#`TF^HE+{rlw&;?R~)-whS=P>ZD{Y09>0Gt;PPhsb@*gg*NVeT z5xq1$k!Ask}%=pY0$;01%_yCzZ_kfT`{Z4Gbu?{^W)_sY90|s}xgadL* zf>Av0-$Wnby7#|KAr2U0-N7-|{#_hn-(klX&N05hIaRaT7dGw}BM9C&oATy;Cr#Pu zZ~2m|zloaQcHd_*3dv0DwmsM_&);o(ZMMH#%PBj#5Z;G(XdM3f*v0-Tn$%I8LWU9) zXF{VhpY9)`ruJC>5SD+4!Zw_F?%X|GHN)-of-|?%!&NVBj}Q9z>t*7e#MZ(te#6}? za_6ttx2X18h-z^ius^BxT8__CpmY2=7uRPmhu#Zec;}mu71zHhjkCD7IO^V^E(q>w zBqyQ)XeHXXP2bEW+i|c94 z_00CGYBf5f{XYg(&rKdsaBIS#{p|RSF6LB6HfSHss!VNx-pP7v(eT-d(^OJ(XYERjl77HqD)$EE@EoV)aaa2eJOt=-PO{iCCFCy;d}tTqx$8SZv;}qEnmI z#jYU`GcRGD`cKU9#ryVC-zC!8-$D4rMFjFX?n3DQBJiVN_PFYT>3hq{`XW`X_hse& zRQ6e4z#9&EGG!O{g55sLQ04i|P4N4xV0Kc0bKKbOvj-l$b%~JkQX6q4&dcrRUe4_{+a4Qng`J3JsBK3udY#7S z=Rwvc3pd3xBF!<-`*yw1sD;Sxtlj#WPw!ZqJN*EEgMME`_s+gctT#KKPfF^I963UP z^|M5SSfk_dsRZ+8HM+Z0dz8QKi?;9MB?(0E+Bf{gJf2R#Mn3h|g)ZlR7P0m%vEFA< z?ZbY#IB2xmUs)Ec{M}#K91!0{{z@$8=uTFMf5c4l6>n!3;qoH6L$J(4!p@&A0yM_v zj~QMx2^)o-N|nD&7<&eYm!?o9+M)sw^Ieik;jgQdc_qd9sS`$(7pC$8jkCt4UUE^t zRKw6@<$U462>zO>4QCL325~IW6TYDZBTa63!#Rb#o(e=8a@nQ9yp*b>%ueOka1CN5 z)+URx`+xZ-j$P*m5n=%@5h*S;@SQO6rMS%C`h@W-b3iYtgZiu=H-dkpNMN0 zeHUBxuUl}$D+UeBx{^|C7x!7`rxE8kH#Mji%c3_#8_`D&Pow~g^H}i^_=$Qlgs2~I zZe6XY6W0=rM(dB=h4sk)q+e1c>8Mn3fQIXGs1xTB*O=NZSQhsolHEjoHl>r=9TPg{ zw*2A|Jlf%tQgc(g^*&per+JSV*6G}$9;w|fJUewg$;vd;Oq~HNiOb*3?45cxQ`-&dwkmpr>9uzJ3gK_ zegxmj@Z0tMx9zaU2~(U%ixUAQr+-yvftx);1Zu^s)8)f4R}g3K*VUGjp$kQ*ow&q* z4SMQmSX5h?Jy*o7aw%#bKA-Qc>}$z;qT|HZqA;g+7I8Yk-iFv)tThsT;G*UA^rRby zsE>@P#t;3z`4{^4~Bl5hm3=1=4sw5R*-f(d1#%JZq`zQp}Zs=uE@ zM{Q2b#?KymXKV!F>X%|=n zR)T3@3djV**xmzd=G==x%I&?RZItP3O9qSCRt~a%=_Pdm64jA$sWY!Q zI-Qqifpk!M2JeCd@_gC?zUUyWnL~UgFB8cENyOG|Cz5p)OnxP3;wUIEc^t|J$zx*Q6ddyQ&3o z(ZcqDyS42s(}A%eD7T*rAa*iO~%{T z9P^2tHoHpjmWnqPXG+7m9(Cq<-SgJ4eI3{UHiK=T2J8ZRzyXlJ{A~l0K_}1!^Z+_= zK|06;*%a!E8EgYJKs-P3t9yn*HW5F;XT>is zC?rAq#BX#^NW*SO^Osh}$~HF!>s7Hbr6O1_jg{50a>`9Xy*@Q4=f}#7n}hXTvGql< z^*>u4h_zpQeQ=yr(}J=n_B>xY_3~6n{KSK+`!!mQW4W#`#ByCfG+$1P?JMg4XxZPF z?e+UoQNPUoV!83TgzP8|R^KMJv0dz3jSJC+|NgJ}a^qvxUB75pcb!d^d9iJLd_pUp zvruiM7A+DJ6C2S2aW%n@SK!7c#J6abD8;cue0-~TDK0_$S|#{3N3|3+v}xH=v}qOm zq_{*HC$3`TUz}Z)3V)J88u+un?5w_WUE9_KupG;w;~BYP z`569*GWeIkO}1h=89@K|UH(;2PP{fvtp4F|$d1O>6W8|QfvE!tVn`KX4FyNqE7phm z_V<)3*q--@{~w^v9x{`Lx~l(MR-N~)j~;n?%Vb`}LKC75Xc>?7kO2%--N=gQDMKvYky<$71_zgSc-Gl|i*~eWL)-T3aWr=*Mswp|Lj3G10Z~^G z`%@8Hs1P-*Q~)uy{4?+;IMpH6gO$eT4vr$GsyL>gP(GECQd#nUF`^<0e@nwn`NT7Q zYjD3U*e*7SQv4d4iM>*R41%+XQfyBZ3$b6SDC_Cc>?*Nc94i4dId}8QR2rm#3g92L zd4n|SD}aAE-c%_`L%!%K<$yv^3dAq|M)n2?pal>=s{=A7$O6Ma5tstzfCXSFSOqqK zi5#Z_%mnkmBCr%J2dh98s0NjQ@hirB{nZMdEbdeAVsWp`yJk;al6Lp+EycHLdrZ6b z@$=X$)nxS+cB@1CC^4`cO1zYJrBkL*Vv9M{jS}PkA(xVX!b4e_WMV(xSxg zcqoICH^3bl7As3B#qSp$lCswzuuFfB9X{=QQH4Xc)6bDn2B>F)x z7-=4-J0x+nKOPF^g_L-7{4qzz9bGpP#1ZO_FGi#IiS?8*=`65MoW%Qp#F&fo`_^yp zU-%=gSv=diUm6Rkbt_^czci2v#4lFmPqfDS`6IV+gB-EK&%dc)?uz~t_bdJeb2*Vd z7Dr6*>w9GKqT%}aPt*nH7Hbv$ zx)|f)SYlr>*2S`DSGUY!{XU|826OILFdNJQGr@lF8#n-d2Zz8xAm*o-r_;bxFdf_s zDu9^7VlGPp{Qe!^UpJzUPj|%lyqf-TfDF!*&hq8=bmaAE=-*wCq4R){tHoSSKe4Z* zC-wDZ`V92tLeP!%a@vbIF68cX))PT($G%b;+l72CQwp76Qw_9$VEa2R$s7qvdQ>UK3d@p2vA>()A*hAPa2NcmR%r$l5*m+`~J>&$kyqM)GmWA%J z094R6jpHOz7INH~U^~aofVYIQ|fp=M&V?jpIu!4`IJb>X)-Tle+EfpU!?R?Xx*<4)tkVlTKX{ z%hg;@Hp_x8Z()5o`*ve_CeYbu9Y|pNd@zyi^Et+5;Idv#8;fIE)YnkAn7VD0%c)<^ zc}uCELfsyYnM%7k94m#oZaAW{SuUl`JkX80O1Gwp}!ZgK8$^)fko6W;(jNB3~?^%ir8l+Z5LB!P$sjF#rA!)&0>8Q^+_zx zr))#}LY5P$F9iEIb`_|heiii+`=wI9fwp_NrbR4Ip=`r?CCe*W&Y|5hN|AAp%zpY0 z*juQpW_uF*r+}5TpU3(-+HK*U=ZWAR)@y0EhHVomchg_VEZ3aWSK388iMk#fb2p{< zg4G7%G0v$`47ZEjY# zp1PW5b?r`0mi9EO8%kYbhomO35RwB7J7$x@f(rghT!$t8CU$eRvYO<8gJs;^;O#KY75IhUs0o%cDZ~(MUNtRN91+qX67!T%vO0XC_ z3#!3ZunR~hB}*rOZomQ;f+1iu7!Rg_d0-h>3pRqSU?^mEYKH-Um@ibFekRYlyW7g0`G&JU@tfbk~$?zoq-P0 zKo-aW#b7d+2IhmsU?tc9YQa8`cnZ%NbOk!-3xbCo=yOrfeW%hA*cYAU@3SOtOr|w`0b`_k;?so z&cFhhU>GO`lfewI04xVpU<23+z6Se2!s+Y}I)U!M1^vMgkOzvvL@);|1S`RMunp`1 z?K(5gKo5`x`h#LH11tc`z$&l-Yz038=?u;ZQh^G3fx%!n7!PKF`@jlN4Yq>sKmzmY zRG@=&Fa(HSF=Yjq1MUNlfwf>Ws0GrQ$x;W<9ata(3;St!i*wi?oCkV=EHE6Df*Ifr zun4RKYr%T(KG*^Ff|fnlA9Mve=nDpb98d%%f*IgGumY?F8^Lz)BS<)xaRyEWJ%9x= z!4Oacrhr+X5-bJJf@-i0>;eZt(s}F;dH@e(fgDfC1LBtiU+DtG@0$Fw;{2kzuOZ|A=hIK+`MvQJjvA3$G!T!w$RdJ{=krdA z!>c9#Ya&Oe?^nzba*Oyz)ZrTkk18u4ms`}gEI*$k$JTll6_tz-SCm=8iDTRR<@zlb z_U-+*e_4C@8^HdgfDjF=cVV5D9az?=UFwW?^oQYK6buK$K{tz68rN( zsC@B(oa~Z|#}$>2>NUytk=8F~m((?&Z4sFsMft^uk-_qa(nXol%GK&XHkCLk%Btm z&K2J^s;do-Tz4?BZ)Wb;;G=r6W5qH;Ge;HsJBdz>T_p|r^0lYFqsqpXi{tvo6g9nz zO2&$-72kjn7e@#KYnKVnv1rHgpkh9r!^P$GnK&Z9R16O`@JSgqNqkLPw8|Hg(O2u06 zDil#6Zp6Qb*p7TXrv6*s{xNyO$?%fgvb@-y;>iu)ySXFtc@>L)97aWd zJ~q^o=#BBYrK1{V$$kS;FDzhwAmND9~5d=@sR0t1Wk^Gih^@VH9~2IP+|8CNz!JZdq< zn$4^ukGde=(r@oOYsE*yV*588k@;iu%VXJ>O~)iOAbr$$u@8NDP2+12qo(y74v-(Flo;(?0R&7KQ24D9m!F@i<~ErQ`K z=3WDx99Z6Tmj9P&qF^T?0XBAx&1odzXxI32u{3vtPd&Kmbn47xnupH}`I z!HP=xpkDC&gBrnUV#9f4UITF*RLrhIaHyvh?37HT{7hvU0-LFOqfdzFnFTUd8ev3^a%v3oIN`08VD-QqKwSv-ewU*-rt zyIFtRlK&d8-l8RAfyl@Fbg@EGdO3lZ{>j90?0a|q7Qf#b4k%?6ZEsyo1 z_*`0cNzjAhyHz_h<9E1&#GyC-58@bJ%9YD5&6AyOJi5ZcnAid*w%b(h`Qc&14Epvj)Iho z^#dY1xr`tcg9XXF+Y@YcNihG7?@1boD@ZfDW;L9O3C zTztbhsA*6$Vy%2?Q7%5?*!T(?YJ(1FIEH`ObtkJoSEE)XrH$u-KN8sL5Ba7NVlce> zmX(b02Pwy*CL_P7)Za(QGBG;MmO-WDdFKavh-(p)Yb4Q@`DML}2%`4)Kw2&-xh7~c zHiJd~5&JKZC}QV^gGmi_>HQe|Jx7cPC=c}`u4(v0C+De~8f7R0oNR0z1s5OSY`7Y+ zHgH5)Nl{U+Trq^j`Xv*J^Yi>;iP0)*7=U~jmX#P4g|5afQ(Qi@D>$H-&Hnw=*JPE5 zLo~F2)QqUtz3N+KmIQ*eXeW42-I;<5^8?CbM+s^gjvB1TI4OI_C=g4q+u&)iEWTRW zFD7BsU7!CYQ~%29WPS85pTLT%fUoC|84>@-IyJapI4UKXe4DZk7M00 z@qo%N9oKjkh?>Ud%F55brt!K^it5kH{asQ}Ft+}2N|)r1;pS1a{HGV&FQ$Lhtp%FE z#kr-yjrkK(JS=KrY6B12-@mCgC)VnQKxXcwl5zeJ7Pb9|Hy1mI<=}DGtpzkgtX(v! z$d?<%knJ~iXi3SK;H^jf#s-(sz<29vVqZV@+c!MneyDaM%KMBdEf?m7eq+VCNBNeH zeq)1|d^YZ_eq(zVjw%w`u~?#8ib@M}`;TMhj2a=Xs!^?2g-efz=?gkk_k?_Vh~V3? zihjkY!1WWwKSq5`uvQ=_QLHuGCAjoJuxl*Z3QiQ;R!0r$Ynt!fgc{Vhs+%+oNAgE9 z+p|kz4_Dk1>j8<2$q&uy_)c+Mn>tZS>@jY5-7FDnKH;mUFZDGs`cl8%AgR|)IC1vi zE6l-J>K`Qa;slK(*!r3nb&0Jvp+}AD(t{(4qlh+*HK*96(^}{!0S)o#bnM>ihH*V5 zqh(!PFtjt7`oZy=*4Nk7?HcSU3`uoFofl!0@sG|>6x$&6xfZ)*Y(?luK`TLP#9A;Vn%31{ctBBo9)fux zOMJ9l^iDt%8hR#J4~A#JmxG$RLE-NsXhr>jMGaqPpHTO-#Ik4|>@C*B!wV$Gy0yA} z{JZXrAch!FSJx+Rq|d8Rd`ojts~S@u9;A4cP5tG@)?=eHwkA#|t|@3+{}cm>GS-x> z1;J4S1@S2rH5aG%^Ql|oI{y;uDgqfX*fB5=1T~GtpP;7hJi%J*k^;+Llb&ORL6lt* z@LACZy~T@t#O|>Hj2>Ln^ioBQu=~}EYf>*bYjOQE6?8eU3yP!VmSvVq$S>=~i-r9A ztgoqG8&q1_XnW7R(c{L(2&ouq!ISfcD|Dp!3J$CdXfsuRxYYndcRt{v1%h)1HY8n?|nycR)WlurZd+Xatp8oIe|M(tA%a)Qr8)Bl8vaEdb+lThPFAO?v(!7(`_zZj$J7<-YV~FHb@d%} ztGYw|TK!SoueQ)yYaO(XT4$}hrfRmhG2M8>_{7LIE6oqhw$@3OZvE3b!5(0j*puz)_FVfO`>*!n_Dl9Z z?f2|j`$v19-O_2}Bs*Ol)#>S6>kd;7^FZ*OzLF$$22(?HZt4>sJ zP;aNFR;g>%_3CbQubQZ(YCSYvyNLc6ts$5h z`fmMK{ld_tq1@0lp=(2vLN|t{hvtOt4n>T7<7VS8#y!Rl#xKSp;|#O6d5t;Ee8B8% znO3@$XYB}o6As%KIpxlE&QxcBJJP++{n|a?W<~}^Zi}4f-Qo$}8k* z^(}R~x=VF=Mpx?jda?eK{!!?sP=b+aSVli%pmCq|q4kw@$T}sgg)aGH8k zs&ck6K)FJh%P3r_#H$ym1J(PrSG3o)9a@5J>1p~!jEXt>2m06gL0t_QAvcs3>J!Qg z4G0Yl<%g<5)uBD1L!nMaSK}gMka4v!(imf0XWVT(ZaibGHa=t|eQq3Oo@Cx&-eUgU zTy0+GasgSqpOT`Fe62B=@ixo2%XpO5FB`8L?-*N+9mdzjkH&tZh1uHdV4h)~XBwtw z_BH#PgUzex<-yTMqtA)^$qDA%B;_9E8RaeI6g8@Tpnaz8(hg|x`XIePU!wnAe^LKf z->Lt|bG+KxVI32m5q>iKe)tmm3VXO+WRGV=FSH-ApRk{?pR?b!x7lCVdu_>S?R0QD zI-Q;F&H!hMGt2plvy^fCnzNNr{Ef5Q`NcWtB)X@$=eU-8p?i<}u)ExS*?pbyyw%;o zbNJ9fsdu85aZ>l%TyTiMW zC;phX!dvaV?7i;2<8AeJcwaLD_IoX&t)m^H9iyG2-J>dVsaN#E=z!?Z=uM_-P<9(^aeHM%4Eb@a#R{-_w7GvkT%ms`snH`E~gnd8@ob{#yP~-Y>UMS}Prt zj!I{xyP_(#(o4CJQ8!c>u8da7l*!6eWtMV>a-Z^$@|dzhS*^URyso^XY*ltBUn@T< z`;``IYqf*gQSJP{g&I!Qra}eo(C&j0Jf^MCR%38V&=@03T=_~Zr`pf$3`a4jM9n6{^_5FH_ zQ0q{KP{&Z`Q1_4;vO~Q>7y9#OcxZH}EHpVZH8d-9N9exLL!rk)D?+P7FNa1JS{vYJU_f3yg0lpyfVBdye_;Uyg9rrToc|E-V;6$PO#h9$#y5Zi`~Q4 zZP!k>Gwp19n4QObDz~TD)9g9+e0zbt*j{F@wAa|{><#v2W>$^;lYPi;J6oJ>aE=;gT#}pOc5+kQE^arshby}} zGcVIEa?9O`?i9Deo#xJT=eYCS`R-D;+TG-CcDK0O-0f}+9A=lh+m#}zk#3P55jmnq ztcV*)i=;;~B10k*BNdTpk(rS>k$I8%k;=$|$fC%q$d<_VNKK?RvMaJXvL~`Hav&mk zNuKOkp6jJ~>0XAH>1BD@-VmtnOmChy->dW%c#FKn-ctD3a<9tU?$vs`yxra&Z=ZL- zlcEXHL@2TwO^;?oGoxA2?C6l_uxL&+FIpa*A6*b#6kQx$8eJA$9$gt-1<%_M-4)#v z-4{I&l`14@i!WOw$cfPIBsp2`BB#rlau#yN5P6uKBj+J+6d`dekQd8Ky`QfeUZLcU#c(Dm+LF_ zYP}ZDy+_}tAJC;xLMSoRCX^IPg?DAZyRt&rp&_ARp`1`&s4!F!nj5++v^exs=(*5l zxY>b_WF#1gMjIo^NH$W8PDZ&g(U@XX7}JcI#vEg@vD{c`Y%;bO+l=i-jZtgtGIkq# zn41}9p}EjpVlFd3Fh4bSnLn7nn4|5t?R;l}qqxJ}1@1>~=g9Sps@;)|f`82ma{gP& z1=<(-SNga55BksWso(Xu&{3hILv2IHhK>)N6gnkzdg#p1*`Yg)`;05hGsBmLFAwL2 zM}@D2j~!*FG7q~k6J@)^dBT0g-543=uu8txk& z8lD|~BfLHQbNB=M8{1^wKIOda>~Quw=ej+ajgPq>y5G77-FA^K%(ecJmm<1%jd!!R zF#2rtc_fjqqa^P7iD)Ovon%A4LY^!CQ+`|iMLrJhkggQN51xhxY*W5d&Q|-W*Qy_> zN!r<(pfRZ_pmpKh3vqEy{i_rI>GmSJPq)&{t<^uD5vy-J+gRBwO zXltrAo! zjye*#y0hkJlhG#Lf?En1T0g=(9j50XR~Is0OZ9SnqCQ2h(5LA$nZ5IlFn?F+YxF98 z9rJjDzDeJ#Z_&3Qi`Ou#cO79~C(}o%p>ClbAw6U<$J6~eE@rrx-=(4Q&=h9*Oyu_Y zp~}!A=K8YG^3bZ#n$SAr*G!^Njh%0%MV})K~^xSY=ch>x>OC`XH!-pbhb6d$S{QY#1$}zd6Jl zVU95;nAal{&o%F1hAlJyVZLN;VB~&geq-)6C9AcSY@KR#vsBB4zh_xP;q5nDf3fbd z{_1n}=d4eygI3FMyYLC&Q^Q@u=Y@^1$L#DM9vmJKE`f{R7JfFoI=mJdQ4_B9d3F+9 zI|aVoKrwXNf`6yM!873DS#a?o@bMftd7)ilSHi_S%55Aj5IkOdGZD%${EO%w;@%2A;!ygtncuxTi`?Q$sfue%b&?#$Y06d%0I|I z%fF(%#VJQAM=Nd7hL2ZHQch7$SI$Hu8L9lDIBK>!Mm<5hRQp6vgldn3K!t=3%YcIz(dUh4sR`ES;v(7dOtXRPO} z7p#}8SFP8qH?6nn_2s{QGrhCD zb3MfidDkJA(}%l#HX)VBcgruzJLMEbQ8JWA)Zf%GaLK(P6|HbM8rP-fQ|2?~bLI<- zqgTz>%s0)q&G*pYKQ@oIav3Y1TVFEQzqfv}ezAT-gNzTi3Lg_bE__pXdid^eU-X}U za&6z*KiEIpzuLdsan4cB(N0_ESf`yk)!XKEj5<8?TezY)Nw{dvkq66d6jRxwbW%;V zHP7ib?Lj#AtJ-VYo7&sjd)kNE$LL~TXkTe#^;`75p}}a3SA~X$3Xpn>k$cA@`IZ~| zOxK!cud+{Y<~j4+-`#XCp0nJIEW}B8Q@}9BPpUjqo+Ho0no@~;v#4Ie@z7U?s`sm1 zv>TA&XCTGj26wm{Nq!-+{1Pn=sau8`3=Lf!Dq{984gEcI6WZnXXqJg)Cq}~|vkkK> z!@9~EZ(V0SX|1*1u-=DH?6tgb@9>rIiHFe^p9{ajvwoK+{43A3t$mVx2G8|eTeVF) zV)wEyu>0GCdBz2HG5X{U_6++r`);(#CG_gE_F8+rz0rOjPP5bg4&Cw~_L6qa2}r?R zo%0;S@tnTSrAWdfoigWoXC}0Fq4TJ-(s|K&2if;4EGCkh=nCmK6*?><^`^NQZk9X5 z&2bCeQl#GsB;R>%B_nAmTIedb%9noyANvWZw*&g-9g)Aor{0RZA34=q>AmLBx2bW` z5c+n2dL1^Tchz$3EPWV~M`OuoX{cip+2?g5$xJp==($w03v$B4<|F3g=9A{rP_Lt{ zJM9O#SgteNMWQjQjVNHrIDn*C{h)vj%oG7VXMPE1-~>Q#H2yv^PgM)!8FCMK;XV0Y>Pr`DquvU*xfTF;4A zz`N%}=OL+A*30UvqFbWdqczc5B=y~Ovbr26WhKzRNa?a{VF^q_QqQQD)k_)2O-Hei z(>Gul+=E@Psg&N0nVzL&HVO0G&zQ4Mj*VpNP%J-|chu#*+Q1@O97V1xFtPC!c9l!oe5&JX}cktBf^1AFuW~ z`Q{_Y`UecjOn{2CF&oc`Ze|ZtHg(f7T{F#0H#5vkGt10|;}@Ef;P>;)<>o4Ljag-` zGpphEo6ODT7IT}q-K;Td(HM4{d*J=4@ckZ^jAn1a{nM}}W}x9`A-fGh%g;g2pJ-KD zi_q_vA`2`>x?P2qUxl7uji$c|U4IMO{w_;s`6=l6sc8D$(Dh}seG7d*4UInooj)sF z7@irPhpu0Vw!a8{e<>ROa&-PxX#G{_{ncpxn~)!b#{aLShh!&(xt@w<-_7aa$msW$ z!yWv59WO8v0A*I4JwMUKHEQ z)#y}{kV)sESv`-$ir$^pgl6xFN?CEzVo0+jpN9SW8o5-y zAB*Le(B3EnG%8U3AwkY2!dzF^z*{ZHyfn8%BTG^*aIP0NsVXX3H`V4)p{s=bl zU$8F7p-Yf0HikY4{Ss9{&)(Syi=0Z_w!#Vaydo_NdACV`ob3SyA zLyzo@eP9|ocCvRe+VL>&AhxYIX$|M;fNfck56P*@`AT1Uzb*Z~fRVOJU2_EEEA?=edETR&q}8EBu*D4pcofGqZ^lkQG(?{>#WF2>?F$6M=t?_GnH zPQ0~V^p}iR@fEc- zPeu#ri>~pw6&LP>O(F-I%5rqI55i?wNaK+VyE|7npE}35*SQb4pSf+ZTV_JDvaweV z!y=J~Omrmbb$oP*7(@xc&FH7Iu_4@vj(WfOU=w-odGkd^`C4qsiD;fn zum|`N`@s7aKOpzAJQ#=5kp4fH9ef54;url3p0YEr1--4^uHONz z`56A5gtne-j5Y4$Nw+s!VeRm&%dN-5XCjgRZlCWAhSq%LoR9o@z5BTPwtHD50Z+>C z==$ha;yhJx!c-<{a=H9B`8&Ba)UvPA3oq+Z^-Owe3A5kEcJZbjk0oXtbMJd&9M-Xe z=GoR*EGbF+@3~YRhbMKKdawG3`gdmKI;?WrRTVGlWmx43vEkmQEyk<73h&7V z?Gx=gZ7*KsHu?$rDM*9oVG)Yz{jk{%!46bNKTgE{GZWiSC3f4T`f`00_MU2NJzKEz z2;1#GY+s4kY*T#8j_liYGO*kZ!D=hy!HK>_XP$4*S?XJJgfDVaXp3*j+3nkL5`8O9 zs&B)wd<#yNZ@($@tv40E?MBFbPa4k~)%YE^W5Z4Hb(^MgT(((mPBAO+?#?vlAj8ea zH@*NV?mc9+BqX&y_*`zoUMW~xqb0O=DPXrC}`|_q@rbLSu5RD?wV$LR*m}|{>k`AtH?2tGa~0;>Ff)~9Udvb z(m4$u$l}N{IPXflAZy?e>l*15&XFv3+WWOLZ0>q7J%kb z&t`c`lUR#=a-wnqp2y)z0lvm!yp6MzN_hQZbf}ehIjS1@I0F5thPaDe%5LQ#dQ=j= zj`N7WII{LM4{2!KVSWxF6D4TL_&S<;I_mh-5N(*2qvbJUinLO^9}|z@|5(IKTBsa)Y8R6%# zsdXp%WH{EyhwvtTV8=&~6Jv)r*Dd1jG0Mq`fqb-A`Aun$|9^)1u=+etjv(&!d5TWwyk&I+_{06${x>VF&H#OZ=Q?VAC0C z-^fgT$bJ#)R9Ezw!OXi4oMLyZyT?t893MG5VlZ!pF>e+|T6)KM4}0fFufdnTIr>>t zN@^iZGnbADfb)noHHU0(FJdM z?a)776t_-VlLha(MSo4-sE-M~#QED{ z_g`zYvd*=x#tQt4)5EoerW#4Z`!mzq;1Q{k72H#%d=illOOzLsFlQg4zDdm11=>KZ zJ^IAx&|gB&gw8-(%tP+V2v5Mfb{#bTeW#V1jK!~y`!f`KUgTaPJ&uWX#V++qRLW`L z+hSXz6+S9|BQH{3B*y6@^>3jpbGLOvShF8Sn*68J)iuzu)}bFy!OPu}c#>gQ#O{PT zKk23<)=E1@Yp)%royaKAc>aRh6~g1DHSzEZ4ksdQgr9#qKK_%KSz;Wd z^ZYBJ)XVghJo~oz^QJ-r7vYm{gZwtwxRFS)SB&?J`|*3;8?h&6c7gSYDNnf^`Qb)l3>PX7D^DO9uT@^bQt+ri&CAq$wb+kAoPowN-t)w7f|Kh47eG`aaX9oPUGyk?|@1(tY?DQt&dU zMEwoIlYOO`i$*H+(R;qwhz*js6h*Ir^)h8;e>< z;;Y&1eF^=1B=kX8rXIl3xWSL_JKFc%c2h28j!a;V2-*5ohjB$68>F#=ci(j7M+07i-rO#=<~Z>Py5k~qvAGn9Wx2WX-}(dg5$Pvc309)j25mFhO0hcOA?WglK7!%!sL@uj zRpI-xmtupguJ;LS$6iy5b#OOvfcwx^rEo$xk!ZlAKViKXLWE!rF@l9e36>H?Gm#jB z3StFk5-m9I-}(?Xhqn+xSR4K+{0lN>68=-!j~X1}`%Le&@5cvR<;Mud;dSnU58*;0 zZwI6Mmf<_B#BZ?Nc@JOc$?jQh1Zk+BI}92>&0XUAI%|pV6TU;mkFKpCnr{hKr8gq) z5|eWvB7A}loHNItNjH19U^`xd?KtrNeeES7`v~v$B<8^V*y4SA(DD{iDZHVbtRaP7 z$B19ccyC2?!X?T$t1nPq()F>~9G zXjxA}r%cg05xd^yuqe8JEx#Vlc>~>Y66LB60V(`Z2Q4hh7Q&6N}$hMC#PVgY-tD9A#XKKC|3-*Vv1HKd|GN@QxY8vOSKE zdNY2uef~^3**eX)4)(>DR*0@S73_;cK)CAchxwx&MqQc_b|Q>;3ZGM-`@t0e{!>k z*TBNl-yPr%#)p2BI~|+!LhL)$#H?+vk8}NFzgIV`$a0hD*Z&6Z+8+5n@)NeyHdutt z_4?sWnBZOK-R?b5uj{=}MEX8I0yYJn)ygF0CS^KVACI6YPi)6So`mRGd+uC z|1DOgD4*LHeXa?W%Tn)W9Ho7jMh3KM38RHan(fSBw=wDp8Do~ z`aeU{7CKAF=z7*!OLWrv#E5=^C3+9hvq!rpxgIv?Tzoz={5T|`dmV?TaY*DQqK`Ji zhuh#i?{rvnPI{wQ`akOZc|_Ge=e>ZZ{X6e>>>nrlIV)?T8>63y{+8k;m;P=m7h^4c zAJ6w(a!FPaL;N0c=uv*Y+6z|K+ao(Rka@$x4Bq2H-~x{{qYhE zM{gNVZ0B+K>iUs$GzC5~4?DqPWVW-d5Wb~Li5KgR1oVCQd~E%z?GVunyU-kF5{V_^ zu#RMT-}e6jo!%VTNj}kd?|x*S50G`vC3^E3G7V}2yFgOB)P}xmA)kcRy#n9Yx6oRR zh~%5_v^}N#iY2ujx_rK$6*fu#P`^4f8~*!QD9&hy-L1^%jpTa?<2H#{)f%fm@r0G| z&qu;f;R)G-R<09cn&#(-Wckshd1zgwex67Lo)D2KQfV*pb48Xz-$b@ZHGUD1FS6bK z-u{j8+7|!F8N`wGb^1G3;X^OQ!WYPdfj{KPu_QGNs;3DNRpB0clHVGvfp7cyo5CWP1Mg})IvZ^Mjd@lMQPh=w*28jQ zr4Nsk7MVu{SWh13d7WrzMwD@V1A5D9B9u29-{KD+Ku+G5=E>GPEZ=I_4i5p%#Z!6$9Ln8O%4>}%w^aU@KQ8a;=-&_6cl_gjSUygnd{T$u# z7m>3f$4g7m8;>Ez|2(4LhTzSa{s(bxDe(2C@hKT(q?E?;V=I(t*rnzW2QlCG-7NCG zH_QAOxN4;E-B8(m$^pE>32LG$yf(?r@>Bwud_Zfg{udAJoLg{-PnKkll>e-L>kVuS9E zE{sZP@zMg~H9fK#`;yn#AFsn;avQHAyRm@$#$vn=3lK=P}8IXI)fjmeSWJ~fO+mQ(=Jd&r9 z4cV1^$n(f~G02JZ$cpTXcc4F+k%P&Nyvp}kmP2i3klp&A@+>yT&3KOXFw&35s$!56 zJ}5S#7phB$;XQIh)}I^0f8>)~tUa&k=z%%-JeKM&VTnJ8#Cr-OERXo@N-`;y`u@Np zS=mKC#qPu6E|U-Q2L7*F4p%_O=KG%bKO-O2#-c0hq@+JqtAz6|C2D)6&wHzU?z_R~zgv6`TtklkE_=7VhdloS4V&Qq|DHZyi4%FT;^Rt6ygZ7yRJds9qVhnH+uK@*`Mps z3Ix^qch=VwB1JQr$WT8(1tq0DIX-6-;n$O#w?Sl{PK3A5N0M3rZTpPa z!b8f5IO2TvoEORFNWl7WqJAFvHcyA{F+MOq3Ja9Y`pAi$wxgE9q2K5`Bwa*hsdwg@rMo| zZZaP`z%%5`jCao_vhN=3uGiy7;5hT*`Hrh3b(WvwEfxp$HfX;E#1~#~oQAb+2zt{} zqEoA!H;4?)WghQ|lm+pAix@}jmjwq-QLZHVcrqTwE3kt+P3+{aMlvyMBhA~ah1OYQ zqT(eFe-!>S{5dfj-ypO86u#DO>xSJ=xW}D%QAM27Uf#rTbL7d$w?xzZOory~kvQ)t z@|n&c4>jn=)BLxzv|}u;Ab#!)B2M?K9kgPy|A*p>ypmk}L`KDD<}KF4ynmx@_yVr} z4r1eL!>!P)KX|V~d_#~h3j*A-6-sID54f5tT1>y*5NYQmJTpJ5?TL8kt4}c&kWKbEISI$$bC_*i6uuqb_R;oP zSney)%sjG1?{=OhGk=MDEZMSGM0%2Av(S5ncTfF>>CYrcIMp11p*FP(1gZm&1?ceDp`zY_d$*ipU&diRao7Aa|i@~WOd zG~Xx2cgAXD$|^?C4_wa+aH?PJLNat`!A%@$g4fm8Ww)U?uh1oX=HHZ3hzPhQSWJVoPT<6dxNp+a6NK@giAmg zjMw}o`BwQZ#>^6Azct8x8|8O>J+YQ3>3w81CX&(E0ZP|dISc8|B-;K0BJD3F*FG0N z^0i#Wbx3uy(P-``4sEsi1{TZX$g;7BGaaIhfRazw?$;j1L%xQ5y0_`Go!AF|!)9>| z@sy{dJD5aMUQM3x9Q|%0(U%i9`LX^bHpAcXnJ0%%vdNTh?LOEPuws z^+bJ^OO3goSc>-6iM+2uC*C^Ce_KTkvFd+Ts(P7h<=2U|sPS_blgMb1n`N?Oqr3jk z{ZkE+RDyk?eKJ|~7WuImF;CU7KZxd>gKbS%F&6lCjHTGwn#xl_?w^pL+Bm0S$yoIj-MB_vRPJ;cq7Oze0eGU`v+v+ z1S0xMSb9W%Wi`nqE@~G4Dy%-s?3c-m6d8`~h#$*Fl3VGX8!7gtGxt{EF=$6r*g5#+ zZbC~Vf`z0y5o@0;p8;nWtVrnRSMvU*$;^|dwNd0`Jk0oiEOfPTE3pMn8ZvoPm%*d& zz)JV6)h|2%-{sTfasC)?LH=es&*~{`m%lk(h`cFq$KXlSiGnRA&wmavB4?31*cXd% z(Gk4vi=hiOo{Ytaj1o!8Nstym7qX%83!qf%u_|JDLxqbm+oufhXg!283Bd4pI5@hErVf%u#HDDMv2 zNnBtX{EL?VR*uX4Ouw6AH0TlS1!&M0#B8*iZ4@MC;$C1VAf0+*{~#II_U z0k_%rUN=yOKi0EE~>3m>Q!88_=JG_H-QaUne_Z-jX(yH-gRbE$MF|@ui^O z2ygZu>oZ4wqs<;a!)-<+Oh)fEa#C88|1=dl+$%(I_%UCl34YAuUwIeI1~Tst$`>m) zD1TAf;X%HF9D!G~pR_ZOm+#d7ssE&JSpErF7?jg3ce$+Cqe4L^f2Y?$>b@} z`h@a{m-yc7h7ajUYd`yb?yQgO^h{q?Opr2ZdplVShlnohgk@w5mbz!uVtmx6kY{DV z!G7iq04M7~Lj5~PV7YZ7|W$37=M9&5|_ z_zhjGDn;09Pf>g0m%o~Ma6Phecj8Vm^=tI2$l|)09IhV5{l>YL!5M}l+b<)l@-7wGh1)d} zCMthb^{$qzPazc@BKXYoO91T_uNA(z85;-i)uJe9ftQT=e+c} z(j{dbS@onx(){_1$32S67h(5aU%nPdU5ORj9_?@NHg;q8l|NX1R9k#L58rqlIqDR5 zv0PZYUxGdRAh*J9$)XK?9&CHN!j9dic+t9qomvn(&zmbBsC-1<`Zx~%o&)y~4<2&M zV;{E9U+2W^MQs0ykop$mhvQGx72Si@^3eOZ*IQk)3|q8SoRnU|o=|+`96n(1XPC>o&;Rv(mpU-xuU&X>6FCtT~{+JEK-irApORV#kF%Je;ye)fOjk>Igq zKV;AO29ii2XQnlHYy1cvKCa=+^f7Lfg}aZpc^Pa1GH4>LH+&2KhNn3Hb>QQ0Dqap}aSA-QW`50iH5cM1rW}ul z35>gxbDAwRS8$tlXN|AsqhtDN`l|N+@dUTYU)8tB|7YkqC)dudJqKINGVUsDLK6~Z z75P%_-_$--`{?L-{-kBoum)SdY^$~eyIOmWJIHzJ_c;GE+Q-~F2mTnRVmZB}u8ecQ z7H+d%i5HbU_!qsCI~o5U{ox5Th~guo7Td6$*lvEBGuR*2KZ_@--{Z?kw0dQ5(gtl+ z?P=KE@YfArX}BBTRR7fQB=@{uYp`>pX*y@q8@ZQyId^jJ;5NtC+5i1``7_J^efjT} zzl}V4HYbHONTiM2Fy4+nW>4b)RxEd*ulrWxQEXYBa{i@`<*7<=+*v9&n-=2* z;{}FPQ%% zl9}it=2q08<-43y*nx`u6?a$MhnJO+igVD+x1#L|SKd(h?aJ>|{+Ju5|C8({*RjWJ z<=#qw`*zVJ3*g)Ieb~pUAIH<|Yt@sJe2OfL`%hS{y(WnE?My8w{~5XEUu#~+24V)f z3h|#%KZf6gZ2QeSmwpoo@HQu)L9gdtYYw-+F2FP8Wk^Cd;(5}|iSZrqvNx+6SoyAD zoh!lW=TWRi=i?RU>DqbAs(`@_SY?Wru=!ZoRG_6_Uti3fK?EU}UE4a%!54wzHtAnr zGkK`&i{+cS5n!*Ji3G5o8`6JUISt$N#oVafjur3Ws;99_dYAL)KP+8|9p2|_NAQ(X zj~(bIu*)9CQ^4B#61*?8b2@kf{uZAWYbUo|-*LLSbT?G=NZAXl9=7s%#Os zo$=$oMzn1t>^FI3GaAa zUj2;vx#-xo)z`5;ei`ZQRqWp;vY)-O@pi3CGO*dsiTGV;j%@7Y-Y)Z%KU8^VRW5f{ z=T}z)@w1uV&n*2Kn!UR?n|Ts>`+9DGK2H5PticuB+Ps|GntzLhs|#;8YnDHVu4+0~ zNVjk^{VAg-USU^*OvP2DUu0kUBK{!UWzBe#xe%{<2g|=z{*T<&nO#wZpQcwT{*rUO zb(|UxRNc;P;n%B{aNaBa%|44f{57o|dKQa;o3Nuif_JHBp*8VQHU~?Xt-xe+?L_>? zJ%bhQmIg03zFg=4eeBuo+Lu8iRyaQ`pN>E3Vs;)Yv>(47?u2}Uo%?sW10ueL3$T3q zB|5AbRmD|{s%qFlw%~19{2pJ0j%pBI@qG2&+&Qm8=e&=7$@%Q&e}J9BuaI=av(nq# znx9hl7OQ|0nfcWeznyA_UA+bz=5aRhF-~eg?hPb2g3vG|_Hn*DW6|?C3g>d0_&|glYw{CXAmE7~c6&(Ki%4d*9u3)G85|C5X zcD%K2Lf8FU_A4`4(HGa$VIRJR-KY=w|1UU8c!VA3#q5Nx0h=b{nPnS%W+pqvo7e>$ z!wc7&>=oO&7j(<0f0OY~vYy6gFN5s0t9F7Hxofr@nxBfk&|le6byjtF>7PTtFE4d- zF0qxU1m3VujvO&X7N;wDLk1kfE zwM|%O%qU-ijq|6=KZl$)gs;!vmVdh9P}R?Xz*m_sJN^g12$po;c- zh;6L@JRXy8*JpE&VVU!6{cl*OPjKf+d?lBmLtnwI%HDuN=K1I_>sjlzuy+uPz{fbpc@-&a3M)YwGT0Vm zuzoC*@2LN}_KN)@?Gt-~zDKi=-9vA~jSY9;J@b*cpWU|`=CG4)WZ!U=_NM!7xS7~} z{9$>HwybP!yo_6JefZzH1@Bw;W9K@Aj^kIxJFZd(?fT3}aYv;X{a`H~al1K%yov%b@!g6abTC}5Ozb?x!zX%`a{haYUUOuU6JvQb4hDLlCe0{ZgJKo@0IKvy{PX1nP zMfz{h;LY`Gu%BCle=tsr*yr2T3IZpx+*74Dl!wsN=i=YsK;BF?mGO3yoA4n`$SJLY}fxzz!v<@{En6JEPU}U)P8xEj^mTZ(gu!g3H4rg z_=PE+e2s-v!gg&7a>9r4xZ13Bfj3s%%qZ_b(<(P%|Bf@}VZ46*vEmuL-&~1aW*KKt z8}Pw+CEhm%STSybSI@l^{#PXZCwfZ=G;RLJQ1$d;~ zjvRgfTk`LM5$K(sva2Tmv!iq_zGW{hJ&shp9?pKfzI8OY;-ZS>6&K?v=5qcmgk9Xe zzaGyX4{MnqziJjYudn2M_$Z#YU#mKW_4UT;t&Hgn&K+&+(H3$0>}7Cd9;@C)_WO5o z3-pKFtr_9ozLL)cXz=jrEaySZDjgns~Q#Fx>KO)Q&%pUg#N zZS0p{DLaRM9pX;3w~O$m{KJZ7G+B>U?#EZ<|64k(_DcE_ypyCI_~CeV$XLKn4(7PV&1MwODow|Rce~)Mz8llQpI-dEiZ~! z>ua^|tK0DOa4+`r4{Lu9Kf$N9_`Z4*zgKw`_j3Anm@~xH=&P>P9%gUT{$&qykMjHa zCgt^&SD_Za4?2_KZ zw{ITa<_b8KTYyAS$(h`0yvwy?!y)@5@lbLt-bwc1amr|owq;#gGVg00e@}khmvU-S zga`F)tkOHO`XUrae<}ld4dpARdLreXeT^DDD}Fy?&Bm}NJx-WPmbwFM*3v+ z`)NvTBmFJHUc$EtuO~b~c#!Z9ghvS9A$*GPkA$xho+MN@HTW)JA>k>)Qo7O7xKzI}3J!$!ns?;9_5RpiKhw$fwehDfV*G}j8rh!P9fVY&uWGPPv8R-YJq#w$XKAa`}sVwQo#z=R9 zAR}X>>mJJF^Gol)D@(dNOL}RR^c7jsTeGC^$dcZhC4DeU`hhIz2eYIf%91{uCH<)^ z>Bq99k7P+#LUd!nKTEnhOL}RR^c7jsTgONjJlR3|k)IW)N`uC_Z?8R@8h8I9HQxSQ zYW(_Nrp6zBJ~ck_LTY@$iv_|DMt_t4r9j`8wi5RJra;T#R($o#1!`YX|At>FP!9`Z zi2wHedun{?8wKj#r0>W7v%oOHc>d0}3iN$ZtNgmRDQ`sx^G>Ap@3nUd)ZwK14xTDd z-%sj)XUA#}B8)pGb>8pB5iUi|0*Bt*^E>YK>}Em#Vd@1w?Jv;U=|Fm8l8*U8%n{ledLD z!f|udLP9ss3Ldj!@R9@@VxzxUEH?UnMlYwx#giisMQ!>SRL+<@!)gl8hj`|k8df-@eHOuR(tf}^uL@~?37A!PVU&dm;<#~?hl{)iT{tg2?d5+l<&xkV? zJ0ZX*2B?jRN8*w$-;?uObx@u4ldilkm=%vuL#a}+LmD}p?;cVaPs1VQctM^)Wq*VR zhG5&rzkN(eAJ1-{Pw)&3^9=ID<7Ck`BfW$>cvkSp&+2<@nDOzPQsx;U9RF#I^@3xi zfYQYymFWj?sbZc+o&l4_=NQnA(MpWZv0|P^o~df``-7Po#@K%aL1lfLFo*!T0tVn# zs^OSUvoX?K{!0#ZUimKhB)^oC^2vx!ly0Bd?_IK;;29YJcN&3jv3W>438zSZbY3fu zE}lPyHibSuNSI{+ zF)cyRXYx|^T$9=NAnz@P?$#pDG$Es`{G?JVj`DcmUuBT!*>FlWmXddBVFLBiKy}7Z zK^=?5KXx=0yJjR7`y{mIIx!F{+&2&_svn5WEgFawPacR(yLKS`*HKRmiTV{&$%i^h6jBT_nD&3`yE5q>G%#) z;^VuAj_7zi!=9m`Ars%B+j5S^W!-{mb`9+}-%Z@-i`VDV74-UiyG(mN-wv`9_v!eq z>vY^P8hE@9h22N7IUaJY#;o{98tB1MY4%7%n8*Zm52Nt(5Z#U z;Xx!44{^WhRBqLw-+I+f6(TjP(|o*#RS)qfIhw}E(Mb-kitshao8*e9KG3jNmy~!j zHHY{bQ8TH*!<&^eL@qC}vD!YHor4#tNNSLd^YLY&8Q^#&{NnHXPkM8xk?2W=Yx6~@Vk#X!E?MHfjKApFRyaH!w z*G=tG&Z}nezJ$l>ky~9&o}g~ig0S?vkF-v8&S`Q>U#%Gwm`W|ynC7d^y7xO7pNuBT zSAmB>PT(b@Yv-@^y@hXrDW(pYizsy$u-B7v0?z<5W*};MWQJeHe;4X74{p?f=;`K;>dnwTYtaj>gnt4u?jWFXK{FC|*R$IX;5Z>z~AWdzo8 zk1V9ING!Fyn!0m!Uc1^vzq^2Llw5)jdvv*G{zfRjn%seLN1@b=44xp+=mmoWqYba< zqpq4W%vVAwH$4>E5-OIF3%yEAC`c&HKuqvQXil))@B_g~p-m~}R9lIKiA#UFH1sSg znxy+;(Wme!zpld>$3ekV;b3{{y!b5HcoS^QMFX)O4Ta%J0{s9rp9ho;jyiZ>Nxy^x zM#*;`Q1Xk;?cI$df+PZkV^V8~-bwuXfa1G&l$#o`=L^x@P{s}$LPU7iitiltd zJ%g7a&2hryHNBmYmS3<>X3)@mR6|T=dp3RVV?;|dO_?>rtP~df%qV5Gk+0`ytTF46 zA%oIVl9-_$W1B1cf&~x1sGWM z>KPY^Nj>u2>XmRT!@JJc>0`B3l{OC@x;CK|Y15(|q1H>OKY=IK7c(xwIq7vDr7ipm z#8=A>4Fy^6E`$!!*O2tF%E-*xD1Ddt3FtXAtB^@^1FZy}pOrR(PI}-0{suZ$KlAm> z%PJsLZ(wNLYR=3f60b$&7rf}+O@bpbn-O*L;>4Ad?e5}>l+6QE|DjeSIhh(i1$w>I0P~ohM z9%kk>?TT#XMm99KYPfFl?kIiD6xqhe-~#7`$lsmn0w^uH29rZpJ$W;sNciKImASFj zx-%)eD2*e?3MW#4$XkMgMrM%_%K9nelKL$iP0gJ=hlMFPY0;il?p*N7dX`bQyiZT# z=T@0yZax!9J$=Rm?g{E%Lf?a6ct88zP4qdLpP6kzYM&LRY*Os|7_&PqV=CcowaR@y{2tB}d0z0d2U?st7Mk?C+Cx1{Ty4Gjnztk20J~_FWd7%owd5jhW-w@l{_&pPeES z((8rsR?gS7mdw=?^;-Ctz%Vl}sTh__18b;NcD$lNXaj08{%%Gt_+YK?B9B_T=j63V zc#zei8ybm7WMneFOToohJrf?0z$KyS1Q#1mRuG&ODi8<=RmfNtGSX5#)(g~!M`hY<{QOM&)JFY+n`T|L zC~qvfNKmeP&Fo>iE-kTY3x~AiUjwNbX_%YPyQStEj{@`5CGNy$@-?^W z)v)VfWe{%U1Q%pi5Q@hq=)HwV{Z@_%S}!3|gq32W9^ryEq*%dB(VEDbW{cCk#D&&v zaSE6GGGn6eG~81-f+JqqP`fi;hs7Pn8>6%>`wkl;ly%m``w3<2(kBB0`7RKUK6uG# zi;ve9AE(i}bO47-s89BfQmgQ*wdCK)yOm;(wVsA{qpoWBa)>Z zh*^7(qLlS7fhQIgitHeHrI)h4OHBAtKho}&v~PyaE#4?4lJn2Y$e*a|blfjlmY7Lz zMUoU85{ehCs?fFIiC~z~?g(D*#a?D5v}*0|tuH=GncZJ!TwXV|NxG3)t^Q~3TLe~_ zZ5bXW@~4kpSbWm#!G*Jlrot8HNg_o>G=CK8HriMtS52k2JNYIYKzbsuPRQMjeC^Qv zGy8wD*B9=W7_+wX`NeqrwF&S+#_B!!fNrTxJbL;Bm4ZG|@9D zZ8W+f%^4Y~QPZnRLVa3i4{qa!d9Nb(#BMM-X`{f|Lz- zv^TS~{AcDsFi~_IvO>!I82s-`!Uj1L$)q`huZHqu7a(h(!D{ox(1PH8NgNL)eL7H) zv!}#rZgGV*^iz5o#tv<*4n;Zr~vxNtij?G}I=rCk9GVw0Gd?wz_&*0iJ3X89qU8Kl`A{Axl zoa0gLyc9Sm*Ok6+HdJh|MkvM1h{yq%(2?~e8SWPM5uPcdkhR0ip75?SFE6r#tQx81 zr?AE+?}4QS!A)7$Co^*w@m)$-m?m7e6MLYw^v2+>1;50u!j&=OmP}^JyEBkrLi{J( zUHGI(x|tGO1T9yh9iduT>&yxvV;4DJsBreEj9^Yc`>9Lz(PpF)nl-}eMLtrRtopJO z6+SMQkg2^8`9`22azqC?We&}`kxQ399XFm%k7Qg%6Dm@W*{38!(m+XOL~MD`_^UGqdv}JB4szT2Bb%fC0P5t&d5wB|l=>tu%|U-u6|LXu4|az3 zM3ifDdw;K&@OHj6g@O@WI^DlE6z(A@va%!U-|gL|iYhjGBN0!RN33Mki~H3dA8h~%HYseMB{gR5zaC+znGqa-ij)pXBw89itY z`a;UJ=;E-ax7*)AT?I{{o}Q*?n7Y2OHsFc2tx~QR+oGLxDM<0l+oJK}MHjYpdjo-$ ztwdt+Z9>faa&D%b9f-e4a|pOLRY>G6}zJzX384Ar$p>eH`9N1JqDH{b z9P|R^H#Ua@x(j!cw9Xso-QxG|@rIRalSG<>Q6H68$;`!P>Vm|SUe(7Ox3{h>b+pTL zl-c#)ybWGo)HbYa?V;Y>UG}a1&S+kB0+G=y0O`hJUKNNISx2$h=S67#p z*0@&-jzqjYI|JUZdyBiQq_oT(|CN`PmM?bmx5B-%-@Q82VQ&frLSbiqM_^SnxYpC* zoxB#Pl{9sG!Vz!OIc@ctwJSGoXuqmy-O5dEYuYE8ue!|Sj)1|ccJJQkB>lm3EBE*L zI(Dh2$LpA~vCZA)2}ay)-mu?i%C|-P1Kvq$YD=KADeUz`y)EHRZ+OClmY|6`$rkQ0 z?^9a+d%b~3t2f*n?C8$jF;QBJw=+fA+c$c9LU#F6reT#kL4#^S98^bhEKp6)`JhKO zsVUSK_5>`;p^S8#`8V+?p zd!caTEFpZy{LK-sJKF7!xO>B)uCS-a?TNbkY1kdw6Lg3DkzMn2Mw5GDd$%XJE8>oZ z+>Ucs1^R-Wp0GrGAzt0RT|GX3Nk@3^658(TDG7zU7CYvw+3g9EfqdOwI<(&1(%TX0 zr2me2D}$Z=`cnuT>+xUBaCEMcmR7gVAMh@AEV?Ar9R!cOqc!+Dy&iYSr}NE^^mxKi z_nxpH&M`l!pDVWbBmU@E9n$sq`shp8?T1N(dpv^Pk;U$veO>Obw>K1y5^>l{N=nR_ z>)Vr|1E7MTsM`bX%fL0eG^F6}@P?xvf6(pm(HlCp%Nun&?BP&P#DLIYgC2C9YGSJ= z>VsC3-xv4$TKyG>i`g&J| z_U2nJsUz8u-bnN4NSvEI!7lGIccK}aaLT^b~X@rnv8kW3k^D9;Dz z!SLj=W~jM^x~w(Q{LHes(U4O4?ZQddbo!(D)=RwYg}S^e-u67J{LDn3Ri3aBM;^CL zODDDj*L(Y0!;qbG`e;p75|chgL-9E|p(oyUK%J(kyz!bUPc)U^-qh{w*d-HVy~HQx z=cc7$tEFaZP}4^NI;l;l%@CVY7x#AN+uNe>SNQwRcuE2W_6$s`NX9gq%1Ot9Bz$i3 z?Do1#+P5X4nL1C3*sGoymxPreq`lc8)W~2!br{|MPQ|W1WW26DFjC_tU(!_jI`rvlw P@i$N3B9p%S@BaN43x{2u literal 0 HcmV?d00001