Commit Graph

3026 Commits

Author SHA1 Message Date
tx_haggis 170c3a8a31
Fix compile failure (PR->master branch mismatch issue) (#1200) 2024-03-22 22:48:01 +11:00
tx_haggis e08d5dab25
test_math: make unit tests pass on Teensy (#1137)
Required converting dwell, actualDwell, dwellCrank & dwellRun to unsigned.
2024-03-21 11:45:10 +11:00
Daniel Tobias 3a712baf23
Comment typos correction (#1189)
* basic spelling fixes

* basic spelling fixes, external libs
2024-03-21 11:37:45 +11:00
Vitor Moreno B. Sales 98cf514bcf
Fix Stepper idle cranking outputting half steps (#1197)
* Fix Stepper idle cranking outputting half steps

* Add macros for stepper less and more air

---------

Co-authored-by: Josh Stewart <josh@noisymime.org>
2024-03-16 13:05:10 +11:00
Pasi Kemppainen e2bcac6ea3
Small bug fix, to correct TPS value over OBD2 (#1194)
* Fix OBD2 TPS value

* Fix the TPS on CAN broadcast too
2024-03-16 12:17:10 +11:00
Josh Stewart 6f9348a282 Fixes for CAN init on T41 2024-03-15 16:07:47 +11:00
Josh Stewart 5bef8d4bf7 Signatures for 202405-dev 2024-02-26 19:59:16 +00:00
Josh Stewart a4eed5f3e3 Signatures for 202402 release 2024-02-23 15:55:56 +11:00
dynfer 7879d6a3cc
Conditional heater enable (#1185) 2024-02-23 10:23:06 +11:00
Josh Stewart a1302a0cc3 Correct staging unit test for corrected open time 2024-02-20 16:10:40 +11:00
Josh Stewart 7361b46160 Only calculate corrections values on the secondary spark looked if either of the table switching modes are used (Not Multiple or Add)
Fixes #1154
2024-02-20 15:55:40 +11:00
Josh Stewart be3c49b0be Add injector open time back into total PW when auto staging is used.
Fixes #1172
2024-02-20 15:36:45 +11:00
Josh Stewart 66ee231aef Move CAN WBO to AFR dialog 2024-02-20 09:19:00 +11:00
tx_haggis b9c201de83
Fix possible array overrun (#1178) 2024-02-16 16:59:45 +11:00
Josh Stewart 5bfbd1eb28 Align scheduler timeout checks on fuel match those on ignition
Potential fix for #1181
2024-02-16 09:56:24 +11:00
Josh Stewart 7dc25282b3 Upload stm32f407 master builds in workflow upload to speeduino.com server 2024-02-12 17:18:11 +11:00
tx_haggis 2eb8daacc8
Add unit tests for recent 3 cylinder squirts changes (init.cpp) (#1179)
* Fix & extend fueling init unit tests for 3 cylinders

* Unit test - Fix possible buffer overrun
2024-02-11 23:11:54 +11:00
tx_haggis b8c14c3d48
Set all the global options the PW unit test depends on. (#1176) 2024-02-10 08:49:09 +11:00
Josh Stewart 63a1a1c4f5 Remove duplicate code from last PR merge 2024-02-04 21:36:17 +11:00
dynfer 3f75f55bdd
Add support for RusEFI CAN Wideband based on STM32F042 (#1146)
* CAN WBO Support

* fix typo

* Change data handling

* add source repo information

* Added heater functionality and fixed value handling

* Missed 0

* Better condition handling and minor fixes to multiplication

* Remove code duplication

* fix

* Error fixing and correct address of controller for outgoing frames

* Page layout adjustment

* fix

* Second WBO added to be used in TS / MLV

* Add extended flag to out frame

* Functional test and fixes

* typo

* CAN WBO Support

fix typo

Change data handling

add source repo information

Added heater functionality and fixed value handling

Missed 0

Better condition handling and minor fixes to multiplication

Remove code duplication

fix

Error fixing and correct address of controller for outgoing frames

Page layout adjustment

fix

Second WBO added to be used in TS / MLV

Add extended flag to out frame

Add support for BlitzBox hardware in speeduino firmware (#1148)

* Add support for BlitzBox in init.cpp

added case 42 for BlitzBox support

* Added support for Blitzbox to speeduino.ini

Added support for Blitzbox to speeduino.ini to get an ECU entry in Tunerstudio

* Enabled spare pins in pin layout

Functional test and fixes

typo

Fix issue with LED indicator not working when MC33810 was in use

Resolve issue with Teensy not sending CAN msgs

Fix regression on MC33810 units from af2815d818

Added check for AFR greater than 25.5

Added overflow check of O2 value

Code clean up

Bump actions/upload-artifact from 3 to 4 (#1149)

Bumps [actions/upload-artifact](https://github.com/actions/upload-artifact) from 3 to 4.
- [Release notes](https://github.com/actions/upload-artifact/releases)
- [Commits](https://github.com/actions/upload-artifact/compare/v3...v4)

---
updated-dependencies:
- dependency-name: actions/upload-artifact
  dependency-type: direct:production
  update-type: version-update:semver-major
...

Signed-off-by: dependabot[bot] <support@github.com>
Co-authored-by: dependabot[bot] <49699333+dependabot[bot]@users.noreply.github.com>

Spelling and typo correction

missing space

* Fix possible overflow

* Allow for future CAN based WBO modules to be added

* rusEFI case as requested.

* fix

* CAN WBO Support

* fix typo

* Change data handling

* add source repo information

* Added heater functionality and fixed value handling

* Missed 0

* Better condition handling and minor fixes to multiplication

* Remove code duplication

* fix

* Error fixing and correct address of controller for outgoing frames

* Page layout adjustment

* fix

* Second WBO added to be used in TS / MLV

* Add extended flag to out frame

* Functional test and fixes

* typo

* Allow for future CAN based WBO modules to be added

* CAN WBO Support

fix typo

Change data handling

add source repo information

Added heater functionality and fixed value handling

Missed 0

Better condition handling and minor fixes to multiplication

Remove code duplication

fix

Error fixing and correct address of controller for outgoing frames

Page layout adjustment

fix

Second WBO added to be used in TS / MLV

Add extended flag to out frame

Add support for BlitzBox hardware in speeduino firmware (#1148)

* Add support for BlitzBox in init.cpp

added case 42 for BlitzBox support

* Added support for Blitzbox to speeduino.ini

Added support for Blitzbox to speeduino.ini to get an ECU entry in Tunerstudio

* Enabled spare pins in pin layout

Functional test and fixes

typo

Fix issue with LED indicator not working when MC33810 was in use

Resolve issue with Teensy not sending CAN msgs

Fix regression on MC33810 units from af2815d818

Added check for AFR greater than 25.5

Added overflow check of O2 value

Code clean up

Bump actions/upload-artifact from 3 to 4 (#1149)

Bumps [actions/upload-artifact](https://github.com/actions/upload-artifact) from 3 to 4.
- [Release notes](https://github.com/actions/upload-artifact/releases)
- [Commits](https://github.com/actions/upload-artifact/compare/v3...v4)

---
updated-dependencies:
- dependency-name: actions/upload-artifact
  dependency-type: direct:production
  update-type: version-update:semver-major
...

Signed-off-by: dependabot[bot] <support@github.com>
Co-authored-by: dependabot[bot] <49699333+dependabot[bot]@users.noreply.github.com>

Spelling and typo correction

missing space

* rusEFI case as requested.

* Revert "Merge branch 'master' of https://github.com/dynfer/speeduino"

This reverts commit 49dc0eab08, reversing
changes made to 56abc79008.

* fix merge

* fixes

* Added overflow prevention

* Final

---------

Co-authored-by: Josh Stewart <josh@noisymime.org>
2024-02-04 21:34:29 +11:00
Teemo Vaas 9c663a75b9
Add check for running schedules (#1134)
Add check for running schedules. So that switching from half to full sync only happens when there is no schedules currently running.
This prevents possibility of some outputs sticking open for whole engine cycle immediately after switching.
2024-02-03 17:25:20 +11:00
Vitor Moreno B. Sales 7c26de6333
Fix eeprom init. and div by 0 on new board (#1170) 2024-02-03 16:55:19 +11:00
Josh Stewart 10d2a8b896 Fixes for failed build from last PR 2024-02-02 15:40:18 +11:00
mike501 ec54ea8c7d
Suzuki K6A Decoder (#1109)
* Disable SD on T4.1 temporarily to prevent lockups. See #847

* Fix unit test value

* Improve closedloop boost control (#736)

* First try on updating closedloop boost control

* added eeprom updates for backward comp[atibility

* fix merge conflict

* Change updates to next release EEPROM version.

* Fix some issues after merging master

* some cleanup to minimize changes and speedup review

* cleanup updates

* chances on updates to alaign with new table format

* move LUT to new config page in eeprom

* little cleanup

* Update storage.h comments

* fix some ini warnings

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Minor cleanup of boost dialog from previous PR

* Add dynamic EEPROM block write limit based on RPM. Should fix #859

* Only set the idle up pin state on init if it's enabled

* AFR protection function (#861)

* Implementing AFR protection

* Update globals.h

* Bug fixes and code refactoring

* Moved variables to prevent ODR

* Dialog for AFR protection

* Data size and math corrections

* Possibility to use either AFR or lambda

* Updated default AFR protection values

* No need to do multiplications due to existing RPMdiv100 variable

* The X100 multiplier was wrongfully removed

* Add defaults in updates.ino, minor formatting cleanups

Co-authored-by: Josh Stewart <josh@noisymime.org>

* Prevent cranking and ASE corrections running at once. Alternative fix to #868

* Corrected RPM to TPS (#878). Fixes #874

* Fixed value mode for AFR protection (#877)

* bug fix. A workaround for failing emulated on internal flash writes + a root cause fix (#876)

* workaround for failing flash writes

* Root cause fix for EEPROM emulation on STM32

* Oops, remove some debugging functions.

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Correct typos found by codespell (#880)

* Fix broken build on Teensy from #880

* Narrow scope of EEPROM_MAX_WRITE_BLOCK to writeConfig (#881)

* EEPROM_MAX_WRITE_BLOCK can be local to writeConfig()
This saves a byte of memory ;-)

* Fix MISRA/lint warnings
Correctly cast address parameter of eeprom_read_block
Statically access table 3d type_key member

* Remove force burn code - unused

* Replace eepromWritesPending with bit set/check

* Refactor: chain write_location instances.
Clearer code.

* Fix hanging on boot (#858)

* Further fixes to the ASE correction

* Enable use of disabled functions pins (#549)

* Enable use pins of disabled functions or unused ign channels

* Added new pins and functions to check

* Fix for semi-seq inj after #478 (#883)

* Don't try to run inappropriate CI on forked repositories (#871)

* Remove old unused corrections code

* Remove controller priority on trigger edge. Fixes #860

This was added for a specific FW update in 2018 and no longer needed.

* Add engine protection flags to datalog

* Add option for SD logging with external switch

* TS option to display pressure in bar #842 (#864)

Adds options in TunerStudio project settings to have default units
for fuel and oil pressure in bar. Adds gauge in kPa. This only
adds convertion from psi to bar, not affecting firmware.

Co-authored-by: Min <min@infcof.com>

* Fix typo from last commit

* Missing commas in ini

* bug fix EGO PID controller + some improvements.  (#879)

* Fix for EGO pid

* Further improvements on ego PID

* Stop EGO controllers from calculating while DFCO is active. To prevent integrator windup.

* Fix bug in DFCO enable/disable of the controller

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Add necessary pin translations (#887). Fixes #867

* Signatures for 202207

* Update README.md

* Update signatures for 202207 in legacy comms

* Dev signatures for 202210-dev

* Volatile decoder variables (#902)

* triggerToothAngle is modified by interrupts

* triggerActualTeeth is never modified in interrupts

* fix check for DFCO off in correctionAFRclosedLoop() (#899)

line 608 has bit-and of configpage6.egotype > 0  & DFCO off.
it should be logical and &&

* cl boost control above baro should use logical and/or (#901)

* libdivide structs can be const - saves a few bytes (#886)

* Add OLCL mode to initBoard() for teensy (#903)

Co-authored-by: csmergs <christopher@smeraglinolo.com>

* Fix for idle PWM on STM32. Enable idle timer when idle pwm is enabled. Fixes #907 

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Disable automatic retransmission (#910)

* Allow for float values in SD log where supported with FPU

* Increase most F temp field max values to 419F

* Revert "Merge branch 'master' into DoubleMissing"

This reverts commit bda3d89bf553df40951167870c826792ba92a715.

* recover missing files

* add vvt

* missing files

* fix stall time from debug version

* Revert "Merge remote-tracking branch 'noisymime/master'"

This reverts commit 58e6e283e6.

* initial version

* added speeduino.ini so can select pattern

* fixes to pattern detection and crank angle calcs

* temp fix low speed cranking

* comments on tooth sizes

* fix filters

* update - per tooth ignition doesn't work

* remove debug for decoder & fix spark1 dwell

* Fix merge error with decoders.h

K6A definitions missed on the merge, now added back in

* Change default case for decoder back to RISING/FALLING edge

---------

Co-authored-by: Josh Stewart <josh@noisymime.org>
Co-authored-by: Tjeerd <33102280+Tjeerdie@users.noreply.github.com>
Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>
Co-authored-by: larmicfre <49905831+larmicfre@users.noreply.github.com>
Co-authored-by: Daniel Tobias <dantob@users.noreply.github.com>
Co-authored-by: tx_haggis <13982343+adbancroft@users.noreply.github.com>
Co-authored-by: Pasi Kemppainen <48950874+pazi88@users.noreply.github.com>
Co-authored-by: Vitor Moreno B. Sales <vitor.m.benevides@outlook.com>
Co-authored-by: Min <72794870+zceemja@users.noreply.github.com>
Co-authored-by: Min <min@infcof.com>
Co-authored-by: Afroboltski <70011435+Afroboltski@users.noreply.github.com>
Co-authored-by: DeionSi <DeionSi@users.noreply.github.com>
Co-authored-by: Jon Billings <jon.billings@bbc.co.uk>
Co-authored-by: a32guy <turboe30@gmail.com>
Co-authored-by: csmergs <christopher@smeraglinolo.com>
2024-02-02 15:35:30 +11:00
Josh Stewart 7b5bfc8a24 Corrected values for 3 cylinder init unit tests 2024-02-02 15:19:11 +11:00
Vitor Moreno B. Sales 2afcf93adc
Sync with latest(2.7.1) Arduino_Core_STM32 (#1168) 2024-02-01 10:20:10 +11:00
Josh Stewart fdb6e492fb Enable Daihatsu+1 decoder for sequential. Tested on 3 cylinder configuration
Fixes #1126
2024-01-22 17:23:13 +11:00
Josh Stewart f49d6ca5d8 Fix erratic pulse issue with 3 and 5 squirt configurations 2024-01-22 17:20:06 +11:00
dependabot[bot] acece66c7c
Bump actions/cache from 3 to 4 (#1165)
Bumps [actions/cache](https://github.com/actions/cache) from 3 to 4.
- [Release notes](https://github.com/actions/cache/releases)
- [Changelog](https://github.com/actions/cache/blob/main/RELEASES.md)
- [Commits](https://github.com/actions/cache/compare/v3...v4)

---
updated-dependencies:
- dependency-name: actions/cache
  dependency-type: direct:production
  update-type: version-update:semver-major
...

Signed-off-by: dependabot[bot] <support@github.com>
Co-authored-by: dependabot[bot] <49699333+dependabot[bot]@users.noreply.github.com>
2024-01-19 09:09:32 +11:00
Josh Stewart 2e23675166 Fix for Teensy build 2024-01-18 18:20:57 +11:00
Josh Stewart edd3231102 Use the faster div100 function in PW() 2024-01-18 18:03:46 +11:00
Josh Stewart 1439750731 Separate PW Limit calculation into own function and optimise. Add unit tests for this 2024-01-16 22:25:15 +11:00
Josh Stewart 288b98d31c Make percentage() safe for 32-bit values. Fixes pwLimit overflow regression 2024-01-16 16:22:56 +11:00
Josh Stewart 0a5182f353 Fix logic from bd25dee 2024-01-16 16:21:18 +11:00
Josh Stewart f14edc6d6c Fix warning/error with use of max() and signed variable 2024-01-13 12:20:04 +11:00
Josh Stewart cbf87fbd35 Remove float operation from Vmax decoder
See #1163
2024-01-13 10:22:43 +11:00
Josh Stewart bd25deec40 Ensure injector end angle is not greater than the maximum inj angle 2024-01-05 14:52:35 +09:00
dependabot[bot] fff315aa45
Bump actions/upload-artifact from 3 to 4 (#1149)
Bumps [actions/upload-artifact](https://github.com/actions/upload-artifact) from 3 to 4.
- [Release notes](https://github.com/actions/upload-artifact/releases)
- [Commits](https://github.com/actions/upload-artifact/compare/v3...v4)

---
updated-dependencies:
- dependency-name: actions/upload-artifact
  dependency-type: direct:production
  update-type: version-update:semver-major
...

Signed-off-by: dependabot[bot] <support@github.com>
Co-authored-by: dependabot[bot] <49699333+dependabot[bot]@users.noreply.github.com>
2023-12-31 08:44:00 +11:00
Josh Stewart 9acfacba5c Fix regression on MC33810 units from af2815d818 2023-12-22 15:06:35 +11:00
Josh Stewart 7d817e3cde Resolve issue with Teensy not sending CAN msgs 2023-12-21 13:40:36 +11:00
Josh Stewart 5d6440f00d Merge branch 'master' of https://github.com/noisymime/speeduino 2023-12-21 08:27:44 +11:00
chaoschris 4b7948e22c
Add support for BlitzBox hardware in speeduino firmware (#1148)
* Add support for BlitzBox in init.cpp

added case 42 for BlitzBox support

* Added support for Blitzbox to speeduino.ini

Added support for Blitzbox to speeduino.ini to get an ECU entry in Tunerstudio

* Enabled spare pins in pin layout
2023-12-15 14:09:24 +11:00
Josh Stewart 25ff07c30f Merge branch 'master' of https://github.com/noisymime/speeduino 2023-12-12 10:00:56 +11:00
Josh Stewart af2815d818 Fix issue with LED indicator not working when MC33810 was in use 2023-12-12 10:00:47 +11:00
Pasi Kemppainen 8cb4e382e4
Fix STM32duino RTC library version to 1.2.0 (#1076) 2023-12-11 13:31:39 +11:00
mike501 ef39476f8f
Adds support for toyota 3 tooth secondary and 2 tooth tertiary input (#1040)
* Disable SD on T4.1 temporarily to prevent lockups. See #847

* Fix unit test value

* Improve closedloop boost control (#736)

* First try on updating closedloop boost control

* added eeprom updates for backward comp[atibility

* fix merge conflict

* Change updates to next release EEPROM version.

* Fix some issues after merging master

* some cleanup to minimize changes and speedup review

* cleanup updates

* chances on updates to alaign with new table format

* move LUT to new config page in eeprom

* little cleanup

* Update storage.h comments

* fix some ini warnings

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Minor cleanup of boost dialog from previous PR

* Add dynamic EEPROM block write limit based on RPM. Should fix #859

* Only set the idle up pin state on init if it's enabled

* AFR protection function (#861)

* Implementing AFR protection

* Update globals.h

* Bug fixes and code refactoring

* Moved variables to prevent ODR

* Dialog for AFR protection

* Data size and math corrections

* Possibility to use either AFR or lambda

* Updated default AFR protection values

* No need to do multiplications due to existing RPMdiv100 variable

* The X100 multiplier was wrongfully removed

* Add defaults in updates.ino, minor formatting cleanups

Co-authored-by: Josh Stewart <josh@noisymime.org>

* Prevent cranking and ASE corrections running at once. Alternative fix to #868

* Corrected RPM to TPS (#878). Fixes #874

* Fixed value mode for AFR protection (#877)

* bug fix. A workaround for failing emulated on internal flash writes + a root cause fix (#876)

* workaround for failing flash writes

* Root cause fix for EEPROM emulation on STM32

* Oops, remove some debugging functions.

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Correct typos found by codespell (#880)

* Fix broken build on Teensy from #880

* Narrow scope of EEPROM_MAX_WRITE_BLOCK to writeConfig (#881)

* EEPROM_MAX_WRITE_BLOCK can be local to writeConfig()
This saves a byte of memory ;-)

* Fix MISRA/lint warnings
Correctly cast address parameter of eeprom_read_block
Statically access table 3d type_key member

* Remove force burn code - unused

* Replace eepromWritesPending with bit set/check

* Refactor: chain write_location instances.
Clearer code.

* Fix hanging on boot (#858)

* Further fixes to the ASE correction

* Enable use of disabled functions pins (#549)

* Enable use pins of disabled functions or unused ign channels

* Added new pins and functions to check

* Fix for semi-seq inj after #478 (#883)

* Don't try to run inappropriate CI on forked repositories (#871)

* Remove old unused corrections code

* Remove controller priority on trigger edge. Fixes #860

This was added for a specific FW update in 2018 and no longer needed.

* Add engine protection flags to datalog

* Add option for SD logging with external switch

* TS option to display pressure in bar #842 (#864)

Adds options in TunerStudio project settings to have default units
for fuel and oil pressure in bar. Adds gauge in kPa. This only
adds convertion from psi to bar, not affecting firmware.

Co-authored-by: Min <min@infcof.com>

* Fix typo from last commit

* Missing commas in ini

* bug fix EGO PID controller + some improvements.  (#879)

* Fix for EGO pid

* Further improvements on ego PID

* Stop EGO controllers from calculating while DFCO is active. To prevent integrator windup.

* Fix bug in DFCO enable/disable of the controller

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Add necessary pin translations (#887). Fixes #867

* Signatures for 202207

* Update README.md

* Update signatures for 202207 in legacy comms

* Dev signatures for 202210-dev

* Volatile decoder variables (#902)

* triggerToothAngle is modified by interrupts

* triggerActualTeeth is never modified in interrupts

* fix check for DFCO off in correctionAFRclosedLoop() (#899)

line 608 has bit-and of configpage6.egotype > 0  & DFCO off.
it should be logical and &&

* cl boost control above baro should use logical and/or (#901)

* libdivide structs can be const - saves a few bytes (#886)

* Add OLCL mode to initBoard() for teensy (#903)

Co-authored-by: csmergs <christopher@smeraglinolo.com>

* Fix for idle PWM on STM32. Enable idle timer when idle pwm is enabled. Fixes #907 

Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>

* Disable automatic retransmission (#910)

* Allow for float values in SD log where supported with FPU

* Increase most F temp field max values to 419F

* Revert "Merge branch 'master' into DoubleMissing"

This reverts commit bda3d89bf553df40951167870c826792ba92a715.

* recover missing files

* add vvt

* missing files

* fix stall time from debug version

* Add 3 tooth cam support

* added vvt2 and potential I4 cam

* fix

* fixes to VVT1 to always trigger on rotation 1

* fix attempt to vtt1 trigger

* fixed VVT trigger

* updated how vvt2 is calculated

* made code more readable and portable

instead of trying to set an unsigned int to its max value and handling different sized unsigned ints across different processes, using -1 and adding a comment to explain why. This will then work across all processors

* Allow updating of trigger edge for third cam

* Add logging to second cam & filtering

* include high speed logger in legacy comms

* adding VVT logging and trigger edge editing


fix missing define (temp fix?)


Revert "fix missing define (temp fix?)"

This reverts commit c6280f38ff69cc41278148a79c888736a71754b1.

fixes

* Create an additional logger screen in tunerstudio

Changes to create a third high speed logger window in tuner studio.

* missed save

* Change variable name 

Discord discussion requested compositeLogEnabled didn't do a test for false as the type is now a byte. Variable renamed to be compositeTriggerUsed and false replaced with 0. Variable name and values used should now make more logical sense.

* fix tertiary cam trigger

tertiary cam trigger is supposed to trigger on 2nd tooth, code had bug meaning it would never trigger.

* change how tertiary cam reset

(needs testing)

* added 4th composite logger for both cams

allows you to log both cams together and see the relationship between them.

* added more logging

Log the primary, secondary and third inputs as well as engine cycle on all composite logs. Still have 3 different logs to allow you to visualise which is the best way to present the information.

* Improve VVT1 detection

* fixes to merge

* update VVT2 trigger detection and reduce filtering

* Revert "Merge remote-tracking branch 'noisymime/master'"

This reverts commit 58e6e283e6.

* Correct merge error with master

missed decoders.h merge missing a reference to recordVVT1Angle which has been renamed to fit better into the naming convention within this file to triggerRecordVVT1Angle

* Removed tertiary decoder

Tertiary decoder hasn't completed testing & is specifically for certain v8 engines, removing so this can be submitted and built into the next release covering other Toyota engines (eg those used in Lexius inline 6)

* Make triggerRecordVVT1Angle static

---------

Co-authored-by: Josh Stewart <josh@noisymime.org>
Co-authored-by: Tjeerd <33102280+Tjeerdie@users.noreply.github.com>
Co-authored-by: Tjeerd <tjeerdie@users.noreply.github.com>
Co-authored-by: larmicfre <49905831+larmicfre@users.noreply.github.com>
Co-authored-by: Daniel Tobias <dantob@users.noreply.github.com>
Co-authored-by: tx_haggis <13982343+adbancroft@users.noreply.github.com>
Co-authored-by: Pasi Kemppainen <48950874+pazi88@users.noreply.github.com>
Co-authored-by: Vitor Moreno B. Sales <vitor.m.benevides@outlook.com>
Co-authored-by: Min <72794870+zceemja@users.noreply.github.com>
Co-authored-by: Min <min@infcof.com>
Co-authored-by: Afroboltski <70011435+Afroboltski@users.noreply.github.com>
Co-authored-by: DeionSi <DeionSi@users.noreply.github.com>
Co-authored-by: Jon Billings <jon.billings@bbc.co.uk>
Co-authored-by: a32guy <turboe30@gmail.com>
Co-authored-by: csmergs <christopher@smeraglinolo.com>
2023-12-11 13:30:51 +11:00
Vitor Moreno B. Sales f7ee4c6415
Moved WMI to VVT2 (#845)
* Moved WMI to VVT2

 WMI to VVT2 channel and increased PWM resolution to 0.5%

* Enable WMI and VVT at the same time

* Move MWI to VVT2 options on INI file

* Rename auxiliaries.ino to auxiliaries.cpp

* Rename updates.ino to updates.cpp

---------

Co-authored-by: Josh Stewart <josh@noisymime.org>
2023-12-11 11:53:34 +11:00
Josh Stewart 7079b50aa1 Fix tests 2023-12-06 15:20:23 +11:00
Josh Stewart 4d4486df4f Minor memory optimisation 2023-12-06 14:47:13 +11:00
Josh Stewart 4d4b2a87f7 Disable ADC ISR after each full cycle and re-enable every 5ms (200Hz) 2023-12-06 13:39:32 +11:00