hellen121vag/gerber
andreika-git 6a819db4f1 VAG ibom rendering issue https://github.com/andreika-git/hellen-one/issues/82 2023-03-20 19:21:47 +02:00
..
hellen121vag-B_Cu.gbl rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-B_Mask.gbs rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-B_Silkscreen.gbo rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-Edge_Cuts.gm1 rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-F_Cu.gtl rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-F_Mask.gts rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-F_Paste.gtp rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-F_Silkscreen.gto rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-GND_Cu.g2 rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-Power_Cu.g3 rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag-all-pos.csv rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag.csv F1 DNP fix 2021-10-12 20:26:08 +03:00
hellen121vag.drl rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag.pdf rev. c fab time? 2021-10-12 01:30:27 +03:00
hellen121vag.wrl VAG ibom rendering issue https://github.com/andreika-git/hellen-one/issues/82 2023-03-20 19:21:47 +02:00