Commit Graph

21 Commits

Author SHA1 Message Date
bsdevlin 60cee421b5 Re-enable AWS simulation tests 2019-09-27 19:35:56 +08:00
bsdevlin deb8298626 Update testbench to test writing to RAM used in multiplier, fixed bug in
multiplier generation code for loading RAM
2019-09-27 19:27:24 +08:00
Cloud User a8e323c792 Update AWS test bench to run ate pairing 2019-09-26 15:23:19 +00:00
bsdevlin 142be15d8d Update to fix bug with building on AWS with the new RAM lookup
multiplier, files were not getting copied properly in AWS encrypt
script.
2019-09-26 21:28:56 +08:00
Cloud User 406a5bae2a Updated files for bls12-381 to compile on AWS 2019-08-21 09:18:45 +00:00
bsdevlin 07b4ad5c48 bug fix to ILA and testbench update 2019-07-02 20:39:22 +08:00
bsdevlin 692d051e55 Bug fix for adder that would cause it to lock up state machine 2019-07-02 17:46:04 +08:00
bsdevlin 4b6861f626 udate simulation to use smaller key value to save time 2019-07-01 14:41:34 +08:00
bsdevlin 5ed7af923a Updates to c++ library and test case 2019-07-01 13:26:56 +08:00
bsdevlin 5091857e70 typo in test case 2019-06-30 22:35:44 +08:00
bsdevlin cb18f7446e Updated to add ADD and SUB functions, memory map fixes, and test bench
updates
2019-06-30 22:25:49 +08:00
ben devlin ac887e3b49 test case update 2019-06-30 05:36:35 -04:00
bsdevlin e2b90fbad0 Update typo for multi driven net and test case 2019-06-30 14:07:33 +08:00
bsdevlin f6b7fac697 First cut of zcash_fpga class 2019-06-29 15:31:58 +08:00
bsdevlin 2c576bb37c Bugfix for AXILite AWS mode 2019-06-28 15:58:42 +08:00
bsdevlin cfa4850920 add the AXI stream to AXI lite IP 2019-06-28 14:17:47 +08:00
bsdevlin ae2f524c6b updates for v1.1.0 which includes bls12-381 co processor first cut 2019-06-26 13:41:07 +08:00
bsdevlin e9433118a8 update test case 2019-06-25 18:46:09 +08:00
bsdevlin f8d4341a68 Update to fix testbench for bls12 coprocessor 2019-06-25 17:53:50 +08:00
bsdevlin 3ac213ee3b updates for AWS build and to help timing 2019-06-25 15:11:57 +08:00
bsdevlin 957e47e7ca New AWS files 2019-06-24 23:33:50 +08:00